CN103109357B - 用于紫外线纳米固化腔室的石英喷洒器 - Google Patents

用于紫外线纳米固化腔室的石英喷洒器 Download PDF

Info

Publication number
CN103109357B
CN103109357B CN201180044541.9A CN201180044541A CN103109357B CN 103109357 B CN103109357 B CN 103109357B CN 201180044541 A CN201180044541 A CN 201180044541A CN 103109357 B CN103109357 B CN 103109357B
Authority
CN
China
Prior art keywords
ring
gas
gas outlet
sprinkler
transparent
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201180044541.9A
Other languages
English (en)
Other versions
CN103109357A (zh
Inventor
S·巴录佳
J·C·罗查-阿尔瓦雷斯
A·T·迪莫斯
T·诺瓦克
J·周
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN103109357A publication Critical patent/CN103109357A/zh
Application granted granted Critical
Publication of CN103109357B publication Critical patent/CN103109357B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0391Affecting flow by the addition of material or energy

Abstract

本发明的实施例通常提供用于控制处理腔室内的气流分布的装置及方法。在一个实施例中,处理工具包括:紫外线处理腔室,该紫外线处理腔室界定处理区域;基板支撑件;窗口,该窗口设置于UV辐射源与该基板支撑件之间;以及透明喷洒器,该透明喷洒器设置于该窗口与该基板支撑件间的处理区域内且该透明喷洒器具有介于上处理区域与下处理区域间的一或更多透明喷洒器通道。该处理工具还包括气体分配环,该气体分配环具有介于气体分配环内沟槽与该上处理区域间的一或更多气体分配环通道;及气体出口环,该气体出口环设置于该气体分配环的下方,该气体出口环具有介于该气体出口环内的气体出口环内沟槽与该下处理区域间的一或更多气体出口通道。

Description

用于紫外线纳米固化腔室的石英喷洒器
技术领域
本发明的实施例关于用于用诸如紫外线(UV)光形成且处理基板上的薄膜的处理工具。具体而言,本发明的实施例关于控制处理腔室内的气流分布。
背景技术
具有低介电常数(low-k)的材料,诸如氧化硅(SiOx)、碳化硅(SiCx)及碳掺杂氧化硅(SiOCx),均发现极其广泛地用于半导体器件制造中。使用低介电常数材料当作传导性互连件之间的金属间及/或层间介电质,将减少归因于电容效应导致的信号传播延迟。介电层的介电常数越低,则介电质的电容越低且集成电路(IC)的RC延迟越低。
低介电常数介电材料一般定义为介电常数k低于二氧化硅的介电常数k的那些材料,即k<4。获得低介电常数材料的典型方法包括用含有碳或氟的各种官能基掺杂二氧化硅。尽管氟化硅酸盐玻璃(FSG)通常具有3.5-3.9的k,但碳掺杂方法可进一步将k值降低至大约2.5。当前努力均集中于开发低介电常数介电材料,通常称为超低介电常数(ULK)介电质,其中k值小于2.5,以满足最新技术需要。
用于在半导体基板上形成含硅薄膜的一种方法为经由腔室内的化学气相沉积(CVD)的制程。在含硅薄膜的CVD过程中,通常使用有机硅供应材料。由于碳存在于此种硅供应材料中,含碳薄膜可形成于腔室壁以及基板上。
此外,可通过将气孔整合于低介电常数介电基材内,从而形成多孔介电材料,来获得超低介电常数(ULK)介电材料。制造多孔介电质的方法通常涉及形成“前体薄膜”,该“前体薄膜”含有两种成分:致孔剂(通常为诸如烃的有机材料)及结构形成剂或介电材料(例如,含硅材料)。一旦前体薄膜形成于基板上,则可移除致孔剂成分,从而留下结构完整的多孔介电质基材或氧化物网状物。
用于自前体薄膜移除致孔剂的技术包括(例如)热制程,其中基板经加热至足以破坏且汽化有机致孔剂的温度。用于自前体薄膜移除致孔剂的一个已知热制程包括UV固化制程,以协助CVD氧化硅薄膜的后处理。例如,美国专利第6,566,278号及第6,614,181号描述用于CVD碳掺杂氧化硅薄膜的后处理的UV光的用途,美国专利第6,566,278号及第6,614,181号两者皆转让给应用材料公司(Applied Materials,Inc.)。
在移除致孔剂的UV固化制程中,UV腔室及制程可具有穿过腔室的不均匀气流。该不均匀气流可导致基板在固化制程中的不均匀加热,从而导致横跨基板的温度梯度及不均匀处理。此外,UV处理腔室可变为涂覆有完整致孔剂、致孔剂碎片及其他致孔剂残余物,包括允许UV光到达基板的窗口的涂层。由于不均匀流,窗口亦可在朝向基板的一个边缘处相对于另一边缘被优先涂覆。此外,致孔剂残余物在窗口上的不均匀堆积可导致横跨基板的不均匀固化薄膜。
随着时间的推移,致孔剂残余物通过降低基板可用的有效UV强度且堆积于腔室的较冷组件处,可降低随后UV致孔剂移除制程的有效性。此外,过量残余物堆积于腔室中可成为不适合于半导体处理的基板上微粒缺陷的来源。因此,需要自处理腔室移除牺牲材料的热不稳定有机碎片(由在CVD过程中用于提高多孔性的致孔剂导致)。因此,移除致孔剂残余物必然导致增大清洁时间及相应的降低产量。
因此,存在对提高效率、产量及改进生产环境中处理腔室(诸如,用于UV致孔剂移除制程的UV处理腔室)的清洁制程的需要。因此,在本领域中需要可提高产量、消耗最少能量且适合于腔室自身内表面的原位清洁制程的UV腔室。
发明内容
本发明的实施例通常提供用于控制处理腔室内的气流分布的装置及方法。在一个实施例中,处理工具包含:紫外线处理腔室,该紫外线处理腔室界定处理区域;基板支撑件,该基板支撑件用于支撑该处理区域内的基板;紫外线(UV)辐射源,该紫外线辐射源与该基板支撑件间隔开来且该紫外线辐射源被配置成产生且向设置于该基板支撑件上的基板发送紫外线辐射;窗口,该窗口安置于该UV辐射源与该基板支撑件之间;透明喷洒器,该透明喷洒器安置于该窗口与该基板支撑件间的该处理区域内且该透明喷洒器界定上处理区域及下处理区域。上处理区域设置于窗口与透明喷洒器之间而下处理区域设置于透明喷洒器与基板支撑件之间。该透明喷洒器具有介于该上处理区域与该下处理区域间的一或更多透明喷洒器通道。该处理工具进一步包含:气体分配环,该气体分配环具有介于该气体分配环内的气体分配环内沟槽与该上处理区域间的一或更多气体分配环通道;以及气体出口环,该气体出口环位于该气体分配环的下方,该气体出口环具有介于该气体出口环内的气体出口环内沟槽与该下处理区域间的一或更多气体出口通道。
在另一个实施例中,本发明提供一种控制处理腔室中的流量分配分布的方法,该方法包含:将气体物质注入至该处理腔室的上处理区域中,该上处理区域位于窗口与透明喷洒器之间,该透明喷洒器设置于该处理腔室内;使该气体物质流动穿过一或更多通道且进入下处理区域中,该一或更多通道形成于该透明喷洒器中,该下处理区域位于该喷洒器与基板支撑件之间,该基板支撑件位于该处理腔室内;以及使该气体物质自该下处理区域排出且穿过排气口。
在另一个实施例中,本发明提供一种用于清洁处理腔室的方法,该方法包含:将清洁气体注入至该处理腔室的上处理区域中,该上处理区域位于窗口与透明喷洒器之间,该透明喷洒器设置于该处理腔室内;将该清洁气体与UV光与热中的至少一个反应,以形成反应物质;使该反应物质流动穿过一或更多通道且进入下处理区域中,该一或更多通道形成于该透明喷洒器中,该下处理区域位于该喷洒器与基板支撑件之间,该基板支撑件位于该处理腔室内;移除沉积于该窗口及该透明喷洒器的表面上的残余物;以及使该反应物质及残余物自该下处理区域排出且穿过排气口。
附图说明
因此,已可详细理解本发明的上述特征结构的方式,可参照实施例获得上文简要概述的本发明的更具体描述,其中某些实施例图示于附图中。然而,应注意,附图仅图示本发明的典型实施例,且因此不欲视为本发明范围的限制,因为本发明可允许其他同等有效的实施例。
图1为半导体处理系统的平面视图,本发明的实施例可整合于该半导体处理系统中。
图2为针对UV固化配置的半导体处理系统的串联处理腔室的视图。
图3为具有盖组件的串联处理腔室的局部剖视图,其中两个UV灯泡分别安置于两个处理区域的上方。
图4为无盖组件的一个处理腔室的一部分的等角横截面视图。
图5A为无窗口组件的图4中处理腔室的等角横截面视图。
图5B为图5A中所示喷洒器的横截面的近视图。
图6为图示气流路径的图5A中处理腔室的横截面视图。
图7为如图6中所示处理腔室及气流路径的一部分的等角横截面的近视图。
为了促进理解,在可能的情况下,使用相同元件符号代表诸图所共有的相同元件。预期在一个实施例中所揭示的元件可有利地用于其他实施例中,而无需特别叙述。
具体实施方式
本发明的实施例大体而言提供一种处理工具,该处理工具具有紫外线(UV)处理腔室及该处理腔室内的硬件,该处理工具用于控制处理区域内的气流分布。本发明的实施例使得处理工具能够控制紫外线处理腔室内气体的传递、流径、分配及移除,以较佳地控制各种制程。本发明的实施例亦提供控制处理工具内气流分布的方法及清洁处理工具的方法。
硬件的设计允许横跨基板的特定气流分布分配,该基板正在UV腔室、灯加热腔室或其他腔室中进行处理,在腔室中呈光形式的能量用以直接在该基板上或在该基板上方处理薄膜或催化反应。此外,可通过移除任何残余物累积来有效地清洁处理腔室壁、UV窗口及基板支撑件。此外,本发明的实施例通过在基板处理期间控制基板除气的气流分布来减少初始残余物累积。尽管任何处理腔室或制程可使用本发明的实施例,但含致孔剂薄膜的UV固化将用以描述本发明。
在用于UV固化的处理腔室的一个实施例中,串联处理腔室提供腔室主体中的两个独立且相邻的处理区域及具有一或更多灯泡隔离窗口的盖,这些灯泡隔离窗口在每一个处理区域上方各自对准。可用串联处理腔室的每侧一个窗口(以隔离一或更多灯泡与一个较大共用体积中的基板)来实施这些灯泡隔离窗口,或用密闭于UV透明容器(该UV透明容器与处理区域直接接触)中的灯泡阵列中的每一个灯泡来实施这些灯泡隔离窗口。可由耦接至盖的外壳覆盖每处理区域的一或更多UV灯泡,且该一或更多UV灯泡发射UV光,该UV光穿过窗口导向至位于每一个处理区域内的每一个基板上。
UV灯泡可为发光二极管阵列或使用任何最新技术UV照明源的灯泡,包括(但不限于)微波弧、射频灯丝(电容耦合等离子体)及电感耦合等离子体(inductively coupledplasma;ICP)灯。此外,在固化制程期间,UV光可呈脉冲状。增强基板照明均匀性的各种概念包括灯阵列的使用(这些灯阵列亦可用以改变入射光的波长分配)、基板及灯头的相对运动(包括旋转及周期性平移(扫掠))及灯反射体形状及/或位置的实时修改。UV灯泡为紫外线辐射源,且这些UV灯泡可发送宽广波长光谱范围的UV及红外线(IR)辐射。
在固化制程期间形成的残余物可包含碳,例如碳及硅两者,且使用基于臭氧的清洁移除这些残余物。可利用将臭氧远端输送至固化腔室、原位产生或通过同时执行这两种方案来达成必要臭氧的生产。可使用任何现有臭氧产生技术达成远端产生臭氧的方法,这些技术包括(但不限于)介电阻挡/电晕放电(例如,应用材料公司(Applied Materials)的臭氧发生器)或UV活化反应器。用于固化介电材料的UV灯泡及/或可远端设置的额外UV灯泡(多个)可用以产生臭氧。
图1图示半导体处理系统100的平面视图,该半导体处理系统100可使用本发明的实施例。系统100图示ProducerTM处理系统的一个实施例,该ProducerTM处理系统可购自加利福尼亚州圣塔克拉拉市的应用材料公司(Applied Materials,Inc.)。处理系统100为独立系统,该系统具有必要的处理设施,这些处理设施支撑于主框架结构101上。处理系统100大体包括:前端分级区域102,该前端分级区域中支撑基板盒109并且基板装入装载锁定腔室112中且自装载锁定腔室112卸载;移送腔室111,该移送腔室111容纳基板机械手113;一系列串联处理腔室106,这些串联处理腔室106安装于移送腔室111上;以及后端138,该后端138容纳系统100的操作所需的支撑设施(诸如,气体盘103及配电盘105)。
串联处理腔室106中的每一个串联处理腔室106均包括两个用于处理基板的处理区域(参阅图3)。两个处理区域共享共用气体供应器、共用压力控制及共用制程排气/泵送系统。系统的模块设计允许自任何一个配置快速转换为任何其他配置。可改变腔室的布置及组合,以达成执行特定制程步骤的目的。串联处理腔室106中的任何串联处理腔室106可包括根据本发明的如下所述方面的盖,该盖包括一或更多紫外线(UV)灯,以用于基板上低介电常数材料的固化制程中及/或用于腔室清洁制程中。在一个实施例中,所有三个串联处理腔室106均具有UV灯,且这些串联处理腔室106被配置成并行运行的UV固化腔室,以达成最大产量的目的。
在替代性实施例中,其中并非所有串联处理腔室106均配置为UV固化腔室,系统100可适于具有串联处理腔室中一或更多个,这些串联处理腔室具有支撑腔室硬件,已知该支撑腔室硬件可容纳各种其他已知制程,诸如化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻等。例如,系统100可被配置成串联处理腔室106其中之一作为用于将诸如低介电常数(K)薄膜的材料沉积于基板上的CVD腔室。此种配置可使研发制造利用率最大化且(若需要)不使原沉积薄膜暴露于大气中。
控制器140耦接至半导体处理系统100的各种部件以便于控制本发明的制程,该控制器140包括中央处理单元(CPU)144、存储器142及支持电路146。存储器142可为半导体处理系统100或CPU 144的近端或远端的任何计算机可读取媒体,诸如随机存取存储器(RAM)、只读存储器(ROM)、软盘、硬盘或任何其他形式的数字存储。支持电路146耦接至CPU 144,用于以常规方式支持CPU。这些电路包括高速缓存、电源、时钟电路、输入/输出电路系统及子系统等。当由CPU 144执行时,储存于存储器142中的软件例程或一系列程序指令使UV固化串联处理腔室106执行本发明的制程。
图2图示针对UV固化配置的半导体处理系统100的一个串联处理腔室106。串联处理腔室106包括主体200及盖202,该盖202可铰接至主体200。腔室主体200可用铝制成。两个外壳204耦接至盖202,这些外壳204各自耦接至入口206及出口208,以使冷却空气通过外壳204的内部。冷却空气可处于室温或大约二十二摄氏度。中心加压空气源210向入口206提供足够流速的空气,以确保正常操作任何UV灯灯泡及/或与串联处理腔室106有关的灯泡功率源214。出口208接收来自外壳204的废气,该废气由共用排气系统212收集,该排气系统212视灯泡选择而定可包括洗涤器以移除由UV灯泡潜在产生的臭氧。可通过用无氧冷却气(例如,氮气、氩气或氦气)冷却灯来避免臭氧管理问题。
图3图示串联处理腔室106的局部剖视图,该串联处理腔室106具有盖202、外壳204及功率源214。外壳204中的每一个外壳204覆盖两个UV灯灯泡302中的各别一个灯泡302,这些灯泡302各自安置于界定于主体200内的两个处理区域300上方。处理区域300中的每一个处理区域300包括加热基板支撑件(诸如,基板支撑件306),以支撑处理区域300内的基板308。基板支撑件306可由陶瓷或诸如铝的金属制成。较佳地,基板支撑件306耦接至主干310,该主干310延伸穿过主体200的底部且由驱动系统312操作,以移动处理区域300中的基板支撑件306朝向UV灯灯泡302及远离UV灯灯泡302。在固化期间驱动系统312亦可旋转及/或平移基板支撑件306,以进一步增强基板照明的均匀性。基板支撑件306的可调节定位允许控制挥发性固化副产品及净化和清洁气流图案及滞留时间,以及基板308上的入射UV照射水平的潜在微调,这视光传递系统设计考虑事项(诸如,焦距)的本质而定。
通常,可使用任何UV源,诸如汞微波弧光灯、脉冲氙闪光灯或高效UV发光二极管阵列。UV灯灯泡302为密封等离子体灯泡,这些灯泡填充有一或更多用于受功率源214激发的气体,诸如氙(Xe)或汞(Hg)。较佳地,功率源214为微波产生器,这些微波产生器可包括一或更多磁控管(未图示)及以给磁控管的灯丝供能的一或更多变压器(未图示)。在一个具有千瓦微波(MW)功率源的实施例中,外壳204中的每一个外壳204包括邻接于功率源214的孔径215以接收来自功率源214的高达约6000W的微波功率,以随后产生来自灯泡302中的每一个灯泡302的高达约100W的UV光。在另一个实施例中,UV灯灯泡302可包括灯泡302中的电极或灯丝,以使功率源214表示电极的电路系统及/或电流供应,诸如直流(DC)或脉冲DC。
对一些实施例而言,功率源214可包括能够激发UV灯灯泡302内的气体的射频(RF)能量源。灯泡中RF激发的配置可为电容性或电感性。电感耦合等离子体(ICP)灯泡可用于通过产生比在电容耦合放电的情况下更密的等离子体来有效提高灯泡辉度。此外,ICP灯消除由电极劣化引起的UV输出的劣化,从而导致增强系统生产率的较长寿命灯泡。功率源214为RF能量源的益处包括提高效率。
较佳地,灯泡302发射横跨170nm至400nm的宽广波长带的光。在本发明的一个实施例中,灯泡302发射波长为185nm至255nm的光。经选定用于灯泡302内的气体可决定发射的波长。发射自UV灯灯泡302的UV光通过窗口314进入处理区域300,这些窗口314安置于盖202中的孔径中。窗口314较佳地由无OH的合成石英玻璃制成且这些窗口314具有足以无裂缝维持真空的厚度。此外,窗口314较佳地为熔融二氧化硅,该熔融二氧化硅透射下至大约150nm的UV光。由于盖202密封至主体200且窗口314密封至盖202,所以处理区域300提供能够将压力维持于大约1托至大约650托的体积。处理气体或清洁气体经由两个入口通道316中的各别一个入口通道316进入处理区域300。随后,处理气体或清洁气体经由共用出口318离开处理区域300。此外,提供至外壳204的内部的冷却空气循环经过灯泡302,但窗口314将该冷却空气与处理区域300隔离。
外壳204可以包括内抛物表面,该内抛物表面由浇铸石英内衬304界定,该石英内衬304涂覆有二向色薄膜。石英内衬304反射发射自UV灯灯泡302的UV光,且这些石英内衬304基于UV光(该UV光由石英内衬304导向至处理区域300中)的图案经成形以适合于固化制程以及腔室清洁制程。石英内衬304可调整以通过移动且改变内抛物表面的形状较佳地适合于每一个制程或任务。此外,石英内衬304归因于二向色薄膜可透射红外线光且反射由灯泡302发射的紫外线光。二向色薄膜通常构成周期性多层薄膜,该周期性多层薄膜由不同的介电材料组成,这些介电材料具有交替的高折射率及低折射率。由于涂层为非金属的,所以来自功率源214的向下入射于浇铸石英内衬304的背侧上的微波辐射并不会与调制层显著相互作用或为调制层所吸收,且该微波辐射易透射以供使灯泡302中的气体离子化。
使基板进入处理区域300,以对沉积于基板308上的介电薄膜执行后处理固化。薄膜可为低介电常数介电薄膜,这些介电薄膜具有致孔剂,包括(例如)薄膜内的硅主链结构及碳。薄膜内的硅主链结构及碳有时称为致孔剂。在UV暴露后,碳键断裂且碳自薄膜除气,留下硅主链且提高多孔性,从而降低介电常数值且减小薄膜的载流容量。
在常规系统中,在基板的固化及除气过程中,交叉流动的不均匀气流分布净化腔室。净化气体自腔室的一侧流向相对侧且介于基板与窗口之间,以使逸出薄膜的任何残余物在该残余物可凝结于窗口上或腔室中的其他任何地方之前被带走。鉴于流量分布的不受控制的不均匀性,基板处理亦将是不均匀的且导致横跨基板的温度梯度。然而,45nm范围中的薄膜的所得的不均匀性可能为可接受的,但该不均匀性在下一代20nm至28nm薄膜中为不可接受的。
本发明的实施例涉及硬件设计,该硬件设计允许横跨基板308的特定气流分布分配,该基板308正在UV腔室、灯加热腔室或其他腔室中进行处理,在腔室中“光”能量用以直接在基板308上或在基板308上方处理薄膜或催化反应。将就图4至图5B描述本发明的各种实施例。图4图示一个处理腔室400的一部分的等角横截面视图,该处理腔室400包括用以在处理期间改进气流分布均匀性及提高基板产量的本发明的实施例。图5A为无窗口组件的图4中处理腔室400的等角横截面视图。图5B为图5A中所示喷洒器的横截面的近视图。
处理腔室400的一部分图示允许控制处理腔室各处气流分布的各种硬件设计。窗口组件设置于处理腔室400内,以固持UV真空窗口412。窗口组件包括真空窗口夹410,该真空窗口夹410放置于主体200的一部分上且支撑真空窗口412,来自UV灯302的UV光可通过真空窗口412,该窗口组件为主体200上方盖组件的一部分。真空窗口412设置于UV辐射源(诸如,UV灯302)与基板支撑件306之间。UV辐射源302与基板支撑件306间隔开来且被配置成产生且向设置于基板支撑件306上的基板308发送紫外线辐射。
透明喷洒器414设置于处理区域300内且介于真空窗口412与基板支撑件(诸如,基板支撑件306)之间。透明喷洒器将上处理区域320界定于真空窗口412与透明喷洒器414之间,且该透明喷洒器进一步将下处理区域322界定于透明喷洒器414与基板支撑件(诸如,基板支撑件306)之间(图5A)。透明喷洒器414亦具有一或更多介于上处理区域320与下处理区域322间的通道416。通道416可能具有粗糙表面418,有时称为“磨砂(frosted)”,以使通道416并非完全透明,否则可能会潜在地在基板308上造成阴影且削弱薄膜的正常固化。因为可能为磨砂的通道416扩散UV光,所以在处理期间在基板308上不存在光图案。
透明喷洒器414形成第二窗口,UV光可通过该第二窗口到达基板308。作为第二窗口,喷洒器414需要对用于固化基板308上的薄膜的所要光波长为透明的。透明喷洒器可由各种透明材料(诸如,石英或蓝宝石)制成。可通过穿过石英件钻孔来形成通道416,以形成透明喷洒器414且使透明喷洒器414成形以装入处理区域300内。石英件的表面可经火焰抛光,而钻孔可经蚀刻以形成粗糙表面418。通道416的大小及密度可能为均匀的或不均匀的,以实现横跨基板表面的所要流动特性。通道416可具有均匀流量分布(其中横跨基板308的每径域的流量为均匀的)或气流可优先到基板308的中心或边缘,即,气流可具有优先的流量分布。
可涂覆透明喷洒器414及真空窗口412,以具有带通滤光片且改进所要波长的透射。例如,抗反射涂层(ARC)可沉积于透明喷洒器414及真空窗口412上,以改进所要波长的透射效率。用以反射IR且允许UV通过的反射涂层或用以反射UV且允许IR通过的二向色涂层皆亦可应用于透明喷洒器414表面及真空窗口412表面。可由PVD、CVD或其他合适的沉积技术形成涂层。涂层可包含具有所要薄膜透射率及折射率的无机薄膜层,该无机薄膜层可协助光穿过透明喷洒器414及真空窗口412透射至基板308。在一个实施例中,这些涂层可含有氧化钛(TiO2)层、氧化锡(SnO2)层、二氧化硅(SiO2)或这些材料的组合,这些涂层形成于真空窗口412及透明喷洒器414的表面上。
在另一个实施例中,ARC涂层可为具有形成于真空窗口412及透明喷洒器414的表面上的一层或更多层的组合层。在一个实施例中,ARC涂层可为包括形成于第二层上的第一层的薄膜堆迭,该ARC涂层形成于真空窗口412及透明喷洒器414的表面上。在一个实施例中,第一层可为氧化硅(SiO2)层而第二层可为氧化钛(TiO2)层或氧化锡(SnO2)层,或者反之亦然。在另一个实施例中,ARC层可包括含有重复氧化硅(SiO2)层及氧化钛(TiO2)层的薄膜堆迭。
气体分配环420安置于处理区域300内,该气体分配环420具有一或更多气体分配环通道426。一或更多气体分配环通道426耦接气体分配环内沟槽424与上处理区域320,从而在内沟槽424与透明喷洒器414上方的上处理区域320之间形成气流路径。气体出口环430设置于气体分配环420下方,且该气体出口环430可至少部分地位于处理区域300内的透明喷洒器414下方。气体出口环430亦具有一或更多气体出口通道436,该一或更多气体出口通道436耦接气体出口环内沟槽434及下处理区域322,从而在下处理区域322与气体出口内沟槽434之间形成气流路径。气体出口环430的一或更多气体出口通道436至少部分地安置于透明喷洒器414下方。
图6图示图5A中处理腔室的示意横截面视图,从而图示气流路径。净化气体或其他类型的气体可注入至真空窗口412与透明喷洒器414间的上处理区域320中,穿过透明喷洒器414且自透明喷洒器414向下朝向基板。通道416、426、436的大小及气体流速可经调整,使得背压形成以使向下朝向基板308的流动均匀。气流自上方冲刷基板、同心展开且离开下处理区域322,穿过气体出口通道436且流向泵610。
箭头605图示气流路径自气体分配环420、穿过透明喷洒器414、在基板支撑件306上方、穿过气体出口环430且离开腔室400,该基板支撑件306上亦可具有基板308。通道416、426、436的密度及大小可经调整,以视需要增大或减小气流速率。在一个实施例中,通道416、426、436的密度及大小提供横跨基板308的均匀的气流分布。诸如气体分配环420的硬件提供充足压力降,以在进入透明喷洒器414上方的上处理区域320之前均匀分配气体。随后,气体由于穿过喷洒器414的通道416的高流动阻力可均匀地填充透明喷洒器414上方的上处理区域320。气流行进至基板,且该气流随后均匀地穿过气体出口环430经泵出至泵610。
视喷洒器414中的通道416图案而定,流动可为均匀的(与基板面积成比例)或优先朝向中心或边缘流动。因此,可横跨基板308控制气流分布,以提供所要均匀的或不均匀的分配。此外,亦可将横跨基板308的温度分布控制为均匀的或不均匀的。因此,本发明的实施例不仅提供产生横跨基板的均匀气流及温度分布的能力,亦提供产生及控制所要不均匀的气体及温度分布的能力。
在本发明的一些实施例中,气体组合物可包括用于净化腔室的净化气体。这些气体可包括惰性气体物质或不反应气体,诸如氩气(Ar)、氦气(He)、氮气(N2)等。在另一个实施例中,气体组合物可包括用于清洁处理腔室及腔室内的部件的清洁气体。清洁气体可包括臭氧、Ar、He或这些气体的组合。本发明的实施例在清洁气体为臭氧时尤其有助于改进清洁制程。臭氧可在处理区域的远端产生,或将臭氧引入至腔室中可包括用紫外线光活化氧以产生臭氧。气体供应器600可将臭氧产生源耦接至处理区域300。当使用臭氧时,较小体积的腔室可改进清洁效率。提供至处理区域的UV光及热可将臭氧分解为氧自由基,以供与沉积残余物进行反应,以移除这些残余物。
当UV灯302开启时,归因于来自灯的红外线光,加热真空窗口412及透明喷洒器414两者。透明喷洒器414可能对UV光透光而吸收IR光中的一些IR光,因此在两个窗口之间形成加热沟槽,以供臭氧在上处理区域320中分解,且该臭氧随后向下移动至下处理区域322中以供清洁。透明喷洒器414所吸收的IR光产生温度梯度,该温度梯度与自气体分配环420注入至上处理区域320中的臭氧相互作用,从而使臭氧分解。正在分解的臭氧量可能与环绕上处理区域320的部件(诸如,喷洒器414及气体分配环420)的温度及表面积成比例。通过增大加热部件的表面积,将臭氧分解成为反应氧自由基所需的能量可能较少,且可改进清洁效率。此外,可将臭氧均匀地传递至基板308,在基板308处UV光将使臭氧分解成O2及氧自由基,该O2及氧自由基可用于蚀刻基板308上的有机薄膜或材料。
如图6中所示,另一个加热器630可用以加热处理腔室中的部件,诸如真空窗口夹410、真空窗口412及气体分配环420。加热这些硬件部件可改进臭氧退化效率且减少致孔剂在部件上的沉积。气体分配环420、气体出口环430及真空窗口夹410可由阳极化铝制成,以增大那些部件的放射率。部件随后可吸收更多热量,从而升高部件温度且减少部件上的残余物沉积量,以产生减少的清洁时间、改进产量及粒子性能。此外,可向排气口317提供热量,以亦减少或防止致孔剂聚集于排气口317中。
气体供应器600与气体分配环420耦接,以提供用于可在腔室400中执行的沉积制程、形成制程、处理制程、净化制程及清洁制程的各种气体。在另一个实施例中,远端等离子体源(RPS)亦可与气体分配环420耦接,以在需要时将等离子体供能物质提供至处理区域中。气体泵610与气体出口环430耦接,以自腔室移除气体化合物。此外,加热器620与基板支撑件306耦接,以加热基板及周围区域,包括下处理区域322中的气体。
图7为如图6所示处理腔室及气流路径的一部分的等角横截面的近视图。气体分配环420及气体出口环430可包含各种部件。气体分配环420可包含基座分配环421及气体入口环423。基座分配环421可界定一或更多气体分配环通道426。气体入口环423可与基座分配环421耦接,该气体入口环423及该基座分配环421可一起界定气体分配环内沟槽424。气体入口环423亦可具有一或更多气体入口425,气体可穿过该或该一或更多气体入口425进入气体分配环内沟槽424。气体供应源600耦接至气体入口425,以向气体分配环420提供气体物质及混合物。
气体出口环430可包含基座出口环431及气体泵送环433。气体泵送环433可界定该一或更多气体出口通道436且支撑透明喷洒器414。基座出口环431与气体泵送环433耦接,以形成气体出口环430。基座出口环431及气体泵送环433可一起界定气体出口环内沟槽434。气体出口环430的至少一个气体出口438(参阅图6)可与排气口317对准,以供气体离开气体出口环430。
如图7中所示且如箭头605所图示,气体可进入气体入口425,并且该气体流动穿过气体分配环内沟槽424且流出气体出口环430的通道426。气体填充透明喷洒器414上方的体积(例如,上处理区域320)且该气体流动穿过喷洒器通道416。随后,气体横跨基板308同心且径向流动至气体出口通道436。随后,气体自下处理区域322排出、进入气体出口环内沟槽434、流动穿过内沟槽434且离开气体出口438进入排气口317且至泵610。
由本发明的实施例提供的同心气流分布亦可允许调整压力、气体组合物、气体混合物等,以改变基板308上的温度分布,诸如在若期望基板边缘冷制程或边缘热制程的情况下。常规设计不允许调整这些变量,以产生且控制横跨基板表面的温度分布。例如,在本发明的一些实施例中,压力变化及更多氩气或更多氦气可用以调整温度分布。氦气运载热量更有效率,且压力将改变气体滞留时间。为穿过透明喷洒器的流量分配调整这些制程变量以及通道大小可促使将横跨基板的温度分布控制为在基板308上的所要位置处为均匀的或不均匀的,藉此较佳地处理基板308及基板308上的薄膜。在一些实施例中,气体可注射于处理腔室400内的不同区域处。
UV固化可具有各种用途,诸如用于薄膜修复及使薄膜中的氢键断裂以增大薄膜应变能。处理腔室400亦可用于将UV活化气体物质注入至处理区域300中,以在基板308上形成薄膜。特定气体可在基板308上方混合,在基板处可通过使用UV光且允许“滴落(drop-on)”沉积制程,在气相中使反应活化。在另一个实施例中,气体可与用作催化剂的UV光反应,以在基板308上形成薄膜。因此,气体供应器600亦可包括前体及不反应气体,可用UV光引发这些前体及不反应气体以形成薄膜。在一些实施例中,窗口412及喷洒器414可能需要冷却或至少不被加热,以防止在窗口412及喷洒器414上沉积薄膜。然而,可实施臭氧清洁制程,以自窗口412及喷洒器414移除任何这种薄膜沉积物。此外,可均匀地引入气体以在基板上产生单层或多层薄膜。UV能量随后可用以使基板308上的反应活化,以进一步视需要形成沉积层。
本发明的实施例改进基板的温度均匀性达2倍至3倍,且更有效地清洁真空窗口。因为该系统允许清洁制程及固化制程两者的更高效率,因此该系统的产量增大。在一些情况下,改进的固化时间差不多减少了10%至15%,被认为是基板308的更均匀温度的结果,从而在腔室内或在基板308上不具有冷点且保持窗口更清洁以降低由沉积残余物堆积所引起的横跨窗口表面的不同光强度。此外,由于更有效地使用气体,因此可减少流动穿过处理腔室所需的气体量。
尽管上文针对本发明的实施例,但在不脱离本发明的基本范围的情况下可设计本发明的其他及另外实施例。

Claims (15)

1.一种用于控制处理腔室内的气流分布的处理工具,所述处理工具包含:
紫外线处理腔室,所述紫外线处理腔室界定处理区域;
基板支撑件,所述基板支撑件用于支撑所述处理区域内的基板;
紫外线(UV)辐射源,所述紫外线辐射源与所述基板支撑件间隔开来且所述紫外线辐射源被配置成产生且向设置于所述基板支撑件上的基板发送紫外线辐射;
窗口,所述窗口安置于所述UV辐射源与所述基板支撑件之间;
其特征在于,所述处理工具进一步包含:
透明喷洒器,所述透明喷洒器安置于所述窗口与所述基板支撑件间的所述处理区域内且所述透明喷洒器界定上处理区域及下处理区域,所述上处理区域位于所述窗口与所述透明喷洒器之间,而所述下处理区域位于所述透明喷洒器与所述基板支撑件之间,所述透明喷洒器具有介于所述上处理区域与所述下处理区域间的一或更多透明喷洒器通道;
气体分配环,所述气体分配环具有介于所述气体分配环内的气体分配环内沟槽与所述上处理区域间的一或更多气体分配环通道;以及
气体出口环,所述气体出口环设置于所述气体分配环的下方,所述气体出口环具有介于所述气体出口环内的气体出口环内沟槽与所述下处理区域间的一或更多气体出口通道。
2.如权利要求1所述的处理工具,其中所述一或更多气体出口通道至少部分地安置于所述透明喷洒器的下方。
3.如权利要求1所述的处理工具,其中所述气体分配环进一步包含:
基座分配环,所述基座分配环界定所述一或更多气体分配环通道;以及
气体入口环,所述气体入口环与所述基座分配环耦接,所述气体入口环及所述基座分配环一起界定所述气体分配环内沟槽,所述气体入口环进一步包含一或更多气体入口,以供气体进入所述气体分配环内沟槽。
4.如权利要求1所述的处理工具,其中所述气体出口环进一步包含:
气体泵送环,所述气体泵送环界定所述一或更多气体出口通道;以及
基座出口环,所述基座出口环与所述气体泵送环耦接,所述基座出口环及所述气体泵送环一起界定所述气体出口环内沟槽,所述基座出口环进一步包含至少一个气体出口,以供气体离开所述气体出口环。
5.如权利要求1所述的处理工具,其中所述一或更多透明喷洒器通道具有均匀的气流分布,其中横跨所述基板的每径域的所述气流为均匀的。
6.如权利要求1所述的处理工具,其中所述一或更多透明喷洒器通道具有优先的气流分布,其中每径域的所述气流优先到所述基板的中心或边缘。
7.一种控制处理腔室中的流量分配分布的方法,所述方法包含:
将气体物质注入至所述处理腔室的上处理区域中,所述上处理区域位于窗口与透明喷洒器之间,所述透明喷洒器设置于所述处理腔室内;
使所述气体物质流动穿过一或更多通道且进入下处理区域中,所述一或更多通道形成于所述透明喷洒器中,所述下处理区域位于所述透明喷洒器与基板支撑件之间,所述基板支撑件位于所述处理腔室内;以及
使所述气体物质自所述下处理区域排出且穿过排气口。
8.如权利要求7所述的方法,其中注入气体物质进一步包含:
使所述气体物质流动穿过气体分配环至所述上处理区域。
9.如权利要求8所述的方法,其中使所述气体物质流动穿过所述气体分配环包含:
使所述气体物质流动穿过气体分配环内沟槽及一或更多气体分配环通道,所述气体分配环内沟槽安置于所述气体分配环内,所述一或更多气体分配环通道安置于所述气体分配环内且介于所述气体分配环内沟槽与所述上处理区域之间。
10.如权利要求7所述的方法,其中使所述气体物质排出进一步包含:
使所述气体物质流动穿过气体出口环且流向排气口,所述气体出口环安置于所述气体分配环下方。
11.如权利要求10所述的方法,其中使所述气体物质流动穿过气体出口环进一步包含:
使所述气体物质流动穿过一或更多气体出口通道且穿过气体出口环内沟槽至所述排气口,所述一或更多气体出口通道安置于所述气体出口环内且介于所述下处理区域与所述气体出口环内沟槽之间,所述气体出口环内沟槽安置于所述气体出口环内。
12.一种用于清洁处理腔室的方法,所述方法包含:
将清洁气体注入至所述处理腔室的上处理区域中,所述上处理区域位于窗口与透明喷洒器之间,所述透明喷洒器设置于所述处理腔室内;
将所述清洁气体与UV光或热中的至少一个反应,以形成反应物质;
使所述反应物质流动穿过一或更多通道且进入下处理区域中,所述一或更多通道形成于所述透明喷洒器中,所述下处理区域位于所述透明喷洒器与基板支撑件之间,所述基板支撑件位于所述处理腔室内;
移除沉积于所述窗口及所述透明喷洒器的表面上的残余物;以及
使所述反应物质及残余物自所述下处理区域排出且穿过排气口。
13.如权利要求12所述的方法,其中注入进一步包含:
使所述清洁气体流动穿过气体分配环至所述上处理区域;以及
其中排出进一步包含:
使所述反应物质流动穿过气体出口环且流向排气口,所述气体出口环安置于所述气体分配环下方。
14.如权利要求13所述的方法,其中使所述清洁气体流动穿过气体分配环至所述上处理区域进一步包含:
使所述清洁气体流动穿过气体分配环内沟槽及一或更多气体分配环通道,所述气体分配环内沟槽安置于所述气体分配环内,所述一或更多气体分配环通道安置于所述气体分配环内且介于所述气体分配环内沟槽与所述上处理区域之间。
15.如权利要求13所述的方法,其中使所述反应物质流动穿过安置于所述气体分配环下方的气体出口环且流向排气口进一步包含:
使所述反应物质流动穿过一或更多气体出口通道且穿过气体出口环内沟槽至所述排气口,所述一或更多气体出口通道安置于所述气体出口环内且介于所述下处理区域与所述气体出口环内沟槽之间,所述气体出口环内沟槽安置于所述气体出口环内。
CN201180044541.9A 2010-10-19 2011-09-29 用于紫外线纳米固化腔室的石英喷洒器 Active CN103109357B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US39471110P 2010-10-19 2010-10-19
US61/394,711 2010-10-19
PCT/US2011/054014 WO2012054206A2 (en) 2010-10-19 2011-09-29 Quartz showerhead for nanocure uv chamber

Publications (2)

Publication Number Publication Date
CN103109357A CN103109357A (zh) 2013-05-15
CN103109357B true CN103109357B (zh) 2016-08-24

Family

ID=45933035

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180044541.9A Active CN103109357B (zh) 2010-10-19 2011-09-29 用于紫外线纳米固化腔室的石英喷洒器

Country Status (6)

Country Link
US (1) US8911553B2 (zh)
JP (1) JP5905476B2 (zh)
KR (1) KR101896607B1 (zh)
CN (1) CN103109357B (zh)
TW (1) TWI537417B (zh)
WO (1) WO2012054206A2 (zh)

Families Citing this family (328)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8481355B2 (en) * 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
WO2012054206A2 (en) * 2010-10-19 2012-04-26 Applied Materials, Inc. Quartz showerhead for nanocure uv chamber
JP5933602B2 (ja) 2011-03-04 2016-06-15 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated ガス分配を行なう装置および基板処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8679987B2 (en) * 2012-05-10 2014-03-25 Applied Materials, Inc. Deposition of an amorphous carbon layer with high film density and high etch selectivity
US8753449B2 (en) * 2012-06-25 2014-06-17 Applied Materials, Inc. Enhancement in UV curing efficiency using oxygen-doped purge for ultra low-K dielectric film
WO2014116304A2 (en) * 2012-08-23 2014-07-31 Applied Materials, Inc. Method and hardware for cleaning uv chambers
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9322097B2 (en) 2013-03-13 2016-04-26 Applied Materials, Inc. EPI base ring
US9252024B2 (en) * 2013-05-17 2016-02-02 Applied Materials, Inc. Deposition chambers with UV treatment and methods of use
US20140363903A1 (en) * 2013-06-10 2014-12-11 Tokyo Ohta Kogyo Co., Ltd. Substrate treating apparatus and method of treating substrate
US9837250B2 (en) * 2013-08-30 2017-12-05 Applied Materials, Inc. Hot wall reactor with cooled vacuum containment
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
KR102194821B1 (ko) * 2013-10-17 2020-12-24 삼성디스플레이 주식회사 유기물 증착 장치 및 유기물 증착 방법
CN104752275B (zh) * 2013-12-29 2018-01-09 北京北方华创微电子装备有限公司 工艺腔室以及半导体加工设备
CN104752274B (zh) * 2013-12-29 2017-12-19 北京北方华创微电子装备有限公司 工艺腔室以及半导体加工设备
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP5941491B2 (ja) * 2014-03-26 2016-06-29 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法並びにプログラム
CN103928370A (zh) * 2014-04-08 2014-07-16 上海华力微电子有限公司 用于多孔低k介质的紫外线照射装置和照射方法
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
JP6428466B2 (ja) * 2014-06-23 2018-11-28 東京エレクトロン株式会社 基板処理方法、基板処理装置、基板処理システム及び記憶媒体
US10858737B2 (en) * 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9905400B2 (en) 2014-10-17 2018-02-27 Applied Materials, Inc. Plasma reactor with non-power-absorbing dielectric gas shower plate assembly
US9520312B2 (en) * 2014-12-19 2016-12-13 Varian Semiconductor Equipment Associates, Inc. System and method for moving workpieces between multiple vacuum environments
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
CN104561939B (zh) * 2015-01-12 2017-11-24 深圳清溢光电股份有限公司 超薄反应腔
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
TWI677929B (zh) * 2015-05-01 2019-11-21 美商應用材料股份有限公司 用於形成膜堆疊的雙通道噴頭
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6785848B2 (ja) * 2015-12-30 2020-11-18 マトソン テクノロジー インコーポレイテッドMattson Technology, Inc. ミリ秒アニールシステムのためのガスフロー制御
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
TWI689619B (zh) * 2016-04-01 2020-04-01 美商應用材料股份有限公司 用於提供均勻流動的氣體的設備及方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10428426B2 (en) * 2016-04-22 2019-10-01 Applied Materials, Inc. Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN108085649B (zh) * 2016-11-23 2020-03-27 北京北方华创微电子装备有限公司 反应腔室及半导体加工设备
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10381200B2 (en) * 2017-03-08 2019-08-13 Applied Materials, Inc. Plasma chamber with tandem processing regions
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10373823B2 (en) 2017-06-05 2019-08-06 Applied Materials, Inc. Deployment of light energy within specific spectral bands in specific sequences for deposition, treatment and removal of materials
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
WO2020068338A1 (en) * 2018-09-24 2020-04-02 Applied Materials, Inc. Atomic oxygen and ozone device for cleaning and surface treatment
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
MX2021004432A (es) * 2018-10-16 2021-07-06 Transitions Optical Ltd Aparato de curado ultravioleta.
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
EP3894181B1 (en) 2018-12-11 2022-11-16 IO Tech Group, Ltd. Systems and methods for preventing oxygen inhibition of a light-initiated polymerization reaction in a 3d printing system using inert gas
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2022523541A (ja) 2019-03-08 2022-04-25 アプライド マテリアルズ インコーポレイテッド 処理チャンバ用の多孔性シャワーヘッド
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
US11952660B2 (en) * 2019-07-29 2024-04-09 Applied Materials, Inc. Semiconductor processing chambers and methods for cleaning the same
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
US11348784B2 (en) 2019-08-12 2022-05-31 Beijing E-Town Semiconductor Technology Co., Ltd Enhanced ignition in inductively coupled plasmas for workpiece processing
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
US11215934B2 (en) 2020-01-21 2022-01-04 Applied Materials, Inc. In-situ light detection methods and apparatus for ultraviolet semiconductor substrate processing
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11584993B2 (en) 2020-10-19 2023-02-21 Applied Materials, Inc. Thermally uniform deposition station
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
TW202403086A (zh) * 2021-07-12 2024-01-16 美商應用材料股份有限公司 用於前驅物遏制的改進的噴頭泵送幾何形狀
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5781693A (en) * 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
CN1847450A (zh) * 2001-03-19 2006-10-18 株式会社Ips 化学气相沉积设备
CN101171367A (zh) * 2005-05-09 2008-04-30 应用材料公司 处理室的高效uv清洁
TW200832534A (en) * 2006-09-19 2008-08-01 Asm Japan Method of cleaning UV irradiation chamber

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5156820A (en) * 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
JPH07249589A (ja) * 1994-03-10 1995-09-26 Tokyo Electron Ltd 熱処理装置
US5580421A (en) * 1994-06-14 1996-12-03 Fsi International Apparatus for surface conditioning
US6143081A (en) * 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US6228173B1 (en) * 1998-10-12 2001-05-08 Tokyo Electron Limited Single-substrate-heat-treating apparatus for semiconductor process system
JP4680350B2 (ja) * 2000-06-26 2011-05-11 東京エレクトロン株式会社 枚葉式処理装置
JP3924483B2 (ja) * 2001-03-19 2007-06-06 アイピーエス リミテッド 化学気相蒸着装置
JP5079949B2 (ja) * 2001-04-06 2012-11-21 東京エレクトロン株式会社 処理装置および処理方法
US20050109280A1 (en) * 2003-09-22 2005-05-26 Chen Xiangqun S. Rapid thermal chemical vapor deposition apparatus and method
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20070026690A1 (en) * 2004-11-05 2007-02-01 Yoo Woo S Selective frequency UV heating of films
TWI387667B (zh) * 2004-12-21 2013-03-01 Applied Materials Inc 用於自化學氣相蝕刻處理室移除副產物沉積的原位處理室清潔製程
EP1831430A2 (en) * 2004-12-21 2007-09-12 Applied Materials, Inc. An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20060249175A1 (en) 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system
US7589336B2 (en) 2006-03-17 2009-09-15 Applied Materials, Inc. Apparatus and method for exposing a substrate to UV radiation while monitoring deterioration of the UV source and reflectors
US20070256635A1 (en) * 2006-05-02 2007-11-08 Applied Materials, Inc. A Delaware Corporation UV activation of NH3 for III-N deposition
US7789965B2 (en) 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
CN102077316A (zh) * 2008-06-27 2011-05-25 应用材料股份有限公司 用于高产量及稳定逐基材表现的快速周期和广泛的后期紫外臭氧清洗程序的添加
WO2012054206A2 (en) * 2010-10-19 2012-04-26 Applied Materials, Inc. Quartz showerhead for nanocure uv chamber
KR101398043B1 (ko) * 2010-11-30 2014-06-27 어플라이드 머티어리얼스, 인코포레이티드 Uv 챔버에서 웨이퍼 처리 프로파일을 조절하기 위한 방법 및 장치
WO2012138866A1 (en) * 2011-04-08 2012-10-11 Applied Materials, Inc. Apparatus and method for uv treatment, chemical treatment, and deposition
KR20140110080A (ko) * 2012-01-09 2014-09-16 어플라이드 머티어리얼스, 인코포레이티드 열화를 방지하기 위해 uv 챔버 광학 컴포넌트들을 시즈닝하기 위한 방법
WO2013162848A1 (en) * 2012-04-25 2013-10-31 Applied Materials, Inc. Method for uv based silylation chamber clean
US8753449B2 (en) * 2012-06-25 2014-06-17 Applied Materials, Inc. Enhancement in UV curing efficiency using oxygen-doped purge for ultra low-K dielectric film
TW201403711A (zh) * 2012-07-02 2014-01-16 Applied Materials Inc 利用氣相化學暴露之低k介電質損傷修復
TWI581331B (zh) * 2012-07-13 2017-05-01 應用材料股份有限公司 降低多孔低k膜的介電常數之方法
WO2014116304A2 (en) * 2012-08-23 2014-07-31 Applied Materials, Inc. Method and hardware for cleaning uv chambers

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5781693A (en) * 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
CN1847450A (zh) * 2001-03-19 2006-10-18 株式会社Ips 化学气相沉积设备
CN101171367A (zh) * 2005-05-09 2008-04-30 应用材料公司 处理室的高效uv清洁
TW200832534A (en) * 2006-09-19 2008-08-01 Asm Japan Method of cleaning UV irradiation chamber

Also Published As

Publication number Publication date
WO2012054206A3 (en) 2012-06-21
US20120090691A1 (en) 2012-04-19
KR101896607B1 (ko) 2018-09-07
CN103109357A (zh) 2013-05-15
US8911553B2 (en) 2014-12-16
TW201221684A (en) 2012-06-01
JP2013541849A (ja) 2013-11-14
WO2012054206A2 (en) 2012-04-26
JP5905476B2 (ja) 2016-04-20
KR20130129943A (ko) 2013-11-29
TWI537417B (zh) 2016-06-11

Similar Documents

Publication Publication Date Title
CN103109357B (zh) 用于紫外线纳米固化腔室的石英喷洒器
CN101736316B (zh) 处理室的高效uv清洁
CN101171367B (zh) 处理室的高效uv清洁
CN102057479B (zh) 具有水蒸气的uv固化方法与设备
US8702870B2 (en) Superimposition of rapid periodic and extensive post multiple substrate UV-ozone clean sequences for high throughput and stable substrate to substrate performance
US8753449B2 (en) Enhancement in UV curing efficiency using oxygen-doped purge for ultra low-K dielectric film
US20070299239A1 (en) Curing Dielectric Films Under A Reducing Atmosphere
US8657961B2 (en) Method for UV based silylation chamber clean
TW201403711A (zh) 利用氣相化學暴露之低k介電質損傷修復
KR20140110080A (ko) 열화를 방지하기 위해 uv 챔버 광학 컴포넌트들을 시즈닝하기 위한 방법
US10373823B2 (en) Deployment of light energy within specific spectral bands in specific sequences for deposition, treatment and removal of materials
TWI465298B (zh) 用於高產量及穩定逐基材表現之快速週期和廣泛的後期紫外臭氧清洗程序之添加

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant