JP5905476B2 - Nanocureuvチャンバ用の石英シャワーヘッド - Google Patents

Nanocureuvチャンバ用の石英シャワーヘッド Download PDF

Info

Publication number
JP5905476B2
JP5905476B2 JP2013534929A JP2013534929A JP5905476B2 JP 5905476 B2 JP5905476 B2 JP 5905476B2 JP 2013534929 A JP2013534929 A JP 2013534929A JP 2013534929 A JP2013534929 A JP 2013534929A JP 5905476 B2 JP5905476 B2 JP 5905476B2
Authority
JP
Japan
Prior art keywords
gas
ring
substrate
permeable
processing region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2013534929A
Other languages
English (en)
Other versions
JP2013541849A (ja
Inventor
サンジーヴ バルジャ,
サンジーヴ バルジャ,
フアン カルロス ロチャ−アルバレス,
フアン カルロス ロチャ−アルバレス,
アレクサンドロス ティー. デモス,
アレクサンドロス ティー. デモス,
トーマス ノワック,
トーマス ノワック,
チェンホア チョウ,
チェンホア チョウ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2013541849A publication Critical patent/JP2013541849A/ja
Application granted granted Critical
Publication of JP5905476B2 publication Critical patent/JP5905476B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0391Affecting flow by the addition of material or energy

Description

本発明の実施形態は、UV光等を用いて、基板上に膜を形成し、処理するための処理ツールに関する。詳細には、本発明の実施形態は処理チャンバ内のガス流プロファイルを制御することに関する。
酸化ケイ素(SiO)、炭化ケイ素(SiC)及び炭素ドープ酸化ケイ素(SiOC)のような、低い誘電率(低k)を有する材料は、半導体デバイスの製造において極めて広範囲に及ぶ用途を見いだす。低k材料を導電性インターコネクト間の金属間誘電体及び/又は層間誘電体として用いることによって、容量性効果に起因する信号伝搬の遅延が減少する。誘電体層の誘電率が低いほど、誘電体の静電容量が低くなり、集積回路(IC)のRC遅延が小さくなる。
従来、低k誘電体材料は、二酸化ケイ素よりも低い誘電率k、すなわち、k<4を有する材料と定義される。低k材料を得る典型的な方法は、二酸化ケイ素に炭素又はフッ素を含む種々の官能基をドープすることを含む。フッ素化ケイ酸塩ガラス(FSG)は一般的に3.5〜3.9のkを有するが、炭素ドーピング法によって、k値を約2.5までさらに下げることができる。現在の試みは、超低k(ULK)誘電体とも呼ばれる、最先端技術が必要とする2.5未満のk値を有する低k誘電体材料を開発することに的が絞られている。
半導体基板上にシリコン含有膜を形成するための1つの手法は、チャンバ内で化学気相堆積(CVD)のプロセスを通して行われる。シリコン含有膜のCVD中に、多くの場合に、有機シリコンを供給する材料が利用される。そのようなシリコン供給材料内に炭素が存在する結果として、チャンバ壁及び基板上に炭素含有膜を形成することができる。
さらに、超低k(ULK)誘電体材料は、低k材料マトリックス内に気泡を組み込むことによって得ることができ、多孔性誘電体材料が作成される。多孔性誘電体を製造する方法は、通常、2つの成分:ポロジェン(通常、炭化水素のような有機材料)及び構造形成剤又は誘電体材料(例えば、シリコン含有材料)を含む「前駆体膜」を形成することを伴う。基板上に前駆体膜が形成されると、プロジェン成分を除去することができ、構造的に無傷の多孔性誘電体マトリックス又は酸化物ネットワークが残される。
前駆体膜からポロジェンを除去するための技法は、例えば、有機ポロジェンを破壊し、気化させるのに十分な温度まで基板が加熱される熱プロセスを含む。前駆体膜からポロジェンを除去するための1つの既知の熱プロセスは、CVD酸化ケイ素膜の後処理に役立つUV硬化プロセスを含む。例えば、いずれもApplied Materials,Inc.に譲渡された米国特許第6,566,278号及び第6,614,181号は、CVD炭素ドープ酸化ケイ素膜の後処理のためのUV光の使用を記述する。
UVチャンバ及びプロセスは、ポロジェンを除去するためにUV硬化プロセス中にチャンバを通る不均一なガス流を有する場合がある。不均一なガス流は、結果として、硬化プロセス中に基板を不均等に加熱することになり、その結果、基板にわたる温度勾配及び不均等な処理が生じる場合がある。さらに、UV処理チャンバは、無傷のポロジェン、ポロジェンの断片化された化学種、及び他のポロジェン残留物で被覆される可能性があり、その被覆はUV光が基板に達することができるようにする窓を被覆することを含む。流れが不均一であるために、窓は、基板の他方のエッジよりも、一方のエッジに向かって優先的に被覆される場合もある。さらに、窓上にポロジェン残留物が不均一に蓄積する結果として、基板にわたって膜が不均等に硬化する場合がある。
時間の経過とともに、ポロジェン残留物は、基板において利用可能である有効なUV強度を低減することによって、かつチャンバの冷たい構成要素において蓄積することによって、後続のUVポロジェン除去プロセスの有効性を低減する可能性がある。さらに、チャンバ内に過剰な残留物が蓄積することは、半導体処理に適していない、基板上の微粒子状欠陥の原因となる可能性がある。したがって、犠牲材料の熱的に不安定な有機物断片(CVD中に多孔性を増すために用いられるポロジェンから生じる)は処理チャンバから除去される必要がある。それゆえ、ポロジェン残留物を除去するために、長い洗浄時間を要し、それに応じてスループットが低下する。
それゆえ、効率、スループットを高め、UVポロジェン除去プロセスのためのUV処理チャンバのような生産環境内にある処理チャンバの洗浄プロセスを改善することが必要とされている。それゆえ、従来技術において、スループットを高め、エネルギーの消費を最低限にし、チャンバ自体の内部表面のインサイチュ洗浄プロセスに適合したUVチャンバが必要とされている。
本発明の実施形態は包括的には処理チャンバ内のガス流プロファイルを制御するための装置及び方法を提供する。一実施形態では、処理ツールは、処理領域を画定する紫外線処理チャンバと、処理領域内で基板を支持するための基板支持体と、基板支持体から離隔して配置され、紫外線放射を生成し、基板支持体上に位置決めされる基板に送出するように構成される紫外線(UV)放射源と、UV放射源と基板支持体との間に配置される窓と、窓と基板支持体との間の処理領域内に配置され、上側処理領域と下側処理領域とを画定する透過性シャワーヘッドとを備える。上側処理領域は窓と透過性シャワーヘッドとの間に位置し、下側処理領域は透過性シャワーヘッドと基板支持体との間に位置する。透過性シャワーヘッドは上側処理領域と下側処理領域との間に1つ又は複数の透過性シャワーヘッド通路を有する。処理ツールはさらに、ガス分配リングであって、ガス分配リング内のガス分配リング内部チャネルと上側処理領域との間に1つ又は複数のガス分配リング通路を有する、ガス分配リングと、ガス分配リング下に位置決めされるガス排出リングであって、ガス排出リングは、ガス排出リング内のガス排出リング内部チャネルと下側処理領域との間に1つ又は複数のガス排出通路を有する、ガス排出リングとを備える。
別の実施形態では、処理チャンバ内の流れ分配プロファイルを制御する方法が、ガス化学種を処理チャンバの上側処理領域に注入することと、上側処理領域は窓と処理チャンバ内に位置決めされる透過性シャワーヘッドとの間に位置し、ガス化学種を透過性シャワーヘッド内に形成される1つ又は複数の通路を通して下側処理領域の中に流すことと、下側処理領域は透過性シャワーヘッドと処理チャンバ内に位置する基板支持体との間に位置し、下側処理領域から排気口を通してガス化学種を吐出することとを含む。
別の実施形態では、処理チャンバを洗浄するための方法が、処理チャンバの上側処理領域に洗浄ガスを注入することと、上側処理領域は窓と処理チャンバ内に位置決めされる透過性シャワーヘッドとの間に位置し、反応性化学種を形成するため、洗浄ガスをUV光及び熱のうちの少なくとも一方と反応させることと、反応性化学種を透過性シャワーヘッド内に形成される1つ又は複数の通路を通して下側処理領域の中に流すことと、下側処理領域は透過性シャワーヘッドと処理チャンバ内に位置する基板支持体との間に位置し、窓の表面及び透過性シャワーヘッドの表面上に堆積した残留物を除去することと、反応性化学種及び残留物を下側処理領域から排気口を通して吐出することとを含む。
本発明の先に記載された特徴を細部にわたって理解することができるように、複数の実施形態を参照することによって、先に簡単に要約された本発明のさらに詳細な説明を行うことができ、それらの実施形態のうちの幾つかが添付の図面に示される。しかしながら、添付の図面は本発明の典型的な実施形態のみを示しており、本発明は同等に実効的な他の実施形態も受け入れることができるので、それらの図面は本発明の範囲を制限すると見なされるべきではないことに留意されたい。
本発明の実施形態を組み込むことができる半導体処理システムの平面図である。 UV硬化用に構成される半導体処理システムのタンデム処理チャンバの図である。 2つの処理領域の上方にそれぞれ配置される2つのUV電球を備える蓋アセンブリを有するタンデム処理チャンバの部分断面図である。 蓋アセンブリを外した、処理チャンバのうちの1つの一部の概略的な等角断面図である。 Aの部分は窓アセンブリを外した図4の処理チャンバの概略的な等角断面図で、Bの部分はシャワーヘッドの拡大断面図である。 ガス流路を示す図5のAの処理チャンバの概略的な断面図である。 図6に示される処理チャンバ及びガス流路の位置の拡大等角断面図である。
理解するのを容易にするために、可能な場合には、複数の図面に共通の同じ要素を指定するために同じ参照番号が使用されている。一実施形態において開示される要素は、特に列挙はしないが、他の実施形態においても都合良く利用できると考えられる。
詳細な説明
本発明の実施形態は包括的には、紫外線(UV)処理チャンバと、処理領域内の流れプロファイルを制御するための処理チャンバ内のハードウェアとを有する処理ツールを提供する。本発明の実施形態によれば、処理ツールは、紫外線処理チャンバ内のガスの供給、流路、分配及び除去を制御でき、それにより、種々のプロセスをより良好に制御できるようになる。本発明の実施形態は、処理ツール内の流れプロファイルを制御する方法、及び処理ツールを洗浄する方法も提供する。
そのハードウェアの設計は、UVチャンバ、ランプ加熱チャンバ、又は基板上で直に、若しくは基板の上方において、膜を処理するか、若しくは反応を触媒するために光の形のエネルギーが用いられる他のチャンバ内で処理される基板にわたって特定の流れプロファイル分配を可能にする。さらに、蓄積された任意の残留物を除去することによって、プロセスチャンバ壁、UV窓、及び基板支持体を効率的に洗浄することができる。さらに、本発明の実施形態は、基板処理中の基板ガス放出の流れプロファイルを制御することによって、蓄積される初期残留物を低減する。任意の処理チャンバ又はプロセスが本発明の実施形態を用いることができるが、ポロジェン含有膜のUV硬化を用いて、本発明が説明されることになる。
UV硬化のために用いられる処理チャンバの一実施形態において、タンデム処理チャンバは、チャンバ本体内の2つの別々の、かつ隣接する処理領域と、各処理領域の上方にそれぞれ位置合わせされる1つ又は複数の電球隔離窓を有する蓋とを提供する。電球隔離窓は、1つ又は複数の電球を1つの大きな共通の体積内の基板から隔離するためにタンデム処理チャンバの面当たり1つの窓を有するように、又は電球アレイの各電球が、処理領域と直接接触しているUV透過性エンベロープ内に封入されるように実装することができる。処理領域当たり1つ又は複数のUV電球が、蓋に結合されるハウジングによって覆われることができ、UV電球はUV光を放射し、そのUV光は窓を通って各処理領域内に位置する各基板上に向けられる。
UV電球は発光ダイオードのアレイとすることができるか、又は限定はしないが、マイクロ波アークランプ、高周波フィラメント(容量性結合プラズマ)ランプ及び誘導性結合プラズマ(ICP)ランプを含む、最新技術のUV照明源のいずれかを利用する電球とすることができる。さらに、UV光は硬化プロセス中にパルス動作することができる。基板照明の均一性を高めるための種々の概念はランプアレイの使用を含み、ランプアレイを用いて、入射光の波長分布を変更し、回転及び周期的並進(掃引)を含む基板とランプヘッドとの間の相対的な動きを変更し、ランプ反射体の形状及び/又は位置のリアルタイム変更を実施することもできる。UV電球は紫外線放射の放射源であり、UV及び赤外線(IR)放射波長の広範なスペクトル範囲を送出することができる。
硬化プロセス中に形成される残留物は炭素、例えば、炭素及びシリコンを含むことができ、オゾンに基づく洗浄を用いて除去される。オゾンの生成は、必要なオゾンを遠隔的に生成して硬化チャンバに輸送すること、インサイチュで生成すること、又はこれら2つの方式を同時に実行することによって成し遂げることができる。オゾンを遠隔的に生成する方法は、限定はしないが、誘電体障壁/コロナ放電(例えば、Applied MaterialsのOzonator)又はUV活性化反応器を含む、任意の既存のオゾン生成技術を用いて成し遂げることができる。誘電体材料を硬化させるために用いられるUV電球及び/又は離れて位置することができる更なる1つ又は複数のUV電球を用いて、オゾンを生成することもできる。
図1は、本発明の実施形態を用いることができる半導体処理システム100の平面図である。システム100は、Santa Clara、CaliforniaのApplied Materials,Inc.から市販されるProducer(登録商標)処理システムの一実施形態を示す。処理システム100は、メインフレーム基板101上に支持される必要な処理設備を有する自給式システムである。処理システム100は包括的には、基板カセット109が支持され、基板がロードロックチャンバ112との間でロード及びアンロードされるフロントエンドステージングエリア102と、基板ハンドラ113を収容する移送チャンバ111と、移送チャンバ111上に取り付けられる一連のタンデム処理チャンバ106と、ガスパネル103及び配電パネル105のような、システム100の動作のために必要とされる支援設備を収容するバックエンド138とを含む。
各タンデム処理チャンバ106は基板を処理するための2つの処理領域を含む(図3を参照)。2つの処理領域は、共通のガス供給源、共通の圧力制御、及び共通のプロセスガス排気/ポンピングシステムを共有する。システムのモジュール式設計によって、任意の1つの構成から任意の他の構成に迅速に改造できるようになる。特定のプロセスステップを実行するためにチャンバの配置及び組み合わせを変更することができる。タンデム処理チャンバ106のいずれかが、後に説明されるような本発明の態様による蓋を含むことができ、蓋は、基板上での低k材料の硬化プロセス、及び/又はチャンバ洗浄プロセスにおいて用いるための1つ又は複数の紫外線(UV)ランプを含む。一実施形態では、3つ全てのタンデム処理チャンバ106がUVランプを有し、スループットを最大にするために並列に動作するUV硬化チャンバとして構成される。
タンデム処理チャンバ106の全てがUV硬化チャンバとして構成されるとは限らない代替の実施形態では、タンデム処理チャンバのうちの1つ又は複数が、化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチング等の種々の他の既知のプロセスに対応することが知られている支援チャンバハードウェアを有するように、システム100を適合させることができる。例えば、タンデム処理チャンバ106のうちの1つが基板上に低誘電率(k)膜のような材料を堆積するためのCVDチャンバとして用いられるように、システム100を構成することができる。そのような構成は、研究開発及び製造において最大限に活用することができ、所望により、堆積された状態の膜が雰囲気に晒されないようにすることができる。
中央処理装置(CPU)144、メモリ142及び支援回路146を含むコントローラ140が、半導体処理システム100の種々の構成要素に結合され、本発明のプロセスの制御を容易にする。メモリ142は、ランダムアクセスメモリ(RAM)、リードオンリーメモリ(ROM)、フロッピー(登録商標)ディスク、ハードディスク、又は半導体処理システム100又はCPU144に対してローカル又はリモートに配置される任意の他の形のデジタル記憶装置のような、任意のコンピュータ可読媒体とすることができる。支援回路146は、従来通りにCPUを支援するためにCPU144に結合される。これらの回路は、キャッシュ、電源、クロック回路、入力/出力回路及びサブシステム等を含む。メモリ142内に記憶されるソフトウェアルーチン又は一連のプログラム命令が、CPU144によって実行されるときに、UV硬化タンデム処理チャンバ106は本発明のプロセスを実行する。
図2は、UV硬化用に構成される半導体処理システム100のタンデム処理チャンバ106のうちの1つを示す。タンデム処理チャンバ106は、本体200と、本体200にヒンジ結合される蓋202とを含む。チャンバ本体200は、アルミニウムから形成することができる。蓋202に結合されるのは2つのハウジング204であり、ハウジング204はそれぞれ、冷却用空気をハウジング204の中に通すために、排出口208とともに吸気口206に結合される。冷却用空気は、室温又は約22℃とすることができる。中央加圧空気源210が、任意のUVランプ電球、及び/又はタンデム処理チャンバ106に関連付けられる電球のための電源214の適切な動作を保証するだけの十分な流量の空気を吸気口206に与える。排出口208はハウジング204から排気を受け取り、排気は共通排気システム212によって収集され、排気システム212は、電球選択に応じて、UV電球によって生成される可能性があるオゾンを除去するスクラバを含むことができる。オゾン管理問題は、酸素を含まない冷却用ガス(例えば、窒素、アルゴン又はヘリウム)を用いてランプを冷却することによって回避することができる。
図3は、蓋202、ハウジング204及び電源214を備えるタンデム処理チャンバ106の部分断面図を示す。各ハウジング204は、本体200内に画定される2つの処理領域300の上方にそれぞれ配置される、2つのUVランプ電球302のうちの1つをそれぞれ覆う。各処理領域300は、処理領域300内で基板308を支持するための、基板支持体306のような加熱用基板支持体を含む。基板支持体306は、セラミック、又はアルミニウムのような金属から形成することができる。好ましくは、基板支持体306は心棒310に結合し、心棒310は本体200の底部を貫通して延在し、駆動システム312によって、処理領域300内の基板支持体306をUVランプ電球302に対して近接離反させるように動作する。駆動システム312は、基板照明の均一性をさらに高めるために、硬化中に基板支持体306を回転させ、かつ/又は並進させることもできる。基板支持体306を調整可能に位置決めすることによって、揮発性の硬化副生成物を制御できるようになり、さらに、焦点距離のような光供給システム設計の検討事項の性質に応じて、基板308上の入射UV放射照度レベルを微調整できる可能性に加えて、パージ及び洗浄ガス流パターン及び滞留時間を制御できるようになる。
一般的に、水銀マイクロ波アークランプ、パルス式キセノンフラッシュランプ、又は高効率UV発光ダイオードアレイのような任意のUV源を用いることができる。UVランプ電球302は電源214によって励起するための、キセノン(Xe)又は水銀(Hg)のような1つ又は複数のガスを満たされる封止されたプラズマ電球である。好ましくは、電源214はマイクロ波発生器であり、マイクロ波発生器は1つ又は複数のマグネトロン(図示せず)と、マグネトロンのフィラメントに電圧を印加する1つ又は複数の変圧器とを含むことができる。キロワットマイクロ波(MW)電源を有する一実施形態では、各ハウジング204は、電源214に隣接して、電源214から最大で6000Wまでのマイクロ波電力を受信する開口部215を含み、その後に各電球302から最大で100WのUV光が生成される。別の実施形態では、UVランプ電球302は、その中に電極又はフィラメントを含むことができ、電源214は、電極に直流(DC)又はパルス状DC等を供給する回路及び/又は電流供給源に相当する。
幾つかの実施形態の場合、電源214は、UVランプ電球302内のガスを励起することができる高周波(RF)エネルギー源を含むことができる。電球内のRF励起の構成は容量性又は誘導性とすることができる。誘導性結合プラズマ(ICP)電球を用いて、容量性結合放電の場合よりも高密度のプラズマを生成することによって、電球輝度を効率的に高めることができる。さらに、ICPランプは電極劣化に起因するUV出力の劣化を回避し、結果として、システム生産性を高めるのに適した、より長寿命の電球が生成される。電源214がRFエネルギー源である利点は、効率を高められることを含む。
好ましくは、電球302は170nm〜400nmの広範な波長帯にわたって光を放射する。本発明の一実施形態では、電球302は、185nm〜255nmの波長において光を放射する。電球302内で使用するために選択されたガスが、放射される波長を決定することができる。UVランプ電球302から放射されるUV光は、蓋202内の開口部内に配置される窓314を通り抜けることによって処理領域300に入る。窓314は、OHを含まない合成石英ガラスから形成され、亀裂を生じることなく、真空を保持するだけの十分な厚みを有することが好ましい。さらに、窓314は、UV光を約150nmまで透過させるフューズドシリカであることが好ましい。蓋202は本体200に封止され、窓314は蓋202に封止されるので、処理領域300は、約1Torr〜約650Torrの圧力を保持することができる容積を提供する。処理ガス又は洗浄ガスは、2つの吸気通路316のうちの1つをそれぞれ介して処理領域300に入る。その後、処理ガス又は洗浄ガスは、共通の排出口318を介して、処理領域300から出る。さらに、ハウジング204の内部に供給される冷却用空気は電球302を通り過ぎて循環するが、窓314によって処理領域300から隔離される。
ハウジング204は、ダイクロイックフィルムで覆われたキャスト石英ライニング304によって画定された内部放物面を含むことができる。石英ライニング304は、UVランプ電球302から放射されたUV光を反射し、石英ライニング304によって処理領域300の中に向けられるUV光のパターンに基づいて、硬化プロセス及びチャンバ洗浄プロセスを適合させるように形作られる。内部放物面の位置及び形状を変更することによって、石英ライニング304を調整して、各プロセス又は作業をさらに良好に適合させることができる。さらに、石英ライニング304は、ダイクロイックフィルムに起因して、赤外線を透過し、電球302によって放射される紫外光を反射することができる。ダイクロイックフィルムは通常、高屈折率及び低屈折率を交互に有する異なる誘電体材料から構成される周期的多層膜を構成する。コーティングは非金属であるので、キャスト石英ライニング304の裏側に下向きに入射する電源214からのマイクロ波放射は、変調層と著しく干渉しないか、又は変調層によって吸収されず、電球302内のガスをイオン化するために容易に透過する。
基板308上に堆積された誘電体膜の後処理硬化を実行するために、基板が処理領域300に搬入される。膜は、例えば、膜内にシリコンバックボーン構造及び炭素を含むポロジェンを有する低k誘電体膜とすることができる。膜内のシリコンバックボーン構造及び炭素はポロジェンと呼ばれる場合もある。UVに露光した後に、炭素結合が破壊され、膜から炭素が放出されて、シリコンバックボーンが残され、多孔率が増加し、それによりK値が減少し、膜の電流搬送能力が低下する。
従来のシステムでは、基板の硬化及びガス放出中に、横流の不均一なガス流プロファイルがチャンバをパージする。パージガスは、基板と窓との間において、チャンバの一方から反対側まで流れ、それにより、膜から脱出した任意の残留物が運び去られ、その後、窓又はチャンバ内のいずれかの場所において凝結する可能性がある。フロープロファイルが制御されずに不均一であることに起因して、基板処理も不均一になり、結果として基板にわたって温度勾配が生じる。しかしながら、45nm範囲内の膜において結果として生じる不均一性は許容できる場合があるが、次世代の20〜28nm膜では許容できないであろう。
本発明の実施形態は、UVチャンバ、ランプ加熱チャンバ、又は基板308上で直に、又は基板の上方において、膜を処理するか、又は反応を触媒するために「光」エネルギーが用いられる他のチャンバ内で処理される基板308にわたって特定のガス流プロファイル分配を可能にするハードウェアの設計を伴う。本発明の種々の実施形態が、図4〜5に関連して説明されることになる。図4は、処理中にガス流プロファイル均一性を改善し、基板スループットを高める本発明の実施形態を含む処理チャンバ400のうちの1つの一部の概略的な等角断面図を示す。図5のAの部分は、窓アセンブリを外した図4の処理チャンバ400の概略的な等角断面図である。図5のBの部分は、シャワーヘッドの拡大断面図である。
処理チャンバ400の一部は、処理チャンバ全体にわたってガス流プロファイルを制御できるようにする種々のハードウェア設計を示す。UV真空窓412を保持するために、処理チャンバ400内に窓アセンブリ200の一部に載置され、UVランプ302からのUV光が通り抜けることができる真空窓412を支持し、本体200の上方にある蓋アセンブリの一部である。真空窓412は、UVランプ302のようなUV放射源と基板支持体306との間に位置決めされる。UV放射源302は基板支持体306から離隔して配置されており、紫外線放射を生成し、基板支持体306上に位置決めされる基板308に送出するように構成される。
透過性シャワーヘッド414は処理領域300内に、かつ真空窓412と基板支持体306のような基板支持体との間に位置決めされる。透過性シャワーヘッドは、真空窓412と透過性シャワーヘッド414との間の上側処理領域320を画定し、さらに、透過性シャワーヘッド414と基板支持体306(図5のA)のような基板支持体との間の下側処理領域322を画定する。透過性シャワーヘッド414は上側処理領域320と下側処理領域322との間に1つ又は複数の通路416も有する。通路416は、完全に透過性ではないように、「艶消し」と呼ばれる場合もある粗面418を有することができ、完全に透過性であれば、基板308上に影を生じさせて膜の適切な硬化を損なう恐れがある。艶消しとすることができる通路416は、処理中に基板308上に光パターンが存在しないようにUV光を拡散する。
透過性シャワーヘッド414は、UV光が通り抜けて基板308に達することができる第2の窓を形成する。第2の窓として、シャワーヘッド414は、基板308上の膜を硬化させるために望まれる光の波長に対して透過性である必要がある。透過性シャワーヘッドは、石英又はサファイアのような種々の透過性材料から形成することができる。通路416は石英片に穿孔することによって形成され、処理領域300に納まるように透過性シャワーヘッド414を形成し、形作ることができる。石英片の表面は火炎研磨することができ、一方、穿孔はエッチングして粗面418を形成することができる。通路416のサイズ及び密度は、基板表面にわたって所望の流れ特性を達成するために、均一又は不均一とすることができる。通路416は、基板308にわたって径方向面積当たりの流量が均一である均一な流れプロファイルを有することができるか、又はガス流は基板308の中心又はエッジに優先的に流れることができ、すなわち、ガス流は優先的な流れプロファイルを有することができる。
透過性シャワーヘッド414及び真空窓412は、バンドパスフィルタを有するように、かつ所望の波長の透過度を改善するように被覆することができる。例えば、透過性シャワーヘッド414及び真空窓412上に反射防止コーティング(ARC)を堆積して、所望の波長の透過効率を改善することができる。IRを反射し、UVが通過できるようにする反射コーティング、又はUVを反射し、IRが通過できるようにするダイクロイックコーティングも、透過性シャワーヘッド414及び真空窓412の表面に塗布することができる。それらのコーティングは、PVD、CVD又は他の適切な堆積技法によって形成することができる。それらのコーティングは、透過性シャワーヘッド414及び真空窓412を通って基板308まで光が透過するのを助けることができる所望の膜透過率及び屈折率を有する無機膜層を含むことができる。一実施形態では、それらのコーティングは、真空窓412及び透過性シャワーヘッド414の表面上に形成される、酸化チタン(TiO)層、酸化スズ(SnO)層、酸化ケイ素(SiO)又はそれらの組み合わせを含むことができる。
別の実施形態では、ARCコーティングは、真空窓412及び透過性シャワーヘッド414の表面上に形成される1つ又は複数の層を有する複合層とすることができる。一実施形態では、ARCコーティングは、真空窓412及び透過性シャワーヘッド414の表面上に形成される、第2の層上に第1の層が形成される膜スタックとすることができる。一実施形態では、第1の層は酸化ケイ素層(SiO)とすることができ、第2の層は酸化チタン(TiO)層又は酸化スズ(SnO)層とすることができるが、逆であってもよい。別の実施形態では、ARC層は酸化ケイ素層(SiO)層及び酸化チタン(TiO)層を繰返し含む膜スタックを含むことができる。
1つ又は複数のガス分配リング通路426を有するガス分配リング420が処理領域300内に配置される。1つ又は複数のガス分配リング通路426は、ガス分配リング内部チャネル424を上側処理領域320と結合し、内部チャネル424と、透過性シャワーヘッド414の上方の上側処理領域320との間のガス流路を形成する。ガス排出リング430がガス分配リング420下に位置決めされ、処理領域300内の透過性シャワーヘッド414下に少なくとも部分的に存在することができる。ガス排出リング430は、1つ又は複数のガス排出通路436も有し、ガス排出通路436は、ガス排出リング内部チャネル434と下側処理領域322とを結合し、下側処理領域322とガス排出内部チャネル434との間のガス流路を形成する。ガス排出リング430の1つ又は複数のガス排出通路436は、透過性シャワーヘッド414下に少なくとも部分的に配置される。
図6は、ガス流路を示す、図5のAの処理チャンバの概略的な断面図を示す。パージガス又は他のタイプのガスを真空窓412と透過性シャワーヘッド414との間の上側処理領域320内に注入することができ、ガスは、透過性シャワーヘッド414を通って、透過性シャワーヘッド414から基板に向かって降下する。通路416、426、436のサイズ、及びガス流量は、基板308に向かう下方への流れを一定にする背圧が形成されるように調整することができる。ガス流は上方から基板上に降り注ぎ、同心円状に広がり、ガス排出通路436を通って下側処理領域322から出てポンプ610に至る。
矢印605はガス流路を示しており、ガス流路は、ガス分配リング420から、透過性シャワーヘッド414を通って、その上に基板308を有する場合もある基板支持体306上を横切り、ガス排出リング430を通って、チャンバ400から出る。ガス流速を所望のように増減するために、通路416、426、436の密度及びサイズを調整することができる。一実施形態では、通路416、426、436の密度及びサイズは、基板308にわたって均一な流れプロファイルを与える。ガス分配リング420のようなハードウェアは、透過性シャワーヘッド414の上方の上側処理領域320に入る前に、ガスを均等に分配するのに十分な圧力降下を与える。シャワーヘッド414の通路416を通る高い流れ抵抗に起因して、その際、ガスは透過性シャワーヘッド414の上方の上側処理領域320を均等に満たすことができる。ガス流は基板に進み、その後、ガス排出リング430を通ってポンプ610まで均等に送り出される。
シャワーヘッド414内の通路416のパターンに応じて、その流れは均一にする(基板面積に比例する)ことができるか、又は中心若しくはエッジに向かって優先的にすることができる。したがって、基板308にわたってガス流プロファイルを制御して、所望の均一又は不均一な分配を与えることができる。さらに、基板308にわたる温度プロファイルも、均一又は不均一になるように制御することができる。したがって、本発明の実施形態は、基板にわたって均一なガス流プロファイル及び温度プロファイルを生成する能力だけでなく、所望の不均一なガスプロファイル及び温度プロファイルを生成し、制御する能力も提供する。
本発明の幾つかの実施形態では、ガス組成物は、チャンバをパージするためのパージガスを含むことができる。そのようなガスは、アルゴン(Ar)、ヘリウム(He)、窒素(N)等の不活性ガス化学種又は非反応性ガスを含むことができる。別の実施形態では、ガス組成物は、処理チャンバ及びチャンバ内の構成要素を洗浄するための洗浄ガスを含むことができる。洗浄ガスは、オゾン、Ar、He又はそれらの組み合わせを含むことができる。本発明の実施形態は、洗浄ガスがオゾンであるときに、処理プロセスを改善するのに特に有用である。オゾンは処理領域から離れて生成することができるか、又はチャンバにオゾンを導入することは、紫外光を用いて酸素を活性化してオゾンを生成することを含むことができる。ガス供給源600がオゾン発生源を処理領域300に結合することができる。チャンバの体積が小さいほど、オゾンを用いるときの洗浄効率を改善することができる。処理領域に供給されるUV光及び熱は、オゾンを破壊して、堆積された残留物と反応して残留物を除去するための酸素ラジカルにすることができる。
UVランプ302が点灯されるとき、真空窓412及び透過性シャワーヘッド414はいずれも、ランプに由来する赤外光に起因して加熱される。透過性シャワーヘッド414は、UV光に対して透過性とすることができるが、IR光の一部を吸収するので、2つの窓間に加熱されたチャネルが生成され、オゾンは上側処理領域320内で破壊され、その後、下方に進み、洗浄のために下側処理領域322に入る。透過性シャワーヘッド414によって吸収されるIR光は温度勾配を生成し、その温度勾配はガス分配リング420から上側処理領域320に注入されるオゾンと相互作用し、それによりオゾンが破壊される。オゾンが破壊される量は、シャワーヘッド414及びガス分配リング420のような、上側処理領域320を包囲する構成要素の温度及び表面積に比例することができる。加熱される構成要素の表面積を増やすことによって、オゾンを破壊して反応性酸素ラジカルにするために必要なエネルギーを少なくすることができ、洗浄効率を改善することができる。さらに、オゾンは基板308に均一に供給することができ、UV光がオゾンを破壊してO及びラジカル酸素を生成し、ラジカル酸素を用いて基板308上の有機膜又は材料をエッチングすることができる。
図6に示されるように、別のヒータ630を用いて、真空窓クランプ410、真空窓412及びガス分配リング420のような処理チャンバ内の構成要素を加熱することができる。これらのハードウェア構成要素を加熱することによって、オゾン破壊の効率を改善することができ、構成要素へのポロジェンの堆積を低減することができる。ガス分配リング420、ガス排出リング430及び真空窓クランプ410は、それらの構成要素の放射率を高めるために、陽極酸化アルミニウムから形成することができる。その後、それらの構成要素はさらに多くの熱を吸収することができ、それにより、構成要素の温度が上昇し、構成要素上の残留物堆積の量が減少し、結果として、洗浄時間が減少し、スループットが改善され、粒子性能が改善される。さらに、熱を排気口317に与えて、ポロジェンが排気口317内に凝集するのを少なくするか、又は防ぐことができる。
ガス供給源600がガス分配リング420と結合され、チャンバ400内で実行される場合がある堆積プロセス、形成プロセス、処理プロセス、パージプロセス及び洗浄プロセスのための種々のガスを与えることができる。別の実施形態では、遠隔プラズマ源(RPS)もガス分配リング420と結合され、所望により、プラズマが励起される化学種を処理領域内に与えることができる。ガスポンプ610が、チャンバからガス化合物を除去するためにガス排出リング430と結合される。さらに、下側処理領域322内のガスを含む、基板及び周囲エリアを加熱するために、ヒータ620が基板支持体306と結合される。
図7は、図6に示されるような処理チャンバ及びガス流路の一部の拡大等角断面図である。ガス分配リング420及びガス排出リング430は種々の構成要素を含むことができる。ガス分配リング420は、ベース分配リング421及びガス吸気リング423を含むことができる。ベース分配リング421は、1つ又は複数のガス分配リング通路426を画定することができる。ガス吸気リング423はベース分配リング421と結合することができ、ベース分配リング421とともに、ガス分配リング内部チャネル424を画定することができる。また、ガス吸気リング423は、1つ又は複数のガス吸気口425も有することができ、ガス吸気口425を通って、ガスがガス分配リング内部チャネル424に入ることができる。ガス化学種及び混合物をガス分配リング420に与えるために、ガス供給源600がガス吸気口425に結合される。
ガス排出リング430はベース排出リング431及びガスポンピングリング433を含むことができる。ガスポンピングリング433は、1つ又は複数のガス排出通路436を画定することができ、透過性シャワーヘッド414を支持することができる。ベース排出リング431は、ガスポンピングリング433と合され、ガス排出リング430を画定する。ベース排出リング431及びガスポンピングリング433は合わせて、ガス排出リング内部チャネル434を画定することができる。ガス排出リング430の少なくとも1つのガス排出口438を、ガスがガス排出リング430から出るための排気口317と位置合わせすることができる。
図7に示されるように、かつ矢印605によって表されるように、ガスはガス吸気口425に入り、ガス分配リング内部チャネル424を通って流れ、ガス排出リング430の通路426から出ることできる。ガスは透過性シャワーヘッド414の上方の容積、例えば、上側処理領域320を満たし、シャワーヘッド通路416を通って流れる。その後、ガスは基板308にわたってガス排出通路436まで同心円状に、かつ径方向に流れる。その後、ガスは下側処理領域322から吐出され、ガス排出リング内部チャネル434に入り、内部チャネル434を通って流れ、ガス排出口438から出て、ガス排気口317に入り、その後、ポンプ610に至る。
例えば、基板エッジ冷間又はエッジ熱間プロセスが望ましい場合には、基板308上の温度プロファイルを変更するために、本発明の実施形態によって与えられる同心円状のフロープロファイルは圧力、ガス組成、ガス混合物を調整できるようにする。従来の設計は、基板表面にわたって温度プロファイルを生成し、制御するために、これらの変数を調整できなかった。例えば、本発明の幾つかの実施形態では、圧力を変更し、アルゴン又はヘリウムの量を増やすことによって、温度プロファイルを調整することができる。熱を搬送するのにヘリウムがより効率的であり、その圧力はガスの滞留時間を変更することになる。透過性シャワーヘッドを通る流れ分配のための通路サイズとともに、これらのプロセス変数を変更することは、基板308上の所望の場所において、基板にわたる温度プロファイルが均一又は不均一になるように制御するのに寄与することができ、それにより、基板308及び基板上の膜を優先的に処理することができる。幾つかの実施形態では、処理チャンバ400内の異なる領域においてガスを注入することができる。
UV硬化は、膜を修復すること、及び膜内の水素結合を破壊して膜の歪みエネルギーを高めること等の種々の用途を有することができる。また、処理チャンバ400は、処理領域300にUV活性化ガス化学種を注入して、基板308上に膜を形成するために用いることもできる。基板308の上方において特定のガスを混合することができ、UV光を用いて気相における反応を活性化することができ、それにより、「ドロップオン」堆積プロセスを可能にする。別の実施形態では、基板308上に膜を形成するために、ガスを、触媒として用いられることになるUV光と反応させることができる。したがって、ガス供給源600は、膜を形成するためにUV光によって開始することができる前駆体及び非反応性ガスも含むことができる。幾つかの実施形態では、窓412及びシャワーヘッド414は、その上に膜が堆積されるのを防ぐために、冷却されるか、又は少なくとも加熱されないようにする必要がある場合もある。しかしながら、オゾン洗浄プロセスを開始して、任意のそのような膜堆積物を窓412及びシャワーヘッド414から除去することができる。さらに、基板上に単層膜又は多層膜のいずれかを作製するために、ガスを均一に導入することができる。その後、所望により、UVエネルギーを用いて基板308上の反応を活性化し、堆積層をさらに形成することができる。
本発明の実施形態は、基板の温度均一性を2〜3倍改善し、真空窓がより実効的に洗浄される。洗浄プロセス及び硬化プロセスの両方の効率を高めることができるので、このシステムのスループットは高められる。場合によっては、改善された硬化時間は10〜15%程度だけ短縮され、それは、チャンバ内、又は基板308上に冷たいスポットがないようにすること、及び堆積された残留物の蓄積によって窓表面にわたって異なる光強度が生じないように窓をより清浄な状態に保つことによって、基板308の温度がより均一になる結果であると考えられる。さらに、ガスをより有効に使用できるので、処理チャンバの中に流すために必要とされるガスの量を少なくすることができる。
上記の事柄は本発明の実施形態に向けられるが、本発明の基本的な範囲から逸脱することなく、本発明の他の実施形態及び更なる実施形態も考案することができる。

Claims (9)

  1. 処理領域を画定する紫外線処理チャンバと、
    前記処理領域内で基板を支持するための基板支持体と、
    前記基板支持体から離隔して配置され、紫外線放射を生成し、前記基板支持体上に位置決めされる基板に送出するように構成される紫外線(UV)放射源と、
    前記UV放射源と前記基板支持体との間に配置される窓と、
    前記窓と前記基板支持体との間の前記処理領域内に配置され、上側処理領域及び下側処理領域を画定する透過性シャワーヘッドであって、該上側処理領域は前記窓と該透過性シャワーヘッドとの間に位置し、該下側処理領域は該透過性シャワーヘッドと前記基板支持体との間に位置し、該透過性シャワーヘッドは該上側処理領域と該下側処理領域との間に1つ又は複数の透過性シャワーヘッド通路を有する、透過性シャワーヘッドと、
    ガス分配リングであって、該ガス分配リング内のガス分配リング内部チャネルと前記上側処理領域との間に1つ又は複数のガス分配リング通路を有する、ガス分配リングと、
    前記ガス分配リング下に位置決めされるガス排出リングであって、該ガス排出リング内のガス排出リング内部チャネルと前記下側処理領域との間に1つ又は複数のガス排出通路を有する、ガス排出リングとを備える、処理ツール。
  2. 前記1つ又は複数のガス排出通路は前記透過性シャワーヘッド下配置される、請求項1に記載の処理ツール。
  3. 前記ガス分配リングに結合されたガス供給源と、
    前記ガス排出リングに結合されたガスポンプと
    をさらに備える、請求項1に記載の処理ツール。
  4. 前記ガス分配リングは、
    前記1つ又は複数のガス分配リング通路を画定するベース分配リングと、
    前記ベース分配リングと結合され、前記ベース分配リングとともに前記ガス分配リング内部チャネルを画定するガス吸気リングであって、該ガス吸気リングは、ガスが前記ガス分配リング内部チャネルに入るための1つ又は複数のガス吸気口をさらに備える、ガス吸気リングとをさらに備える、請求項1に記載の処理ツール。
  5. 前記ガス排出リングは、
    前記1つ又は複数のガス排出通路を画定するガスポンピングリングと、
    前記ガスポンピングリングと結合され、前記ガスポンピングリングとともに前記ガス排出リング内部チャネルを画定するベース排出リングであって、該ベース排出リングは、ガスが前記ガス排出リングから出るための少なくとも1つのガス排出口をさらに備える、ベース排出リングとをさらに備える、請求項1に記載の処理ツール。
  6. 前記ガスポンピングリングは、前記透過性シャワーヘッドを支持する、請求項5に記載の処理ツール。
  7. 前記1つ又は複数の透過性シャワーヘッド通路は均一な流れプロファイルを有し、前記基板にわたる径方向面積当たりのガス流は均一である、請求項1に記載の処理ツール。
  8. 前記1つ又は複数の透過性シャワーヘッド通路は優先的な流れプロファイルを有し、径方向面積当たりのガス流は前記基板の中心に対して、又はエッジに対して優先的である、請求項1に記載の処理ツール。
  9. 前記処理領域から離れて位置するオゾン発生源をさらに備え、前記オゾン発生源は前記ガス供給源により処理領域に結合される、請求項3に記載の処理ツール。
JP2013534929A 2010-10-19 2011-09-29 Nanocureuvチャンバ用の石英シャワーヘッド Active JP5905476B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US39471110P 2010-10-19 2010-10-19
US61/394,711 2010-10-19
PCT/US2011/054014 WO2012054206A2 (en) 2010-10-19 2011-09-29 Quartz showerhead for nanocure uv chamber

Publications (2)

Publication Number Publication Date
JP2013541849A JP2013541849A (ja) 2013-11-14
JP5905476B2 true JP5905476B2 (ja) 2016-04-20

Family

ID=45933035

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013534929A Active JP5905476B2 (ja) 2010-10-19 2011-09-29 Nanocureuvチャンバ用の石英シャワーヘッド

Country Status (6)

Country Link
US (1) US8911553B2 (ja)
JP (1) JP5905476B2 (ja)
KR (1) KR101896607B1 (ja)
CN (1) CN103109357B (ja)
TW (1) TWI537417B (ja)
WO (1) WO2012054206A2 (ja)

Families Citing this family (328)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8481355B2 (en) * 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
CN103109357B (zh) * 2010-10-19 2016-08-24 应用材料公司 用于紫外线纳米固化腔室的石英喷洒器
KR101843609B1 (ko) 2011-03-04 2018-05-14 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8679987B2 (en) * 2012-05-10 2014-03-25 Applied Materials, Inc. Deposition of an amorphous carbon layer with high film density and high etch selectivity
US8753449B2 (en) * 2012-06-25 2014-06-17 Applied Materials, Inc. Enhancement in UV curing efficiency using oxygen-doped purge for ultra low-K dielectric film
KR102133373B1 (ko) * 2012-08-23 2020-07-13 어플라이드 머티어리얼스, 인코포레이티드 Uv 챔버들을 세정하기 위한 방법 및 하드웨어
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9322097B2 (en) * 2013-03-13 2016-04-26 Applied Materials, Inc. EPI base ring
US9252024B2 (en) * 2013-05-17 2016-02-02 Applied Materials, Inc. Deposition chambers with UV treatment and methods of use
US20140363903A1 (en) * 2013-06-10 2014-12-11 Tokyo Ohta Kogyo Co., Ltd. Substrate treating apparatus and method of treating substrate
US9837250B2 (en) * 2013-08-30 2017-12-05 Applied Materials, Inc. Hot wall reactor with cooled vacuum containment
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
KR102194821B1 (ko) * 2013-10-17 2020-12-24 삼성디스플레이 주식회사 유기물 증착 장치 및 유기물 증착 방법
CN104752275B (zh) * 2013-12-29 2018-01-09 北京北方华创微电子装备有限公司 工艺腔室以及半导体加工设备
CN104752274B (zh) * 2013-12-29 2017-12-19 北京北方华创微电子装备有限公司 工艺腔室以及半导体加工设备
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP5941491B2 (ja) * 2014-03-26 2016-06-29 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法並びにプログラム
CN103928370A (zh) * 2014-04-08 2014-07-16 上海华力微电子有限公司 用于多孔低k介质的紫外线照射装置和照射方法
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
JP6428466B2 (ja) * 2014-06-23 2018-11-28 東京エレクトロン株式会社 基板処理方法、基板処理装置、基板処理システム及び記憶媒体
US10858737B2 (en) * 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9905400B2 (en) 2014-10-17 2018-02-27 Applied Materials, Inc. Plasma reactor with non-power-absorbing dielectric gas shower plate assembly
US9520312B2 (en) * 2014-12-19 2016-12-13 Varian Semiconductor Equipment Associates, Inc. System and method for moving workpieces between multiple vacuum environments
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
CN104561939B (zh) * 2015-01-12 2017-11-24 深圳清溢光电股份有限公司 超薄反应腔
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
TWI677929B (zh) * 2015-05-01 2019-11-21 美商應用材料股份有限公司 用於形成膜堆疊的雙通道噴頭
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11255606B2 (en) * 2015-12-30 2022-02-22 Mattson Technology, Inc. Gas flow control for millisecond anneal system
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
TWI689619B (zh) * 2016-04-01 2020-04-01 美商應用材料股份有限公司 用於提供均勻流動的氣體的設備及方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10428426B2 (en) * 2016-04-22 2019-10-01 Applied Materials, Inc. Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN108085649B (zh) * 2016-11-23 2020-03-27 北京北方华创微电子装备有限公司 反应腔室及半导体加工设备
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10381200B2 (en) * 2017-03-08 2019-08-13 Applied Materials, Inc. Plasma chamber with tandem processing regions
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10373823B2 (en) 2017-06-05 2019-08-06 Applied Materials, Inc. Deployment of light energy within specific spectral bands in specific sequences for deposition, treatment and removal of materials
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
KR20240033107A (ko) * 2018-09-24 2024-03-12 어플라이드 머티어리얼스, 인코포레이티드 세정 및 표면 처리를 위한 원자 산소 및 오존 디바이스
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
WO2020078538A1 (en) * 2018-10-16 2020-04-23 Transitions Optical, Ltd. Ultraviolet curing apparatus
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
KR20210132640A (ko) * 2018-12-11 2021-11-04 아이오 테크 그룹 엘티디. 불활성 기체를 이용하여 3d 인쇄 시스템에서 광 개시 중합 반응의 산소 억제를 방지하기 위한 시스템 및 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
CN113490765A (zh) 2019-03-08 2021-10-08 应用材料公司 用于处理腔室的多孔喷头
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
US11952660B2 (en) * 2019-07-29 2024-04-09 Applied Materials, Inc. Semiconductor processing chambers and methods for cleaning the same
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
US11348784B2 (en) 2019-08-12 2022-05-31 Beijing E-Town Semiconductor Technology Co., Ltd Enhanced ignition in inductively coupled plasmas for workpiece processing
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
US11215934B2 (en) 2020-01-21 2022-01-04 Applied Materials, Inc. In-situ light detection methods and apparatus for ultraviolet semiconductor substrate processing
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11584993B2 (en) 2020-10-19 2023-02-21 Applied Materials, Inc. Thermally uniform deposition station
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
TW202403086A (zh) * 2021-07-12 2024-01-16 美商應用材料股份有限公司 用於前驅物遏制的改進的噴頭泵送幾何形狀
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5156820A (en) * 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
JPH07249589A (ja) * 1994-03-10 1995-09-26 Tokyo Electron Ltd 熱処理装置
US5580421A (en) * 1994-06-14 1996-12-03 Fsi International Apparatus for surface conditioning
US6143081A (en) * 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5781693A (en) * 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US6228173B1 (en) * 1998-10-12 2001-05-08 Tokyo Electron Limited Single-substrate-heat-treating apparatus for semiconductor process system
JP4680350B2 (ja) * 2000-06-26 2011-05-11 東京エレクトロン株式会社 枚葉式処理装置
KR100423953B1 (ko) * 2001-03-19 2004-03-24 디지웨이브 테크놀러지스 주식회사 화학기상증착장치
CN1302152C (zh) 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
JP5079949B2 (ja) * 2001-04-06 2012-11-21 東京エレクトロン株式会社 処理装置および処理方法
US20050109280A1 (en) * 2003-09-22 2005-05-26 Chen Xiangqun S. Rapid thermal chemical vapor deposition apparatus and method
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20070026690A1 (en) * 2004-11-05 2007-02-01 Yoo Woo S Selective frequency UV heating of films
TWI387667B (zh) * 2004-12-21 2013-03-01 Applied Materials Inc 用於自化學氣相蝕刻處理室移除副產物沉積的原位處理室清潔製程
EP1831430A2 (en) * 2004-12-21 2007-09-12 Applied Materials, Inc. An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20060251827A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US20060249175A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system
US7909595B2 (en) 2006-03-17 2011-03-22 Applied Materials, Inc. Apparatus and method for exposing a substrate to UV radiation using a reflector having both elliptical and parabolic reflective sections
US20070256635A1 (en) * 2006-05-02 2007-11-08 Applied Materials, Inc. A Delaware Corporation UV activation of NH3 for III-N deposition
JP5258241B2 (ja) * 2006-09-19 2013-08-07 日本エー・エス・エム株式会社 Uv照射チャンバーをクリーニングする方法
US7789965B2 (en) 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
WO2009158169A1 (en) * 2008-06-27 2009-12-30 Applied Materials, Inc. Superimposition of rapid periodic and extensive post multiple substrate uv-ozone clean sequences for high throughput and stable substrate to substrate performance
CN103109357B (zh) * 2010-10-19 2016-08-24 应用材料公司 用于紫外线纳米固化腔室的石英喷洒器
US8455849B2 (en) * 2010-11-30 2013-06-04 Applied Materials, Inc. Method and apparatus for modulating wafer treatment profile in UV chamber
WO2012138866A1 (en) * 2011-04-08 2012-10-11 Applied Materials, Inc. Apparatus and method for uv treatment, chemical treatment, and deposition
WO2013106171A1 (en) * 2012-01-09 2013-07-18 Applied Materials, Inc. Method for seasoning uv chamber optical components to avoid degradation
KR20150010720A (ko) * 2012-04-25 2015-01-28 어플라이드 머티어리얼스, 인코포레이티드 Uv 기반 실릴화 챔버 세정을 위한 방법
US8753449B2 (en) * 2012-06-25 2014-06-17 Applied Materials, Inc. Enhancement in UV curing efficiency using oxygen-doped purge for ultra low-K dielectric film
TW201403711A (zh) * 2012-07-02 2014-01-16 Applied Materials Inc 利用氣相化學暴露之低k介電質損傷修復
TWI581331B (zh) * 2012-07-13 2017-05-01 應用材料股份有限公司 降低多孔低k膜的介電常數之方法
KR102133373B1 (ko) * 2012-08-23 2020-07-13 어플라이드 머티어리얼스, 인코포레이티드 Uv 챔버들을 세정하기 위한 방법 및 하드웨어

Also Published As

Publication number Publication date
TW201221684A (en) 2012-06-01
CN103109357A (zh) 2013-05-15
CN103109357B (zh) 2016-08-24
KR20130129943A (ko) 2013-11-29
WO2012054206A2 (en) 2012-04-26
US20120090691A1 (en) 2012-04-19
WO2012054206A3 (en) 2012-06-21
TWI537417B (zh) 2016-06-11
KR101896607B1 (ko) 2018-09-07
JP2013541849A (ja) 2013-11-14
US8911553B2 (en) 2014-12-16

Similar Documents

Publication Publication Date Title
JP5905476B2 (ja) Nanocureuvチャンバ用の石英シャワーヘッド
US8702870B2 (en) Superimposition of rapid periodic and extensive post multiple substrate UV-ozone clean sequences for high throughput and stable substrate to substrate performance
KR101018965B1 (ko) 처리 챔버의 고효율 uv 클리닝
US8455849B2 (en) Method and apparatus for modulating wafer treatment profile in UV chamber
US20130177706A1 (en) Method for seasoning uv chamber optical components to avoid degradation
US20060249078A1 (en) High efficiency uv curing system
US8657961B2 (en) Method for UV based silylation chamber clean
US8753449B2 (en) Enhancement in UV curing efficiency using oxygen-doped purge for ultra low-K dielectric film
TWI581331B (zh) 降低多孔低k膜的介電常數之方法
US10373823B2 (en) Deployment of light energy within specific spectral bands in specific sequences for deposition, treatment and removal of materials
TWI465298B (zh) 用於高產量及穩定逐基材表現之快速週期和廣泛的後期紫外臭氧清洗程序之添加
US20140262037A1 (en) Transparent yttria coated quartz showerhead

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140924

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150825

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150929

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20151228

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160125

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160216

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160316

R150 Certificate of patent or registration of utility model

Ref document number: 5905476

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250