TW202212601A - 高蝕刻選擇性非晶碳膜 - Google Patents

高蝕刻選擇性非晶碳膜 Download PDF

Info

Publication number
TW202212601A
TW202212601A TW110127519A TW110127519A TW202212601A TW 202212601 A TW202212601 A TW 202212601A TW 110127519 A TW110127519 A TW 110127519A TW 110127519 A TW110127519 A TW 110127519A TW 202212601 A TW202212601 A TW 202212601A
Authority
TW
Taiwan
Prior art keywords
amorphous carbon
carbon film
dopant
degrees celsius
ions
Prior art date
Application number
TW110127519A
Other languages
English (en)
Inventor
拉傑什 普拉薩德
莎拉 博貝克
派瑞尚特庫馬 庫許魯須薩
光德道格拉斯 李
哈利 懷特塞爾
押尾英隆
李銅衡
戴文馬修瑞杰 米塔爾
史考特 法克
芬卡塔拉馬納R 查瓦
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202212601A publication Critical patent/TW202212601A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供了用於在基板上沉積非晶碳膜的方法及技術。在一個示例中,方法包括在第一處理區域中的位於基座上的底層上沉積非晶碳膜。方法進一步包括在第二處理區域中將摻雜劑或惰性物種植入到非晶碳膜中。在一些結合中的植入物種、能量、劑量及溫度可用以強化硬遮罩硬度。方法進一步包括圖案化經摻雜的非晶碳膜。方法進一步包括蝕刻底層。

Description

高蝕刻選擇性非晶碳膜
於此描述的實施方案一般關於積體電路的製造。更具體地,於此描述的實施方案提供了用於在基板上沉積非晶碳膜的技術。
積體電路已經發展成可在單個晶片上包括數百萬個電晶體、電容和電阻的複雜裝置。晶片設計的發展不斷地涉及更快的電路和更大的電路密度。對具有更大電路密度的更快電路的需求對用以製造這種積體電路的材料提出了相應的要求。特別地,隨著積體電路部件的尺寸減小到次微米級,低電阻率的導電材料以及低介電常數的絕緣材料用以從這些部件獲得合適的電效能。
對更大積體電路密度的需求也對在積體電路部件的製造中使用的處理順序提出了要求。例如,在使用傳統光刻技術的處理順序中,在沉積在基板上的材料層的堆疊之上形成一層能量敏感抗蝕劑。將能量敏感抗蝕劑層曝露於圖案的圖像,以形成光阻劑遮罩。此後,使用蝕刻處理將遮罩圖案轉移到堆疊的材料層的一個或多個。選擇在蝕刻處理中使用的化學蝕刻劑以使堆疊的材料層具有比能量敏感抗蝕劑的遮罩更大的蝕刻選擇性。也就是說,化學蝕刻劑以比能量敏感抗蝕劑快得多的速率蝕刻材料堆疊的一個或多個層。在抗蝕劑之上對堆疊的一個或多個材料層的蝕刻選擇性防止能量敏感抗蝕劑在完成圖案轉移之前被消耗。
隨著圖案尺寸減小,能量敏感抗蝕劑的厚度相應地減小,以便控制圖案分辨率。由於化學蝕刻劑的侵蝕,這種薄的抗蝕劑層可能不足以在圖案轉移處理期間遮蔽下面的材料層。通常在能量敏感抗蝕劑層和下面的材料層之間使用稱為硬遮罩的中間層(如,氮氧化矽、矽卡賓或碳膜),以促進圖案轉移,因為對化學蝕刻劑具有更大的抵抗力。具有高蝕刻選擇性和高沉積速率的硬遮罩材料是可期望的。隨著臨界尺寸(CD)減小,當前的硬遮罩材料相對於下面的材料(如,氧化物和氮化物)缺乏目標蝕刻選擇性並且通常難以沉積。
因此,本領域存在有一種改進的硬遮罩層和用於沉積改進的硬遮罩層的方法的需求。
於此描述的實施方案一般關於積體電路的製造。更具體地,於此描述的實施方案提供了用於在基板上沉積非晶碳膜的技術。在一個實施方案中,提供了一種形成非晶碳膜的方法。方法包括在第一處理區域中的位於基座上的底層上沉積非晶碳膜。方法進一步包括藉由在第二處理區域中將摻雜劑或惰性物種植入到非晶碳膜中來形成摻雜的非晶碳膜。摻雜劑或惰性物種選自碳、硼、氮、氮二聚物、矽、磷、氬、氦、氖、氪、氙或其組合。方法進一步包括圖案化摻雜的非晶碳膜並蝕刻底層。
在另一個實施方案中,提供了一種形成非晶碳膜的方法。方法包括在第一處理區域中的位於基座上的底層上沉積非晶碳膜。方法進一步包括藉由在第二處理區域中將摻雜劑植入到非晶碳膜中來形成摻雜的非晶碳膜。摻雜劑或惰性物種選自碳、硼、氮、氮二聚物矽、磷、氬、氦、氖、氪、氙或其組合。方法進一步包括圖案化摻雜的非晶碳膜。方法進一步包括蝕刻底層,其中摻雜的非晶碳膜在633nm處具有從約2.1至約2.2的折射率。
在又一實施方案中,提供一種包括非晶碳膜的硬遮罩層。非晶碳膜藉由電漿增強化學氣相沉積處理隨後進行碳植入處理而形成。摻雜劑或惰性物種選自碳、硼、氮、氮二聚物、矽、磷、氬、氦、氖、氪、氙或其組合。非晶碳膜在用於半導體應用的蝕刻處理中用作硬遮罩層。
在又另一實施方案中,提供了一種形成非晶碳膜的方法。方法包括在位於第一處理區域中的基座上的底層上沉積非晶碳膜。方法進一步包括藉由將摻雜劑或惰性物種植入到第二處理區域中的非晶碳膜中來形成摻雜的非晶碳膜。摻雜劑或惰性物種選自碳、硼、氮、矽、磷、氬、氦、氖、氪、氙、鈹、鍺或其組合,其中植入摻雜劑或惰性物種期間的目標溫度在約攝氏-100度和約攝氏550度之間。方法進一步包括圖案化摻雜的非晶碳膜和蝕刻底層。
在又另一實施方案中,提供了一種形成非晶碳膜的方法。方法包括在位於第一處理區域中的基座上的底層上沉積非晶碳膜。方法進一步包括藉由將摻雜劑或惰性物種植入到第二處理區域中的非晶碳膜中來形成摻雜的非晶碳膜。摻雜劑或惰性物種選自碳、硼、氮、矽、磷、氬、氦、氖、氪、氙、鈹、鍺或其組合,其中植入摻雜劑或惰性物種期間的目標溫度在約攝氏-100度和約攝氏550度之間。方法包括圖案化摻雜的非晶碳膜和蝕刻底層,其中摻雜的非晶碳膜在633nm處具有從約2.1至約2.2的折射率。
在又另一實施方案中,提供了一種形成非晶碳膜的方法。方法包括在位於第一處理區域中的基座上的底層上沉積非晶碳膜。方法進一步包括藉由將碳摻雜劑植入到在第二處理區域中的非晶碳膜中來形成摻雜的非晶碳膜,其中植入碳摻雜劑期間的目標溫度在約攝氏-100度和約攝氏550度之間。方法進一步包括圖案化摻雜的非晶碳膜和蝕刻底層,其中摻雜的非晶碳膜具有從約14GPa至約22GPa的硬度(GPa)。
在又另一實施方案中,提供了一種形成非晶碳膜的方法。方法包括在位於第一處理區域中的基座上的底層上沉積非晶碳膜。方法進一步包括圖案化非晶碳層。方法進一步包括藉由將摻雜劑或惰性物種植入到在第二處理區域中的圖案化非晶碳膜中來形成摻雜的圖案化非晶碳膜。摻雜劑或惰性物種選自碳、硼、氮、矽、磷、氬、氦、氖、氪、氙、鈹、鍺或其組合,其中植入摻雜劑或惰性物種期間的目標溫度為在攝氏約-100度和約攝氏550度之間。方法進一步包括蝕刻底層。
在又另一實施方案中,提供了一種形成非晶碳膜的方法。方法包括在位於第一處理區域中的基座上的底層上沉積非晶碳膜。方法進一步包括圖案化非晶碳層。方法進一步包括藉由將摻雜劑或惰性物種植入到第二處理區域中的圖案化非晶碳膜中來形成摻雜的圖案化非晶碳膜。摻雜劑或惰性物種選自碳、硼、氮、矽、磷、氬、氦、氖、氪、氙、鈹、鍺或其組合,其中植入摻雜劑或惰性物種期間的目標溫度在約攝氏-100度和約攝氏550度之間。可使用特定種類以0度的傾斜角進行植入,從而提高底層的蝕刻速率,或者以在0.1度到80度之間的傾斜角進行植入,相關的扭轉角在0和360度之間。由於可能導致底層蝕刻速率降低的物種的本質,相關的傾斜角使離子能夠僅穿透硬遮罩。對於在一個方向而不是兩個垂直方向上運行的碳硬遮罩線和空間特徵,可將植入分為兩個單獨的植入,每個植入的劑量為所期望劑量的一半,例如,1.0x10 16離子/cm 2的劑量變為5.0x10 15離子/cm 2的劑量。基板每旋轉180度就會進行一次植入,從而達到所期望的1.0x10 16離子/cm 2的劑量。結果,碳硬遮罩特徵的兩側被均勻地植入。方法可進一步包括摻雜圖案化的未摻雜非晶碳膜並蝕刻底層,其中摻雜的非晶碳膜在633nm處具有從約2.1至約2.2的折射率。
在又另一實施方案中,提供了一種形成非晶碳膜的方法。方法包括在位於第一處理區域中的基座上的底層上沉積非晶碳膜。方法進一步包括圖案化非晶碳膜。方法進一步包括藉由將碳摻雜劑植入到在第二處理區域中的圖案化非晶碳膜中來形成摻雜的圖案化非晶碳膜,其中植入碳摻雜劑期間的目標溫度在攝氏約-100度和約攝氏550度之間。方法進一步包括蝕刻底層,其中摻雜的圖案化非晶碳膜具有從約14GPa至約22GPa的硬度(GPa)。
在又另一實施方案中,一種非暫時性電腦可讀媒體在其上儲存有指令,當由處理器執行時,指令使得處理執行上述設備及/或方法的操作。
以下的揭露內容描述了用於在基板上沉積類金剛石碳膜的技術。某些細節在以下描述和第1-7I圖中闡述,以提供對本揭露書的各種實施方案的透徹理解。描述通常與電漿處理和離子植入相關聯的已知結構和系統的其他細節未在以下的揭露內容中闡述,以避免不必要地模糊對各種實施方案的描述。
圖式中所示的許多細節、尺寸、角度和其他特徵僅僅是對特定實施方案的說明。因此,在不背離本揭露書的精神或範圍的情況下,其他實施方案可具有其他細節、部件、尺寸、角度和特徵。另外,可在沒有下面描述的若干細節的情況下實施本揭露書的進一步實施方案。
下面將參考PECVD沉積處理和離子植入處理來描述於此所述的實施方案,PECVD沉積處理和離子植入處理可使用任何合適的薄膜沉積和植入系統來執行。合適系統的示例包括可使用DXZ®處理腔室的CENTURA®系統、PRECISION 5000®系統、PRODUCER®系統、PRODUCER® GT TM系統、PRODUCER® XP Precision TM系統、PRODUCER® SE TM系統、Sym3®處理腔室和Mesa TM處理腔室,所有這些都可從加州聖克拉拉市的應用材料公司商購獲得。離子植入處理可藉由束線或電漿植入工具執行。用以執行植入處理的示例性系統包括(例如)VARIAN VIISta® TRIDENT系統、VARIAN VIISta®熱離子系統、VARIAN VIISta®介質流熱離子系統、VIISta® 3000XP系統、VIISta® 900XP系統、VIISta® HCP系統、VIISta® Trident Crion™系統和VIISta® PLAD系統,可從加州聖克拉拉市的應用材料公司獲得。其他能夠執行PECVD及/或離子植入處理的工具也可適用於受益於於此所述的實施方案。另外,可使用能夠實現於此所述的PECVD及/或離子植入處理的任何系統而得利。於此描述的設備描述是說明性的,且不應該被理解或解釋為限制於此描述的實施方案的範圍。
縮小積體電路的物理限制導致了與平面晶圓表面正交的積體電路的擴展,亦即,高深寬比(HAR),裝置空間的三維利用。用以適應動態蝕刻選擇性和越來越嚴格的製造公差的奈米製造策略已經導致了硬遮罩(HM)材料庫,諸如摻雜有矽、鈦、鎢或硼的碳膜;以及介電氧化矽-氮化物(ON/OP)膜。以結合方式使用,這些材料在蝕刻選擇性和低到1X節點的圖案化中提供了優勢。非晶碳硬遮罩材料的創新對於在下一代裝置結構中實現高深寬比(HAR)基準是期望的。與金屬和介電質溶液相比,非晶碳是可清洗的,相對於下面的ON/OP硬遮罩膜提供高選擇性。非晶碳硬遮罩的另一個好處是非晶碳硬遮罩的相應光學性質,其可調諧以提供對準的圖案化特徵的透明度,因此消除了對部分硬遮罩開放處理的需要。然而,目前用於非晶碳硬遮罩的整合硬體和處理相對於金屬摻雜和介電質硬遮罩對應物表現出相對差的機械性質。對於具有高sp3含量的膜而言,可看到當前生成的純碳膜(如,奈米晶金剛石、超奈米晶金剛石、類金剛石碳和物理氣相沉積碳)的最高蝕刻選擇性,類似於金剛石的混種。類金剛石碳硬遮罩中長期存在的高明暗度(value)問題是由於sp3混種碳導致的>1GPa的壓縮膜應力,由於微影覆蓋和靜電吸附限制,這限制了圖案化效能。
具有64x層堆疊應用和100:1深寬比的下一代3D NAND產品需要允許圖案化同時抵抗變形並同時展示改進的微影覆蓋的薄膜。類金剛石碳膜將碳物種特定的蝕刻選擇性和優異的結構完整性結合。這些類金剛石碳膜將只有在其機械性質(其預兆為楊氏模數)能夠在減小的應力和平面內變形(「IPD」)值下進一步改善時才能保持競爭力。
本揭露書的一些實施方案提供了使用現有硬體且對產出或實現成本的影響很小的處理。本揭露書的一些實施方案解決了微影覆蓋的高明暗度問題,以及與差的楊氏模數(E)關聯的高應力。本揭露書的一些實施方案提供了藉由調諧電漿沉積機制而將非晶碳的模數增加約2倍(如,從約64GPa增加到約138GPa)的一種獨特處理。藉由離子植入實現膜性質的進一步改善,離子植入使非晶碳膜的楊氏模數增加額外30%(~180GPa),同時將壓縮應力降低75%(從約-1200降低到約-300MPa)。此外,與當前一代的純碳硬遮罩膜相比,PECVD加上離子植入的組合提供了實現顯著更低的平面內變形(<3奈米覆蓋誤差)的非晶碳膜。
在改善非晶碳硬遮罩膜的效能方面,本揭露書的第一態樣定義了新的處理窗口。這個新的處理窗口的目標是儘管存在高應力(如,約-1200GPa)但改善模數的低平面內變形。不受理論的束縛,但據信這些改進是藉由通過降低壓力而增加電漿的鞘尺寸和增加處理間隔導致電漿溫度降低來實現的。儘管合成溫度降低,但沉積速率顯著降低證實了更高的鞘勢和Bohm速度。這有利於更多地形成碳-碳鍵,同時降低膜中的氫含量。在一個實施方案中,沉積後在633nm處測量的消光係數值為0.72,表明更高的C=C,石墨特徵。此外,降低電漿的密度增加了平均自由路徑、轟擊能量並改善了晶圓表面上的離子能量分佈函數的均勻性。因為較弱的電漿(藉由轟擊使非晶化最小化)導致的膜內特質顯示楊氏模數(E)、硬度和密度的增加。不受理論束縛,但據信增加的平均自由路徑導致針對微影覆蓋的較低平面內變形(IPD)。
本揭露書的第二態樣(在線離子植入)用於將非晶碳膜的應力分量降低高達約75%(如,從約-1200降低到約-300MPa),進一步改善楊氏模數(如,從約138改善到約177GPa)並使平面內變形輪廓更中心對稱。離子植入可在一定溫度範圍(如,從約攝氏-100度至約攝氏550度)下進行。於此建立的是,降低非晶碳膜的離子植入溫度使植入的摻雜劑的重排最小化-證實了植入的有益效果,諸如緻密化、sp3強化和氫還原。不受理論束縛,但據信離子植入重新分佈了局部應力並有助於將整個晶圓應力減小到(例如)膜後沉積值的約25%。基於進入的晶圓模數而發展用於植入的正確處理方案,以在減小應力的同時最佳地強化模數,以繞過膜改善的飽和度的HVP。
與當前可取得的純碳硬遮罩膜相比,所得到的非晶碳膜顯示30-50%的蝕刻選擇性的改善,同時還匹配先前的覆蓋要求。
在本揭露書的一些實施方案中,經由電漿增強化學氣相沉積將非晶碳膜沉積到裸矽覆蓋晶圓上。在一些實施方案中,碳前驅物為C 3H 6,其中電漿輪廓和均勻性由氬氣和氦氣維持。這項工作的範圍還包括使用C 4H 8、C 2H 6、C 2H 4、C 2H 2、CO 2和CF 4等。這個應用的高頻RF為13.56MHz。單晶圓硬體可在高達攝氏650度的溫度下進行沉積,並使用氣體盒、帶有平面加熱器邊緣環配置的噴頭組合,從中心到邊緣保持電漿穩定性。電漿輪廓和與晶圓表面的耦合可藉由RF的分層而進一步調諧,以橫向和垂直於晶圓表面分佈。
在一些實施方案中,在單晶圓處理工具中執行離子植入。熱交換器使溫度控制和冷植入技術的發展能夠達到攝氏-100度。本揭露書中所示的產生高效能的物種是可清洗的離子,保持膜的純碳特性。
第1圖是根據本揭露書的各種實施方案而配置的電漿處理腔室100的示意性剖視圖。作為示例,第1圖中的電漿處理腔室100的實施方案是根據PECVD系統而描述的,但是任何其他電漿處理腔室可落入實施方案的範圍內,包括其他電漿沉積腔室或電漿蝕刻腔室。電漿處理腔室100包括壁102、底部104和腔室蓋124,它們一起包圍基座105和處理區域146。電漿處理腔室100進一步包括真空泵114、第一RF發生器151、第二RF發生器152、RF匹配器153、氣體源154、頂部RF電流調諧器155、底部RF電流調諧器157和系統控制器158,每個都在外部耦接到電漿處理腔室100,如圖所示。
壁102和底部104可包括導電材料,諸如鋁或不銹鋼。通過一個或多個壁102,可存在狹縫閥開口,狹縫閥開口被配置為促進將基板110插入到電漿處理腔室100中並將基板110從電漿處理腔室100移除。配置成密封狹縫閥開口的狹縫閥可設置在電漿處理腔室100的內側或外側任一側。為清楚起見,第1圖中未顯示狹縫閥或狹縫閥開口。
真空泵114耦接到電漿處理腔室100且配置成調節其中的真空位凖。如圖所示,閥116可耦接在電漿處理腔室100和真空泵114之間。真空泵114通過閥116在基板處理之前抽空電漿處理腔室100,並且在處理期間從電漿處理腔室100移除處理氣體。閥116可為可調節的,以促進調節電漿處理腔室100的抽空速率。通過閥116的抽空速率和來自氣體源154的進入氣體流速決定電漿處理腔室100中的腔室壓力和處理氣體駐留時間。
氣體源154經由穿過腔室蓋124的管123而耦接到電漿處理腔室100。管123流體地耦接到在背板106和氣體分配噴頭128之間的氣室148,氣體分配噴頭128包括在腔室蓋124中。在操作期間,從氣體源154引入到電漿處理腔室100中的處理氣體填充氣室148,並接著穿過形成在氣體分配噴頭128中的氣體通道129,以均勻地進入處理區域146。在替代實施方案中,除了氣體分配噴頭128之外或代替氣體分配噴頭128,處理氣體可經由入口及/或噴嘴(未顯示)而引入到處理區域146中,入口及/或噴嘴附接到壁102。
基座105可包括用於在由電漿處理腔室100處理期間支撐基板的任何技術上可行的設備,諸如第1圖中的基板110。在一些實施方案中,基座105設置在軸112上,軸112配置成升高和降低基座105。在一個實施方案中,軸112和基座105可至少部分地由導電材料形成或含有導電材料,諸如鎢、銅、鉬、鋁或不銹鋼。替代地或另外地,基座105可至少部分地由陶瓷材料形成或含有陶瓷材料,諸如氧化鋁(Al 2O 3)、氮化鋁(AlN)、二氧化矽(SiO 2)及類似者。在電漿處理腔室100是電容耦合電漿腔室的實施方案中,基座105可配置成含有電極113。在這樣的實施方案中,金屬桿115或其他導體電耦合到電極113且配置成提供傳送到電漿處理腔室100的RF功率的接地路徑的一部分。即,金屬桿115使得傳送到電漿處理腔室100的RF功率能夠通過電極113並離開電漿處理腔室100以接地。
在一些實施方案中,電極113還配置成提供來自DC電源(未圖示)的電偏壓,以在電漿處理期間能夠將基板110靜電夾持到基座105上。在這樣的實施方案中,基座105通常包括主體,主體包括一種或多種陶瓷材料,諸如上述的陶瓷材料,或適用於靜電卡盤的任何其他陶瓷材料。在這樣的實施方案中,電極113可為網狀物,諸如RF網狀物,或由鉬(Mo)、鎢(W)或熱膨脹係數基本上類似於陶瓷材料或包括在基座105的主體中的材料的熱膨脹係數的其他材料所製成的多孔材料片。電極113和氣體分配噴頭128一起界定電漿形成在其中的處理區域146的邊界。例如,在處理期間,基座105和基板110可升高並定位在氣體分配噴頭128的下表面附近(如,在10-30mm內),以形成至少部分地封閉的處理區域146。
第一RF發生器151是射頻(RF)電源,配置成經由RF匹配器153向放電電極126提供第一RF頻率的高頻功率。類似地,第二RF發生器152是RF電源,配置成經由藉由RF匹配器153向放電電極126提供第二RF頻率的高頻功率。在一些實施方案中,第一RF發生器151包括能夠以高頻(HF)(例如,約13.56MHz)產生RF電流的RF電源。替代地或另外地,第一RF發生器151包括能夠產生VHF功率的VHF發生器,諸如在約20MHz到200MHz或更高的頻率下的VHF功率。與之相比,第二RF發生器152包括能夠以所謂的低頻(LF)RF(例如,約350kHz)產生RF電流的RF電源。替代地或另外地,第二RF發生器152包括能夠以在約1kHz至約1MHz之間的頻率下產生RF功率的RF發生器。第一RF發生器151和第二RF發生器152配置成促進在放電電極126和基座105之間產生電漿。
放電電極126可包括處理氣體分配元件,諸如氣體分配噴頭128(如第1圖所示)及/或氣體注入噴嘴的陣列,處理氣體通過氣體注入噴嘴的陣列引入到處理區域146中。放電電極126,即氣體分配噴頭128,可基本上平行於基板110的表面取向,並且將電漿源功率電容耦合到處理區域146中,處理區域146設置在基板110和氣體分配噴頭128之間。
RF匹配器153可為任何技術上可行的阻抗匹配設備,其耦接在第一RF發生器151和電漿處理腔室100的供電電極(亦即,氣體分配噴頭128)之間。RF匹配器153也耦接在第二RF發生器152和電漿處理腔室100的供電電極之間。RF匹配器153配置成使負載阻抗(電漿處理腔室100)與源或驅動源(第一RF發生器151、第二RF發生器152)的內部阻抗相匹配,以實現從第一RF發生器151和第二RF發生器152到電漿處理腔室100的RF功率的最大傳輸。
形成壁102的一部分的是上隔離器107、調諧環108和下隔離器109。上隔離器107配置成電隔離調諧環108(由導電材料形成)與背板106,在一些實施方案中,背板106在操作期間以RF功率供能。因此,上隔離器107位於背板106和調諧環108之間,並防止調諧環108經由背板106而以RF功率供能。在一些實施方案中,上隔離器107配置為繞處理區域146同心地定位的陶瓷環或環形物(annulus)。類似地,下隔離器109配置為將調諧環108與壁102電隔離。壁102通常由導電材料形成,且因此可用作在處理期間傳送到電漿處理腔室100的一部分RF功率的接地路徑。因此,下隔離器109使得調諧環108能夠成為傳送到電漿處理腔室100的RF功率的不同接地路徑的一部分,除了壁102的接地路徑之外。在一些實施方案中,上隔離器107配置成陶瓷環,或配置成包括繞處理區域146同心地定位的陶瓷環。
調諧環108設置在上隔離器107和下隔離器109之間,由導電材料形成,且鄰近處理區域146設置。例如,在一些實施方案中,調諧環108由合適的金屬形成,諸如鋁、銅、鈦或不銹鋼。在一些實施方案中,調諧環108是在基板110的處理期間繞基座105和基板110同心地定位的金屬環或環形物(annulus)。此外,調諧環108經由導體156並經由頂部RF電流調諧器155而電耦合到接地,如圖所示。因此,調諧環108不是供電電極,且通常設置在處理區域146的外側和周圍。在一個示例中,調諧環108位於與基板110基本平行的平面中,且是用以在處理區域146中形成電漿的RF能量的接地路徑的一部分。結果,經由頂部RF電流調諧器155在氣體分配噴頭128和接地之間建立額外的RF接地路徑141。因此,藉由以特定頻率改變頂部RF電流調諧器155的阻抗,在那個特定頻率處的RF接地路徑141的阻抗改變,引起在那個頻率處耦合到調諧環108的RF場的變化。因此,處理區域146中的電漿的形狀可沿著+/-X和Y方向獨立地調制,用於與第一RF發生器151或第二RF發生器152相關聯的RF頻率。即,在處理區域146中形成的電漿的形狀、體積或均勻性可藉由使用(例如)調諧環108而在基板110的表面上,或使用電極113而垂直地在基板110和氣體分配噴頭128之間針對多個RF頻率獨立地調制。
系統控制器158配置成控制電漿處理腔室100的部件和功能,諸如真空泵114、第一RF發生器151、第二RF發生器152、RF匹配器153、氣體源154、頂部RF電流調諧器155和底部RF電流調諧器157。這樣,系統控制器158接收感測器輸入,如,來自頂部RF電流調諧器155和底部RF電流調諧器157的電壓-電流輸入,並傳輸用於電漿處理腔室100的操作的控制輸出。系統控制器158的功能可包括任何技術上可行的實現,包括經由軟體、硬體及/或韌體,且可在與電漿處理腔室100相關聯的多個單獨的控制器之間劃分。
不受理論束縛,但據信藉由在電漿增強沉積處理期間將不同頻率的RF功率輸送到電漿處理腔室的處理區域,可調節沉積膜的性質。例如,調節輸送到處理區域146的低頻RF電漿功率及/或頻率(亦即,以1kHz至1MHz的方式形成RF電漿)可有利於調節一些沉積膜性質(諸如膜應力),而調節輸送到處理區域146的高頻RF電漿功率及/或頻率(亦即,以1MHz至200MHz的方式形成RF電漿)可有利於調節其他沉積膜性質(諸如厚度均勻性)。根據本揭露書的各種實施方案,調諧設備能夠在多個RF頻率下獨立控制在電漿處理腔室100中的RF電流的流動。在一些實施方案中,在電漿處理腔室100中的多個位置處採用這種調諧設備,亦即,頂部RF電流調諧器155和底部RF電流調諧器157。
如上所述,頂部RF電流調諧器155電耦合到調諧環108並且端接到接地,從而為電漿處理腔室100提供可控的RF接地路徑141。類似地,底部RF電流調諧器157電耦合到金屬桿115並且端接到接地,從而為電漿處理腔室100提供不同的可控RF接地路徑142。如於此所述,頂部RF電流調諧器155和底部RF電流調諧器157每個都配置成控制多個RF頻率下的RF電流的流動到接地。因此,在調諧環108和金屬桿115之間處於第一RF頻率的RF電流的分佈可獨立於在調諧環108和金屬桿115之間處於第二RF頻率的RF電流的分佈而控制。
在電極113和放電電極126之間的處理區域146中形成電漿180。在電極113的底表面和基座105的頂表面之間的距離或「間隔」由「X」表示。
其他沉積腔室也可受益於本揭露書,且上面列出的參數可根據用以形成非晶碳層的特定沉積腔室而變化。例如,其他沉積腔室可具有更大或更小的體積,需要比可從應用材料公司獲得的沉積腔室所述的氣體流速更大或更小的氣體流速。在一個實施方案中,可使用可從加州聖克拉拉市的應用材料公司商購獲得的PRODUCER® XP Precision TM處理系統沉積硼-碳膜。
摻雜劑或惰性物種摻入非晶碳膜中的原子百分比計算如下:(以cm -3計的摻雜劑濃度除以預期用於特定密度的碳膜的每cm -3的碳原子數。非晶碳膜可含有至少0.1、1或10原子百分比的摻雜劑或惰性物種。非晶碳膜可含有高達1、10或30原子百分比的摻雜劑或惰性物種。非晶碳膜可含有從約1至約30原子百分比的摻雜劑或惰性物種。非晶碳膜可含有從約10至約30原子百分比的摻雜劑或惰性物種。非晶碳膜可含有至少3、5或10原子百分比的氫。非晶碳膜可含有高達5、10或15原子百分比的氫。非晶碳膜可含有從約3至約15原子百分比的氫。
在摻雜劑是碳的一種實施方案中,碳摻入非晶碳膜中的原子百分比計算如下:((C/(H+C))%)。非晶碳膜可含有至少85、90或95原子百分比的碳。非晶碳膜可含有高達90、95或97原子百分比的碳。非晶碳膜可含有從約85至約97原子百分比的碳。非晶碳膜可含有從約90至約97原子百分比的碳。非晶碳膜可含有至少3、5或10原子百分比的氫。非晶碳膜可含有高達5、10或15原子百分比的氫。非晶碳膜可含有從約3至約15原子百分比的氫。
通常,以下的示例性沉積處理參數可用以於此所述的非晶碳膜沉積處理的PECVD部分。處理參數的範圍可從約攝氏100度到約攝氏700度(如,在約攝氏300度至約攝氏700度之間)的晶圓溫度。腔室壓力的範圍可從約1托到約20托(如,在約2托和約8托之間;或在約5托和約8托之間)。含烴氣體的流速可為從約100sccm至約5,000sccm(如,在約100sccm和約2,000sccm之間;或在約160sccm和約500sccm之間)。稀釋氣體的流速的範圍可分別地從約0sccm至約5,000sccm(如,從約2,000sccm至約4,080sccm)。惰性氣體的流速的範圍可分別地從約0sccm至約10,000sccm(如,從約0sccm至約2,000sccm;從約200sccm至約2,000sccm)。RF功率可在1,000瓦至3,000瓦之間。在基板110的頂表面和氣體分配噴頭128之間的板間距可設定在約200密耳至約1,000密耳之間(如,在約200密耳和約600密耳之間;在約300密耳至約1,000密耳之間;或在約400密耳和約600密耳之間)。可沉積非晶碳膜以具有在約10Å和約50,000Å之間的厚度(如,在約300Å和約3,000Å之間;或在約500Å至約1,000Å之間)。上述處理參數為非晶碳膜提供了典型的沉積速率,其範圍為約100Å/分鐘至約5,000Å/分鐘(如,從約1,400Å/分鐘至約3,200Å/分鐘),且可在可從加州聖克拉拉市的應用材料公司獲得的沉積腔室中的300mm基板上實現。
在植入之前沉積的非晶碳膜可具有大於1.9的折射率( n)(633nm),例如大約2.2(如,從約2.1至約2.5)。例如,沉積的非晶碳膜可具有小於1.0的k值(k(在633nm處))(如,從約0.6至約0.8)。沉積的非晶碳膜可具有從約50至約200GPa(如,從約60至約140GPa;或從約100至約140GPa)的楊氏模數(GPa)。沉積的非晶碳膜可具有從約10GPa至約22GPa(如,從約10GPa至約15GPa;或從約12GPa至約14GPa)的硬度(GPa)。沉積的非晶碳膜可具有從約-1300MPa至約0MPa(如,從約-1300MPa至約-250MPa;從約-1250MPa至約-1000MPa)的應力(MPa)。沉積的非晶碳膜可具有從約1.7g/cc至約1.87g/cc(如,從約1.74g/cc至約1.85g/cc)的密度(g/cc)。
在碳植入之後沉積的非晶碳膜可具有大於2.04的折射率( n)(633nm),例如大約2.2(如,從約2.1至約2.2)。例如,沉積的非晶碳膜可具有小於1.0的k值(k(在633nm處))(如,從約0.5至約0.8;從約0.6至約0.7)。植入後沉積的非晶碳膜可具有從約70至約200GPa(如,從約120至約180GPa;或從約130至約170GPa)的楊氏模數(GPa)。植入後沉積的非晶碳膜可具有從約14GPa至約22GPa(如,從約15GPa至約20GPa;或從約16GPa至約19GPa)的硬度(GPa)。植入後沉積的非晶碳膜可具有從約-600MPa至約0MPa(如,從約-400MPa至約0Pa;從約-350MPa至約0MPa)的應力(MPa)。沉積的非晶碳膜可具有大於1.9g/cc,例如大約2.1g/cc(如,從約1.95g/cc至約2.1g/cc)的密度(g/cc)。
第2圖描繪了根據本揭露書的一個或多個實施方案的用於在設置在基板上的膜堆疊上形成非晶碳硬遮罩層的方法200的處理流程圖。第3A-3H圖描繪了基板結構的示意性剖視圖,顯示了根據方法200的硬遮罩形成順序。儘管下面參考可在用於三維半導體裝置的膜堆疊中製造類樓梯結構的膜堆疊上形成的硬遮罩層來描述方法200,方法200還可用以在其他裝置製造應用中得利。此外,還應該理解第2圖中描繪的操作可同時地執行及/或以與第2圖中所示的順序不同的順序執行。
方法200藉由將基板302定位到處理腔室(諸如第1圖中所示的電漿處理腔室100)中而在操作210處開始。基板302可為第1圖中所示的基板110。基板302可為在其上形成的膜堆疊300的一部分。
在一個實施方案中,基板110的表面(如第1圖所示)基本上是平面的。替代地,基板110可具有圖案化結構,例如,其中形成有溝槽、孔或通孔的表面。基板110還可具有基本平坦的表面,基本平坦的表面具有在其上或其中以目標高度形成的結構。雖然基板110被顯示為單個主體,但是應當理解基板110可含有在形成半導體裝置中使用的一種或多種材料,諸如金屬接點、溝槽隔離件、閘極、位線或任何其他互連特徵。基板110可包括用以製造半導體裝置的一個或多個金屬層、一個或多個介電材料、半導體材料及其組合。例如,取決於應用,基板110可包括氧化物材料、氮化物材料、多晶矽材料或類似者。在以記憶體應用為目標的一個實施方案中,基板110可包括矽基板材料、氧化物材料和氮化物材料,其中夾有或不夾有多晶矽。
在另一實施方案中,基板110可包括沉積在基板110的表面上的複數個交替的氧化物和氮化物材料(亦即,氧化物-氮化物-氧化物(ONO))(未顯示)。在各種實施方案中,基板110可包括複數個交替的氧化物和氮化物材料、一種或多種氧化物或氮化物材料、多晶矽或非晶矽材料、與非晶矽交替的氧化物、與多晶矽交替的氧化物、與摻雜矽交替的未摻雜矽、與摻雜多晶矽交替的未摻雜多晶矽或與摻雜的非晶矽交替的未摻雜的非晶矽。基板110可為在其上執行膜處理的任何基板或材料表面。例如,基板110可為諸如晶體矽、氧化矽、氮氧化矽、氮化矽、應變矽、矽鍺、鎢、氮化鈦、摻雜或未摻雜的多晶矽、摻雜或未摻雜的矽晶圓以及圖案化或非圖案化的晶圓、絕緣體上矽(SOI)、碳摻雜的氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石、低k介電質以及它們的組合的材料。
膜堆疊300包括基板302和底層304。如於此所用,底層304包括設置在非晶碳硬遮罩下方的任何層。例如,非晶碳硬遮罩306可直接設置在底層304之上方,使得非晶碳硬遮罩306和底層304彼此實質接觸。在一個實施方案中,底層304包括單一層。在另一實施方案中,底層304包括介電質堆疊。
在操作220處,非晶碳硬遮罩306形成在設置在基板302上方的底層304上,如第3B圖所示。藉由在底層304上方毯式沉積處理而沉積非晶碳硬遮罩306。在一些實施方案中,根據第4圖的處理流程圖中描述的方法400而沉積非晶碳硬遮罩306。可將非晶碳硬遮罩306沉積到一定厚度,這對應於底層304的後續蝕刻要求。在一個示例中,非晶碳硬遮罩具有在約0.5μm和約1.5μm之間的厚度,諸如約1.0μm。
在操作230處,離子植入處理用摻雜劑摻雜非晶碳硬遮罩306,以形成摻雜有摻雜劑的摻雜非晶碳硬遮罩312,如第3C圖所示。可使用任何合適的摻雜技術。在一個實施方案中,採用電漿浸沒離子植入技術來植入摻雜劑或惰性物種。在一個實施方案中,採用束線植入技術來植入摻雜劑或惰性物種。在一個實施方案中,可採用共形摻雜技術(諸如電漿摻雜(PLAD)技術)來植入摻雜劑或惰性物種。
合適的離子物種可由各種前驅物材料產生,諸如含碳、硼、氮、矽、磷、氦、氬、氖、氪、氙、鈹和鍺材料。在一個實施方案中,摻雜劑或惰性物種選自碳、硼、氮、矽、磷、氬、氦、氖、氪、氙、鈹、鍺或其組合。含碳前驅物氣體的示例包括CH 4。在一個實施方案中,各種前驅物材料由前驅物材料的組合產生,包括(例如)CH 4/N 2、CH 4/He、N 2/He、CH 4/Ne、CH 4/Ar、CH 4/Ne、CH 4/Kr或CH 4/Xe。
在示意圖中,離子310轟擊非晶碳硬遮罩306且通常穿透非晶碳硬遮罩306,以形成植入有摻雜劑或惰性物種的摻雜非晶碳硬遮罩312。離子310穿透非晶碳硬遮罩306到各種深度,這取決於離子的類型和尺寸以及用以賦能離子310的功率和偏壓。離子310的物種可被訂製以提供底層304的增加的蝕刻選擇性。因此,植入的物種可為適以增強非晶碳硬遮罩306的蝕刻選擇性的任何單體或分子離子。
可藉由束線或電漿植入工具執行離子植入處理。用以執行植入處理的示例性系統包括(例如)VARIAN VISISta® Trident系統、VARIAN VIISta®熱離子系統、VARIAN VIISta®介質流熱離子系統、VIISta® 3000XP系統、VIISta® 900XP系統、VIISta® HCP系統和VIISta® PLAD系統,可從加州聖克拉拉市的應用材料公司獲得。儘管關於上述系統進行了描述,但是可預期來自其他製造商的系統也可用以執行離子植入處理。
在一個實施方案中,離子植入處理將摻雜劑或惰性物種植入非晶碳硬遮罩306中。摻雜劑或惰性物種選自碳、硼、氮、矽、磷、氬、氦、氖、氪、鈹、鍺、氙或其組合。在一個實施方案中,對於碳遮罩厚度應用在10kÅ到50kÅ的範圍中的3D NAND應用而言,用以賦能摻雜劑的植入能量在約60keV和約300keV之間(可以施加單個或多個植入能量。作為用於碳植入的示例,300keV的能量將修改約8kÅ的碳硬遮罩。可以施加多種植入能量來修改碳硬遮罩,例如,60keV、120keV、180keV、240keV、300keV或一些變化的多種能量。植入物種和多能量選擇將取決於所用摻雜劑的類型、用作非晶碳硬遮罩306的材料類的型及碳硬遮罩所需的均勻厚度修改。在另一個實施方案中,對於碳硬遮罩的厚度範圍可從500Å到4kÅ的DRAM或其他技術(諸如邏輯或新興的記憶體技術)而言,用以賦能摻雜劑的植入能量在約1keV和約60keV之間(如,在約5keV和約60keV之間;在約1keV和約15keV之間;在約10keV和約35keV之間;在約20keV和約30keV之間;或在約20keV和約25keV之間),取決於所用摻雜劑的類型、用作非晶碳硬遮罩306的材料的類型及目標的植入深度。
在一種實施方案中,對於碳硬遮罩厚度的範圍可在10kÅ到50kÅ的3D NAND應用而言,離子劑量(離子/cm 2)在約1x10 13離子/cm 2和約5x10 15離子/cm 2之間(如,在約1x10 14離子/cm 2和約3x10 15離子/cm 2之間;在約5x10 14離子/cm 2和約2x10 15離子/cm 2之間),取決於所用摻雜劑的類型、用作非晶碳硬遮罩306的材料的類型和目標的植入深度。在另一種實施方案中,對於碳硬遮罩厚度的範圍可從500Å到4kÅ的DRAM或其他技術(例如,邏輯或新興記憶體技術)而言,離子劑量(離子/cm 2)在約5x10 13離子/cm 2和約5x10 16離子/cm 2(如,在約1x10 14離子/cm 2和約5x10 16離子/cm 2之間;在約5x10 14離子/cm 2和約2x10 16離子/cm 2之間;在約1x10 15離子/cm 2和約1x10 16離子/cm 2之間;取決於所用摻雜劑的類型、用作非晶碳硬遮罩306的材料的類型和目標的植入深度。
在使用PLAD植入技術的一種實施方案中,用以賦能摻雜劑或惰性物種的植入能量在約1kV和約15kV之間(如,在約1kV和約15kV之間;在約1kV和約15kV之間;在約2kV和約12kV之間;在約4kV和約10kV之間;或在約20kV和約25kV之間),離子劑量範圍在約1x10 15離子/cm 2和約5x10 17離子之間/cm 2之間(如,在約3x10 15離子/cm 2和約3x10 17離子/cm 2之間;在約5x10 15離子/cm 2和約5x10 16離子/cm 2之間;在約5x10 15離子/cm 2和約2x10 16離子/cm 2之間;或在約5x10 15離子/cm 2和約1x10 16離子/cm 2之間)。在摻雜劑是氦的一種實施方案中,用以賦能摻雜劑的植入能量在約1kV至約15kV之間,離子劑量範圍在約1x10 15離子/cm 2和約3x10 17離子/cm 2之間。
在一個實施方案中,目標溫度在約攝氏-100度和約攝氏550度之間(如,在約攝氏-100度和約攝氏200度之間;在約攝氏-100度和約攝氏0度之間;在約攝氏-100度和約攝氏50度之間;在約攝氏0度和約攝氏100度之間;或在約攝氏150度和約攝氏550度之間。)在另一個實施方案中,目標溫度在約攝氏-100度和約攝氏500度之間(如,在約攝氏-100度和約攝氏200度之間;在約攝氏-100度和約攝氏0度之間;在約攝氏-100度和約攝氏50度之間;在約攝氏0度和約攝氏50度之間;或在約攝氏50度和約攝氏400度之間。)在執行冷植入的一個示例中,用於植入的目標溫度為從約攝氏-100度至約攝氏0度。在執行室溫植入的另一個示例中,用於植入的目標溫度為從約攝氏10度至約攝氏100度。在執行熱植入的又另一個示例中,用於植入的目標溫度為從約攝氏150度至約攝氏550度。
通常,在非晶碳硬遮罩306打開之後,增加的非晶碳硬遮罩306的硬度提供了底層304中的高深寬比結構的線彎曲減少。據信植入的離子310從非晶碳硬遮罩306的懸空碳-氫鍵中提取殘留的氫原子,並在非晶碳硬遮罩306內形成碳化物結構。當與未摻雜的硬遮罩相比時,碳化物結構表現出增加的硬度。另外,據信植入的離子310佔據非晶碳硬遮罩306內存在的間隙空隙,這導致非晶碳硬遮罩306的密度增加。增加的密度進一步增加了非晶碳硬遮罩306的機械完整性。
在一個實施方案中,在離子植入處理之後,對膜堆疊300進行熱處理。合適的離子植入後熱處理技術包括UV處理、熱退火和雷射退火。摻雜非晶碳硬遮罩312的熱處理進一步將植入的離子310結合到摻雜非晶碳硬遮罩312的框架中。例如,植入的離子310可在摻雜非晶碳硬遮罩312內重新分佈,以形成更均勻的摻雜輪廓。據信熱處理可增加在摻雜非晶碳硬遮罩312的非晶碳與植入的離子310之間的相互作用和鍵合。植入的離子310的重新分佈和鍵合可用以進一步增加摻雜非晶碳硬遮罩312的硬度、密度和蝕刻選擇性。在一種實施方案中,退火處理在電漿處理腔室中執行,諸如電漿處理腔室100。在另一種實施方案中,退火處理在單獨的退火腔室中執行。
在操作240處,在摻雜有摻雜劑或惰性物種的摻雜非晶碳硬遮罩312之上形成圖案化的光阻劑層320,如第3D圖所示。可利用能量源(諸如光能)從光遮罩將特徵或圖案轉移到光阻劑層320。在一個實施方案中,光阻劑層320是聚合物材料,且圖案化處理藉由193奈米浸沒式光刻處理或其他類似的光刻處理來執行。類似地,雷射也可用以執行圖案化處理。
在操作250處,藉由(例如)電漿蝕刻處理打開摻雜非晶碳硬遮罩312,以形成摻雜的圖案化非晶碳硬遮罩322,如第3E圖所示。電漿蝕刻處理可在類似於關於第3C圖描述的腔室的腔室中執行。
在操作260處,移除光阻劑層320,如第3F圖所示。可藉由各種有利的光阻劑移除處理而移除光阻劑層320。
在操作270處,蝕刻底層304,如第3G圖所示。底層304蝕刻可在電漿處理腔室中進行,諸如關於第1B圖描述的腔室和系統。蝕刻劑(諸如碳氟化合物)移除底層304的曝露部分。蝕刻劑的活性物種基本上不與摻雜的圖案化非晶碳硬遮罩322的材料(植入的離子310)反應。因此,蝕刻劑對於底層304的材料具有選擇性。蝕刻劑的合適示例包括CF 4、CHF 3、HBr、BCl 3和Cl 2等。可用惰性載氣提供蝕刻劑。
在操作280處,移除摻雜的圖案化非晶碳硬遮罩322。可藉由任何有利的硬遮罩移除處理來移除摻雜的圖案化非晶碳硬遮罩322。在一個示例中,利用氧電漿來移除摻雜的圖案化非晶碳硬遮罩322。所得的膜堆疊300包括底層304,底層304具有形成在其中的特徵324(諸如高深寬比特徵)。膜堆疊300可接著經受進一步處理以形成功能半導體裝置。
第4圖是描繪根據於此描述的實施方案的用於沉積非晶碳膜的方法400的一個實施方案的處理流程圖。在一個實施方案中,方法400可用以沉積操作220的非晶碳膜。方法400在操作410處藉由在處理腔室的處理區域中提供基板而開始。處理腔室可為第1圖中所示的電漿處理腔室100。基板可為也在第1圖中顯示的基板110,或第3A-3H圖中所示的基板302。
在操作420處,將含烴氣體混合物流到處理區域146中。含烴氣體混合物可從氣體源154通過氣體分配噴頭128流到處理區域146中。氣體混合物可包括至少一個烴源及/或含碳源。氣體混合物可進一步包括惰性氣體、稀釋氣體、含氮氣體或其組合。烴源及/或含碳源可為任何液體或氣體。在一個示例中,前驅物在室溫下是蒸氣,其簡化用於材料計量、控制和輸送到腔室的硬體。
在一個實施方案中,烴源是氣態烴,諸如線性烴。在一個實施方案中,烴化合物具有通式C xH y,其中x具有在1和20之間的的範圍,y具有在1和20之間的範圍。在一個實施方案中,烴化合物為烷烴。合適的烴化合物包括(例如)甲烷(CH 4)、乙炔(C 2H 2)、乙烯(C 2H 4)、乙烷(C 2H 6)、丙烯(C 3H 6)和丁烯(C 4H 8)、環丁烷(C 4H 8)和甲基環丙烷(C 4H 8)。合適的丁烯包括1-丁烯、2-丁烯和異丁烯。其他合適的含碳氣體包括二氧化碳(CO 2)和四氟化碳(CF 4)。在一個示例中,由於形成更穩定的中間物種,C 3H 6是優選的,這允許更多的表面遷移率。
可將合適的稀釋氣體(諸如氦氣(He)、氬氣(Ar)、氫氣(H 2)、氮氣(N 2)、氨氣(NH 3)或其組合等)加入到氣體混合物中。Ar、He和N 2用以控制非晶碳層的密度和沈積速率。在一些情況下,添加N 2及/或NH 3可用以控制非晶碳層的氫比例,如下所述。替代地,在沉積期間可不使用稀釋氣體。
含氮氣體可與含烴氣體混合物一起供應到電漿處理腔室100中。合適的含氮化合物包括(例如)吡啶、脂族胺、胺、腈、氨和類似化合物。
惰性氣體(諸如氬氣(Ar)及/或氦氣(He))可與含烴氣體混合物一起供應到電漿處理腔室100中。其他惰性氣體(諸如氮氣(N 2)和一氧化氮(NO))也可用以控制非晶碳層的密度和沈積速率。另外,可將各種其他處理氣體添加到氣體混合物,以改變非晶碳材料的性質。在一個實施方案中,處理氣體可為反應性氣體,諸如氫氣(H 2)、氨氣(NH 3)、氫氣(H 2)和氮氣(N 2)的混合物,或其組合。加入H 2及/或NH 3可用以控制沉積的非晶碳層的氫比例(如,碳與氫的比例)。存在於非晶碳膜中的氫比例提供對層性質(諸如反射率)的控制。
任選地,在操作430處,將處理區域中的壓力穩定於預定的RF接通延遲時間段。預定義的RF接通延遲時間段是固定的時間延遲,其定義為在操作430中在將含烴氣體混合物引入處理區域中和撞擊或產生電漿之間的時間段。可使用任何合適的固定時間延遲以達到目標條件。通常選擇RF接通延遲時間段的長度,使得含烴或含碳氣體混合物在處理區域中不開始熱分解或基本上熱分解。
在操作440處,在處理區域中產生RF電漿以沉積非晶碳膜,諸如非晶碳硬遮罩306。電漿可藉由電容或電感手段而形成,且可藉由將RF功率耦合到前驅物氣體混合物中而賦能。RF功率可為具有高頻分量和低頻分量的雙頻RF功率。RF功率通常以在約50W和約2,500W之間(如,在約2,000W至約2,500W之間)的功率位凖施加,其可為全部高頻RF功率(例如在約13.56MHz的頻率下),或可為高頻功率和低頻功率(例如在約300kHz的頻率下)的混合。對於大多數應用而言,將電漿保持一定時間段以沉積具有厚度在約100Å至約5,000Å之間的非晶碳層。當達到非晶碳膜的目標厚度時,可停止含烴氣體混合物的流動。操作440的處理可與操作420和操作430的處理同時執行、依序執行,或操作440的處理可與操作420和操作430的處理部分地重疊。
在於此所述的任何PECVD實施方案中,在沉積非晶碳膜期間,腔室、晶圓或兩者可維持在約攝氏200度至約攝氏700度之間的溫度(如,在約攝氏400度至約攝氏700度之間;或在約攝氏500度至約攝氏700度之間)。腔室壓力的範圍可從約1托到約10托(如,在約2托和約8托之間;或在約4托和約8托之間)。在基座和氣體分配噴頭之間的距離(亦即,「間隔」)可設定在約200密耳至約1,000密耳之間(如,在約200密耳和約600密耳之間;在約300密耳至約1,000密耳之間;或在約400密耳和約600密耳之間)。
可沉積非晶碳膜以具有在約10Å和約50,000Å之間的厚度(如,在約300Å和約30,000Å之間;在約500Å至約1,000Å之間)
藉由執行任選的吹掃/抽空處理,可接著從處理區域移除任何過量的處理氣體和來自沉積調節層的副產物。
第5A圖描繪了與使用先前技術所形成非晶碳膜(510、512和514)相比,根據本揭露書的實施方案所形成的非晶碳膜(520、522和530、532)的平面內變形與膜應力(MPa)的關係圖500。應注意在碳摻雜劑植入之前描繪了根據本揭露書的實施方案所形成的非晶碳膜(520、522和530、532)。第5B圖描繪了第5A圖的非晶碳膜的楊氏模數(GPa)對膜應力(MPa)的關係圖550。如第5A-5B圖所示,根據於此所述的實施方案所形成的非晶碳膜(520、522和530、532)實現了儘管高應力(如,-1200MPa)但低的平面內變形和改進的模數。於此所述的後續碳摻雜劑植入處理將壓縮膜應力減小了大約4倍,同時將模數增加了大約1.4倍。
第6圖描繪了根據本揭露書的一個或多個實施方案的用於在設置在基板上的膜堆疊上形成非晶碳硬遮罩層的方法600的處理流程圖。第7A-7I圖描繪了基板結構的示意性剖視圖,顯示了根據方法600的硬遮罩形成順序。方法600和第7A-7I圖描繪了圖案化後植入,其中在圖案化非晶碳膜之後植入非晶碳膜。圖案化後植入可以零度的植入傾斜角或以一些角度執行到非晶碳膜中,相關的扭轉角從0到約360度,這使得離子只能穿透硬遮罩。在一個示例中,對於在一個方向而不是兩個垂直方向上運行的碳硬遮罩線和空間特徵,植入分為兩個單獨的植入,每個植入的劑量為期望劑量的一半,所以(例如)1x10 16離子/cm 2的劑量變成5.0x10 15離子/cm 2的劑量。基板每旋轉180度進行一次植入,從而達到期望的1x10 16離子/cm 2的劑量。結果,碳硬遮罩特徵的兩側被均勻地植入。儘管下面參考可在用於三維半導體裝置的膜堆疊中製造類樓梯結構的膜堆疊上形成的硬遮罩層來描述方法200,方法600還可用以在其他裝置製造應用中得利。此外,還應該理解第6圖中描繪的操作可同時地執行及/或以與第6圖中所示的順序不同的順序執行。
方法600藉由將基板702定位到處理腔室(諸如第1圖中所示的電漿處理腔室100)中而在操作610處開始。基板702可為第1圖中所示的基板110。基板702可為其上形成有附加層的膜堆疊700的一部分。
在一種實施方案中,基板702的表面(如第1圖所示)基本上是平面的。替代地,基板702可具有圖案化結構,例如,其中形成有溝槽、孔或通孔的表面。基板702還可具有基本平坦的表面,基本平坦的表面具有在其上或其中以目標高度形成的結構。雖然基板702被顯示為單個主體,但是應理解基板702可含有在形成半導體裝置中使用的一種或多種材料,諸如金屬接點、溝槽隔離件、閘極、位線或任何其他互連特徵。基板702可包含用以製造半導體裝置的一個或多個金屬層、一個或多個介電材料、半導體材料及其組合。例如,取決於應用,基板702可包括氧化物材料、氮化物材料、多晶矽材料或類似者。在以記憶體應用為目標的一個實施方案中,基板702可包括矽基板材料、氧化物材料和氮化物材料,其中夾有或不夾有多晶矽。
在另一實施方案中,基板702可包括沉積在基板702的表面上的複數個交替的氧化物和氮化物材料(亦即,氧化物-氮化物-氧化物(ONO))(未顯示)。在各種實施方案中,基板702可包括複數個交替的氧化物和氮化物材料、一種或多種氧化物或氮化物材料、多晶矽或非晶矽材料、與非晶矽交替的氧化物、與多晶矽交替的氧化物、與摻雜矽交替的未摻雜矽、與摻雜多晶矽交替的未摻雜多晶矽或與摻雜的非晶矽交替的未摻雜的非晶矽。基板702可為在其上進行膜處理的任何基板或材料表面。例如,基板702可為諸如晶體矽、氧化矽、氮氧化矽、氮化矽、應變矽、矽鍺、鎢、氮化鈦、摻雜或未摻雜的多晶矽、摻雜或未摻雜的矽晶圓以及圖案化或非圖案化的晶圓、絕緣體上矽(SOI)、碳摻雜的氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石、低k介電質以及他們的組合的材料。
膜堆疊700包括基板702和底層704。如於此所用,底層704包括設置在非晶碳硬遮罩下方的任何層。例如,非晶碳硬遮罩706可直接設置在底層704之上方,使得非晶碳硬遮罩706和底層704彼此實質接觸。在一個示例中,底層704包括單一層。在另一個示例中,底層704包括多個層,諸如介電質堆疊。
在操作620處,非晶碳硬遮罩706形成在設置在基板702上方的底層704上,如第7B圖所示。藉由在底層704上方毯式沉積處理而沉積非晶碳硬遮罩706。在一些實施方案中,根據第4圖的處理流程圖中描述的方法400而沉積非晶碳硬遮罩706。可將非晶碳硬遮罩706沉積到一定厚度,這對應於底層704的後續蝕刻要求。在一個示例中,非晶碳硬遮罩具有在約0.5μm和約1.5μm之間的厚度,諸如約1.0μm。
在操作630處,在非晶碳硬遮罩706上方形成圖案化的光阻劑層720,如第7C圖所示。可利用能量源(諸如光能)從光遮罩將特徵或圖案轉移到光阻劑層720。在一個實施方案中,光阻劑層320是聚合物材料,且圖案化處理藉由193奈米浸沒式光刻處理或其他類似的光刻處理來執行。類似地,雷射也可用以執行圖案化處理。
在操作640處,藉由(例如)電漿蝕刻處理打開非晶碳硬遮罩706,以形成具有開口或孔口的圖案化非晶碳硬遮罩722,如第7D圖所示。可使用任何合適的電漿蝕刻處理。在一個示例中,電漿蝕刻處理可在類似於關於第7F圖描述的腔室的腔室中執行。
在操作650處,移除光阻劑層720,以曝露圖案化非晶碳硬遮罩722的頂表面,如第7E圖所示。可藉由各種有利的光阻劑移除處理而移除光阻劑層320。
在操作660處,離子植入處理用摻雜劑或惰性物種摻雜圖案化非晶碳硬遮罩722,以形成摻雜有摻雜劑或惰性物種的摻雜的圖案化非晶碳硬遮罩732,如第7F圖所示。操作660的離子植入處理可以零度的植入傾斜角(正交於由圖案化非晶碳硬遮罩722的頂表面界定的平面)或以大於零度的植入傾斜角(正交於由圖案化非晶碳硬遮罩722的頂表面界定的平面±θ)執行。如第7F圖所示,對於提高底層704的蝕刻速率的一些離子物種而言,可以零度執行植入處理,從而導致將修改及/或摻雜的修改部分742a和742b。在一些示例中,底層704的選擇性修改導致修改部分742a、742b的蝕刻特性的改善。在另一示例中,對於導致減慢底層704的蝕刻速率的一些離子物種而言,可以植入傾斜角執行離子植入處理,使得底層704的曝露部分不被修改或摻雜,如第7G圖中所示。植入傾斜角通常取決於圖案化非晶碳硬遮罩中開口的尺寸。圖案化後植入可以零度的植入傾斜角或以一些角度執行到非晶碳膜中,相關的扭轉角在0和360度之間,這使得離子只能穿透硬遮罩。植入可分為多次植入,每次植入以不同的傾斜角進行。總期望劑量可除以多次植入以決定多次植入的每一次的劑量。例如,對於在一個方向而不是兩個垂直方向上運行的碳硬遮罩線和空間特徵,可將植入分為兩個單獨的植入,每個植入的劑量為所期望劑量的一半,例如,1x10 16離子/cm 2的劑量變為5.0x10 15離子/cm 2的劑量。基板每旋轉180度就會進行一次植入,從而達到所期望的1x10 16離子/cm 2的劑量。結果,碳硬遮罩特徵的兩側被均勻地植入。大範圍的植入傾斜角是可能的,例如,可使用從約0.1度到約80度(如,約1度到約80度;或從約10度到約50度)的植入角,不包括零度。
可使用任何合適的摻雜技術。在一個示例中,採用電漿浸沒離子植入技術來植入摻雜劑或惰性物種。在另一個示例中,採用束線植入技術來植入摻雜劑或惰性物種。在又另一個示例中,採用共形摻雜技術(諸如電漿摻雜(PLAD)技術)來植入摻雜劑或惰性物種。
合適的離子物種可以由各種前驅物材料產生,諸如含碳、硼、氮、矽、磷、氦、氬、氖、氪、氙、鈹和鍺材料。在一個實施方案中,摻雜劑或惰性物種選自碳、硼、氮、矽、磷、氬、氦、氖、氪、氙、鈹、鍺或其組合。含碳前驅物氣體的示例包括CH 4。在一個實施方案中,各種前驅物材料由前驅物材料的組合產生,包括(例如)CH 4/N 2、CH 4/He、N 2/He、CH 4/Ne、CH 4/Ar、CH 4/Ne、CH 4/Kr或CH 4/Xe。
在第7F圖的示意圖中,離子710以零度的植入傾斜角轟擊圖案化非晶碳硬遮罩722,且通常穿透圖案化非晶碳硬遮罩722和底層704的曝露部分,以形成摻雜的圖案化非晶碳硬遮罩732和修改部分742a、742b,它們被修改及/或植入有摻雜劑或惰性物種。離子710穿透圖案化非晶碳硬遮罩722和底層704到各種深度,這取決於離子的類型和尺寸及用以賦能離子710的功率和偏壓。離子710的物種可被訂製以提供底層704的增加的蝕刻選擇性。因此,植入的物種可為適以增強圖案化非晶碳硬遮罩722的蝕刻選擇性的任何單體或分子離子。
替代地,如第7G圖的示意圖所示,以一定角度執行植入處理或操作660,使得底層704的曝露部分不被修改或摻雜。植入傾斜角取決於開口的尺寸。植入可進一步包括扭轉角。大範圍的植入傾斜角是可能的,例如,可使用從約0.1度到約80度(如,約1度到約80度)和從約-0.1度到約-80度(如,約-1度到-80度左右)的植入角,不包括零度。扭轉角可在0和360度之間,這使得離子只能穿透硬遮罩。如第7G圖所示,離子750轟擊圖案化非晶碳硬遮罩722且通常穿透圖案化非晶碳硬遮罩722,但通常不穿透底層704的曝露部分。
離子植入處理可藉由束線或電漿植入工具執行。用以執行植入處理的示例性系統包括(例如)VARIAN VIISta® Trident系統、VARIAN VIISta®熱離子系統、VARIAN VIISta®介質流熱離子系統 VIISta® 3000XP系統、VIISta® 900XP系統、VIISta® HCP系統和VIISta PLAD系統,可從加州聖克拉拉市的應用材料公司獲得。雖然關於上述系統進行了描述,但預期來自其他製造商的系統也可用以執行離子植入處理。
在一個實施方案中,操作660的離子植入處理將摻雜劑或惰性物種植入到圖案化非晶碳硬遮罩722中。摻雜劑或惰性物種選自碳、硼、氮、矽、磷、氬、氦、氖、氪、氙、鈹、鍺或其組合。在一個實施方案中,用以賦能摻雜劑的植入能量在約60keV和約300keV之間(如,在約60keV和約300keV之間)。取決於所用的摻雜劑類型、用作圖案化非晶碳硬遮罩722的材料的類型和目標的植入深度,可在60keV和300keV之間的單一能量或多個能量下執行植入。在另一個實施方案中,用以賦能摻雜劑的植入能量在約1keV和約60keV之間(如,在約5keV和約60keV之間;在約1keV和約15keV之間;在約10keV和約35keV之間;在約20keV和約30keV之間;或在約20keV和約25keV之間)。取決於所用的摻雜劑的類型、用作圖案化非晶碳硬遮罩722的材料的類型和目標的植入深度,可在1keV和60keV之間範圍內的單一能量或多個能量下執行植入。
在一種實施方案中,離子劑量(離子/cm 2)在約1x10 13離子/cm 2和約5x10 17離子/cm 2之間(如,在約1x10 15離子/cm 2和約3x10 17離子/cm 2之間;在約1x10 14離子/cm 2和約5x10 16離子/cm 2之間;在約1x10 14離子/cm 2和約2x10 16離子/cm 2之間;在約1x10 15離子/cm 2和約1x10 16離子/cm 2之間;在約5x10 15離子/cm 2和約1x10 16離子/cm 2之間),取決於所用的摻雜劑的類型、用作非晶碳硬遮罩306的材料的類型和目標的植入深度。在另一種實施方案中,離子劑量(離子/cm 2)在約5x10 13離子/cm 2和約5x10 17離子/cm 2之間(如,在約1x10 15離子/cm 2和約3x10 17離子/cm 2之間;在約1x10 14離子/cm 2和約5x10 16離子/cm 2;在約1x10 14離子/cm 2和約2x10 16離子/cm 2之間;在約1x10 15離子/cm 2和約1x10 16離子/cm 2之間;在約5x10 15離子/cm 2和約1x10 16離子/cm 2之間),取決於所用的摻雜劑的類型、用作圖案化非晶碳硬遮罩722的材料的類型和目標的植入深度。
在使用PLAD植入技術的一種實施方案中,用以賦能摻雜劑或惰性物種的植入能量在約1kV和約15kV之間(如,在約1kV和約12kV之間;在約2kV和約10Kv之間;在約1x10 15離子/cm 2和約5x10 17離子/cm 2之間(如,在約2x10 15離子/cm 2和約3x10 17離子/cm 2之間;在約5x10 15離子/cm 2和在約5x10 16離子/cm 2之間;或在約1x10 16離子/cm 2和約1x10 17離子/cm 2之間的離子劑量範圍下。在摻雜劑是氦的一個示例中,用以賦能摻雜劑的植入能量在約1kV至約15kV之間,離子劑量範圍在約1x10 15離子/cm 2和約3x10 17離子/cm 2之間。
在一個實施方案中,用於植入處理或操作660的目標溫度在約攝氏-100度和約攝氏550度之間(如,在約攝氏-100度和約攝氏200度之間;在約攝氏-100度和約攝氏0度之間;在約攝氏-100度和約攝氏50度之間;在約攝氏0度和約攝氏100度之間;或在約攝氏150度和約攝氏550度之間。)在另一個實施方案中,用於植入的目標溫度在約攝氏-100度和約攝氏500度之間(如,在約攝氏-100度和約攝氏200度之間;在約攝氏-100度和約攝氏0度之間;在約攝氏-100度和約攝氏50度之間;在約攝氏0度和約攝氏50度之間;或在約攝氏50度和約攝氏400度之間。)在執行冷植入的一個示例中,用於植入的目標溫度為從約攝氏-100度至約攝氏0度。在執行室溫植入的另一個示例中,用於植入的目標溫度為從約攝氏10度至約攝氏100度。在執行熱植入的又另一個示例中,用於植入的目標溫度為從約攝氏150度至約攝氏550度。
在一個實施方案中,在離子植入處理之後,對膜堆疊700進行熱處理。合適的離子植入後熱處理技術包括UV處理、熱退火及/或雷射退火。摻雜的圖案化非晶碳硬遮罩732的熱處理進一步將植入的離子710結合到摻雜的圖案化非晶碳硬遮罩732的框架中。例如,植入的離子710可在摻雜的圖案化非晶碳硬遮罩732內重新分佈,以形成更均勻的摻雜輪廓。據信熱處理可增加摻雜的圖案化非晶碳硬遮罩732的非晶碳和植入的離子710之間的相互作用和鍵合。植入的離子710的重新分佈和鍵合可用以進一步增加摻雜的圖案化非晶碳硬遮罩732的硬度、密度和蝕刻選擇性。在一種實施方案中,退火處理在電漿處理腔室中執行,諸如電漿處理腔室100。在另一種實施方案中,退火處理在單獨的退火腔室中執行。
通常,增加的摻雜的圖案化非晶碳硬遮罩732的硬度提供了底層704中的高深寬比結構的線彎曲減少。據信植入的離子710、750從非晶碳硬遮罩706的懸空碳-氫鍵中提取殘留的氫原子,並在非晶碳硬遮罩706內形成碳化物結構。當與未摻雜的硬遮罩相比時,碳化物結構表現出增加的硬度。另外,據信植入的離子710、750佔據存摻雜的圖案化非晶碳硬遮罩732內存在的間隙空隙,這導致摻雜的圖案化非晶碳硬遮罩732的密度增加。此外,據信植入的離子710、750增加了非晶碳硬遮罩706中SP 3碳與碳鍵的形成。增加的密度進一步增加了摻雜的圖案化非晶碳硬遮罩732的機械完整性。
在操作670處,蝕刻底層304,如第7H圖所示。底層704蝕刻可在電漿處理腔室中執行,諸如關於第1圖描述的腔室和系統。蝕刻劑(諸如碳氟化合物)移除底層704的曝露部分。蝕刻劑的活性物種基本上不與摻雜的圖案化非晶碳硬遮罩732的材料(植入的離子710)反應。因此,蝕刻劑對於底層704的材料具有選擇性。蝕刻劑的合適示例包括CF 4、CHF 3、HBr、BCl 3和Cl 2等。可用惰性載氣提供蝕刻劑。
在操作680處,移除摻雜的圖案化非晶碳硬遮罩732,如第7I圖所示。可藉由任何有利的硬遮罩移除處理來移除摻雜的圖案化非晶碳硬遮罩732。在一個示例中,利用氧電漿來移除摻雜的圖案化非晶碳硬遮罩732。所得的膜堆疊700包括底層704,底層704具有形成在其中的特徵724(諸如高深寬比特徵)。膜堆疊700可接著經受進一步處理以形成功能半導體裝置。
方法200、方法400和方法600對於在半導體裝置製造處理中的金屬化處理之前的前端產線處理(FEOL)中使用的處理是有用的。由於他們的高蝕刻選擇性,藉由方法400形成的非晶碳膜可在蝕刻處理期間用作硬遮罩層。合適的處理包括閘極製造應用、接點結構應用、淺溝槽隔離(STI)處理及類似者。在使用非晶碳膜用作蝕刻停止層或用作用於不同處理目的的不同膜的一些實施方案中,非晶碳膜的機械或光學性質也可調節以滿足特定的處理需要。
因此,根據於此所述的實施方案,藉由電漿沉積處理隨後進行碳植入處理來提供用於形成具有目標平面內變形和具低應力的楊氏模數的高蝕刻選擇性非晶碳膜的方法。方法有利地提供具有目標機械性質(諸如低應力和高楊氏模數)的非晶碳膜、碳-碳鍵合和氫結合的變化及高蝕刻選擇性。本揭露書的實施方案進一步提供了一種使用現存硬體而對產量或實現成本幾乎沒有影響的處理設計。本揭露書的一些實施方案提供了藉由調諧電漿沉積機制而將非晶碳膜的模數增加約2倍(如,從約64GPa增加到約138GPa)的一種獨特處理。通過離子植入實現膜效能的進一步改善,離子植入使非晶碳膜的楊氏模數增加30%(如,~180GPa),同時將壓縮應力降低約75%(如,從約-1200降低到約-300MPa)。此外,與當前一代的純碳硬遮罩膜相比,PECVD加上離子植入的組合提供了實現了顯著更低的平面內變形(<3奈米覆蓋誤差)的非晶碳膜。與當前生成的元素純的非晶碳硬遮罩膜相比,於此所述的所得膜已經證明蝕刻選擇性提高了大約30-50%,同時還符合先前的覆蓋要求。
當介紹本揭露書的元件或其示例性態樣或(多個)實施方案時,冠詞「一(a)」、「一(an)」、「該(the)」和「所述(said)」旨在表示存在一個或多個元件。
術語「包含(comprising)」、「包括(including)」和「具有(having)」旨在是包括性的,且意味著可能存在除所列元件之外的其他元件。
雖然前述內容涉及本揭露書的實施方案,但是可在不背離本揭露書的基本範圍的情況下設計本揭露書的其他和進一步的實施方案,且本揭露書的範圍由以下的申請專利範圍決定。
100:電漿處理腔室 102:壁 104:底部 105:基座 106:背板 107:上隔離器 108:調諧環 109:下隔離器 110:基板 112:軸 113:電極 114:真空泵 115:金屬桿 116:閥 123:管 124:腔室蓋 126:放電電極 128:氣體分配噴頭 129:氣體通道 141:RF接地路徑 142:RF接地路徑 146:處理區域 148:氣室 151:第一RF發生器 152:第二RF發生器 153:RF匹配器 154:氣體源 155:頂部RF電流調諧器 156:導體 157:底部RF電流調諧器 158:系統控制器 180:電漿 200:方法 210:操作 220:操作 230:操作 240:操作 250:操作 260:操作 270:操作 280:操作 300:膜堆疊 302:基板 304:底層 306:非晶碳硬遮罩 310:離子 312:摻雜非晶碳硬遮罩 320:光阻劑層 322:圖案化摻雜非晶碳硬遮罩 324:特徵 400:方法 410:操作 420:操作 430:操作 440:操作 500:關係圖 510:非晶碳膜 512:非晶碳膜 514:非晶碳膜 520:非晶碳膜 522:非晶碳膜 530:非晶碳膜 532:非晶碳膜 550:關係圖 600:方法 610:操作 620:操作 630:操作 640:操作 650:操作 660:操作 670:操作 680:操作 700:膜堆疊 702:基板 704:底層 706:非晶碳硬遮罩 710:離子 720:光阻劑層 722:圖案化非晶碳硬遮罩 724:特徵 732:摻雜的圖案化非晶碳硬遮罩 742a:修改部分 742b:修改部分 750:離子
因此,可詳細地理解本揭露書的上述特徵的方式,可藉由參考實施方案獲得對上面簡要概述的實施方案的更具體的描述,其中一些顯示在附隨的圖式中。然而,應注意附隨的圖式僅顯示了這份揭露書的典型實施方案,且因此不應視為限制本揭露書的範圍,因為本揭露書可允許其他等效的實施方案。
第1圖描繪了可用於實施於此描述的實施方案的設備的示意圖;
第2圖描繪了根據本揭露書的一個或多個實施方案的用於在設置在基板上的膜堆疊上形成非晶碳硬遮罩層的方法的處理流程圖;
第3A-3H圖描繪了基板結構的示意性剖視圖,顯示了根據本揭露書的一個或多個實施方案的硬遮罩形成順序;
第4圖描繪了根據本揭露書的一個或多個實施方案的用於在設置在基板上的膜堆疊上形成非晶碳硬遮罩層的方法的處理流程圖;
第5A圖描繪了與使用先前技術形成的非晶碳膜相比,根據本揭露書的實施方案形成的非晶碳膜的面內應變與膜應力(MPa)的關係圖;
第5B圖描繪了第5A圖的非晶碳膜的楊氏模數(GPa)與膜應力(MPa)的關係圖;
第6圖描繪了根據本揭露書的一個或多個實施方案的用於在設置在基板上的膜堆疊上形成非晶碳硬遮罩層的另一種方法的處理流程圖;及
第7A-7I圖描繪了說明根據本揭露書的一種或多種實施方案的硬遮罩形成順序的基板結構的示意性剖視圖。
為促進理解,在可能的情況下,使用相同的元件符號來表示圖式中共有的相同元件。可預期一個實施方案的元件和特徵可有利地併入其他實施方案中而無需進一步敘述。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
600:方法
610:操作
620:操作
630:操作
640:操作
650:操作
660:操作
670:操作
680:操作

Claims (20)

  1. 一種處理一底層的方法,包含以下步驟: 在一第一處理區域中的位於一基座上的一底層上沉積一非晶碳膜; 藉由在一第二處理區域中將一摻雜劑或一惰性物種植入到該非晶碳膜中而形成一摻雜的非晶碳膜,其中該摻雜劑或該惰性物種選自碳、硼、氮、矽、磷、氬、氦、氖、氪、氙、鈹、鍺或其組合,其中在植入該摻雜劑或該惰性物種期間的一目標溫度在約攝氏-100度和約攝氏500度之間; 圖案化該摻雜的非晶碳膜;及 蝕刻該底層。
  2. 如請求項1所述之方法,其中該底層包含一單一層或一介電堆疊。
  3. 如請求項1所述之方法,其中在該底層上沉積該非晶碳膜之步驟包含以下步驟: 使一含烴氣體混合物流到該第一處理區域中;及 在該第一處理區域中產生一RF電漿,以在該底層上形成該非晶碳膜。
  4. 如請求項3所述之方法,其中在該第一處理區域中的一氣體分配噴頭與該基座之間的一距離在約200密耳和約1,000密耳之間。
  5. 如請求項4所述之方法,其中該第一處理區域內的一壓力在約4托和約8托之間。
  6. 如請求項1所述之方法,其中用以賦能該摻雜劑或該惰性物種的一植入能量在約5keV和約300keV之間。
  7. 如請求項6所述之方法,其中一離子劑量在約1x10 13離子/cm 2和約5x10 16離子/cm 2之間。
  8. 如請求項6所述之方法,其中將該摻雜劑或該惰性物種植入到該圖案化的非晶碳膜中的步驟在約1度和約80度之間的一角度下執行。
  9. 一種處理一底層的方法,包含以下步驟: 在一第一處理區域中的位於一基座上的一底層上沉積一非晶碳膜; 藉由在一第二處理區域中將一摻雜劑或一惰性物種植入到該非晶碳膜中來形成一摻雜的非晶碳膜,其中該摻雜劑或該惰性物種選自碳、硼、氮、矽、磷、氬、氦、氖、氪、氙、鈹、鍺或其組合,其中在植入該摻雜劑或該惰性物種期間的一目標溫度在約攝氏-100度和約攝氏550度之間; 圖案化該摻雜的非晶碳膜;及 蝕刻該底層,其中該摻雜的非晶碳膜在633nm處具有從約2.1至約2.2的一折射率。
  10. 如請求項9所述之方法,其中該摻雜的非晶碳膜在633nm處的一k值小於1.0。
  11. 如請求項9所述之方法,其中該摻雜的非晶碳膜具有從約70至約200GPa的一楊氏模數(GPa)。
  12. 如請求項11所述之方法,其中該摻雜的非晶碳膜具有從約14GPa至約22GPa的一硬度(GPa)。
  13. 如請求項12所述之方法,其中該摻雜的非晶碳膜具有從約-600MPa至約0MPa的一應力(MPa)。
  14. 如請求項13所述之方法,其中該摻雜的非晶碳膜具有從約1.95g/cc至約2.1g/cc的一密度(g/cc)。
  15. 如請求項14所述之方法,其中該摻雜的非晶碳膜具有在約10Å和約50,000Å之間的一厚度。
  16. 一種處理一底層的方法,包含以下步驟: 在一第一處理區域中的位於一基座上的一底層上沉積一非晶碳膜; 藉由在一第二處理區域中將一碳摻雜劑植入到該非晶碳膜中來形成一摻雜的非晶碳膜,其中在植入該碳摻雜劑期間的一目標溫度在約攝氏-100度和約攝氏550度之間; 圖案化該摻雜的非晶碳膜;及 蝕刻該底層,其中該摻雜的非晶碳膜具有約14GPa至約22GPa的硬度(GPa)。
  17. 如請求項16所述之方法,其中該摻雜的非晶碳膜在633nm處具有從約2.1至約2.2的一折射率。
  18. 如請求項17所述之方法,其中該摻雜的非晶碳膜在633nm處具有小於1.0的一k值。
  19. 如請求項16所述之方法,其中該摻雜的非晶碳膜具有從約70至約200GPa的一楊氏模數(GPa)。
  20. 如請求項19所述之方法,其中該摻雜的非晶碳膜具有: 從約-600MPa至約0MPa的一應力(MPa); 從約1.95g/cc至約2.1g/cc的一密度(g/cc);及 在約10Å和約50,000Å之間的一厚度。
TW110127519A 2017-12-01 2021-07-27 高蝕刻選擇性非晶碳膜 TW202212601A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762593668P 2017-12-01 2017-12-01
US16/939,316 US11469107B2 (en) 2017-12-01 2020-07-27 Highly etch selective amorphous carbon film
US16/939,316 2020-07-27

Publications (1)

Publication Number Publication Date
TW202212601A true TW202212601A (zh) 2022-04-01

Family

ID=66658164

Family Applications (3)

Application Number Title Priority Date Filing Date
TW107140950A TWI791678B (zh) 2017-12-01 2018-11-19 高蝕刻選擇性的非晶碳膜
TW112100073A TW202325879A (zh) 2017-12-01 2018-11-19 高蝕刻選擇性的非晶碳膜
TW110127519A TW202212601A (zh) 2017-12-01 2021-07-27 高蝕刻選擇性非晶碳膜

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW107140950A TWI791678B (zh) 2017-12-01 2018-11-19 高蝕刻選擇性的非晶碳膜
TW112100073A TW202325879A (zh) 2017-12-01 2018-11-19 高蝕刻選擇性的非晶碳膜

Country Status (7)

Country Link
US (4) US10727059B2 (zh)
JP (3) JP7326275B2 (zh)
KR (3) KR20230169487A (zh)
CN (2) CN111587474A (zh)
SG (1) SG11202005150YA (zh)
TW (3) TWI791678B (zh)
WO (2) WO2019108376A1 (zh)

Families Citing this family (196)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9947517B1 (en) * 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR20230169487A (ko) * 2017-12-01 2023-12-15 어플라이드 머티어리얼스, 인코포레이티드 고 에칭 선택성 비정질 탄소 막
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10811257B2 (en) * 2018-03-27 2020-10-20 Varian Semiconductor Equipment Associates, Inc. Techniques for forming low stress etch-resistant mask using implantation
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11201037B2 (en) 2018-05-28 2021-12-14 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11049728B2 (en) * 2018-10-31 2021-06-29 Entegris, Inc. Boron-doped amorphous carbon hard mask and related methods
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
KR20210076999A (ko) 2018-11-14 2021-06-24 램 리써치 코포레이션 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11270890B2 (en) * 2018-12-14 2022-03-08 Lam Research Corporation Etching carbon layer using doped carbon as a hard mask
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
WO2020157198A1 (en) * 2019-02-01 2020-08-06 Primetals Technologies France SAS Method of coating a part to be submerged into a galvanizing bath and parts thereof
CN111524794B (zh) * 2019-02-02 2023-07-07 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
WO2020214327A1 (en) 2019-04-19 2020-10-22 Applied Materials, Inc. Ring removal from processing chamber
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) * 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US11011378B2 (en) * 2019-07-01 2021-05-18 Micron Technology, Inc. Atom implantation for reduction of compressive stress
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112563121B (zh) * 2019-09-26 2023-07-07 长鑫存储技术有限公司 图形转移方法
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US20210125830A1 (en) * 2019-10-23 2021-04-29 Nanya Technology Corporation Method of forming an ashable hard mask and patterning method
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
US11139168B2 (en) * 2019-12-02 2021-10-05 Applied Materials, Inc. Chamber deposition and etch process
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR102539806B1 (ko) 2020-01-15 2023-06-05 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11854807B2 (en) * 2020-03-02 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Line-end extension method and device
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
CN115605978A (zh) * 2020-03-26 2023-01-13 应用材料公司(Us) 硼和碳膜的催化形成
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
US11437230B2 (en) * 2020-04-06 2022-09-06 Applied Materials, Inc. Amorphous carbon multilayer coating with directional protection
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
US20230223263A1 (en) * 2020-05-05 2023-07-13 Lam Research Corporation Inert gas implantation for hard mask selectivity improvement
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11674222B2 (en) 2020-09-29 2023-06-13 Applied Materials, Inc. Method of in situ ceramic coating deposition
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US20220178017A1 (en) * 2020-12-03 2022-06-09 Applied Materials, Inc. Cfx layer to protect aluminum surface from over-oxidation
US20220178026A1 (en) * 2020-12-03 2022-06-09 Applied Materials, Inc. Carbon cvd deposition methods to mitigate stress induced defects
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
CN113594031A (zh) * 2021-07-29 2021-11-02 上海华力微电子有限公司 半导体器件的制备方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230326737A1 (en) * 2022-03-28 2023-10-12 Tokyo Electron Limited Technologies for high aspect ratio carbon etching with inserted charge dissipation layer
WO2023196846A1 (en) * 2022-04-07 2023-10-12 Lam Research Corporation Hydrogen reduction in amorphous carbon films
CN114735625B (zh) * 2022-04-13 2024-04-05 浙江大学杭州国际科创中心 一种非晶碳膜加工用惰性物质植入设备
JP2024004377A (ja) * 2022-06-28 2024-01-16 東京エレクトロン株式会社 炭素含有膜の形成方法

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US6884733B1 (en) * 2002-08-08 2005-04-26 Advanced Micro Devices, Inc. Use of amorphous carbon hard mask for gate patterning to eliminate requirement of poly re-oxidation
US6750127B1 (en) * 2003-02-14 2004-06-15 Advanced Micro Devices, Inc. Method for fabricating a semiconductor device using amorphous carbon having improved etch resistance
JP4158550B2 (ja) * 2003-02-18 2008-10-01 日本ゼオン株式会社 積層体
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
PT1915472T (pt) * 2005-08-18 2018-12-06 Oerlikon Surface Solutions Ag Pfaeffikon Substrato revestido com uma estrutura em camadas compreendendo uma camada de carbono tetraédrico e uma camada exterior mais macia
US20090093128A1 (en) * 2007-10-08 2009-04-09 Martin Jay Seamons Methods for high temperature deposition of an amorphous carbon layer
JP2011207223A (ja) * 2010-03-12 2011-10-20 Toray Ind Inc 遠赤外線反射性積層体
US8598006B2 (en) * 2010-03-16 2013-12-03 International Business Machines Corporation Strain preserving ion implantation methods
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
TW201216331A (en) * 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
US9499901B2 (en) 2012-01-27 2016-11-22 Applied Materials, Inc. High density TiN RF/DC PVD deposition with stress tuning
TWI629743B (zh) * 2012-02-10 2018-07-11 布魯克斯自動機械公司 基材處理設備
US8679987B2 (en) * 2012-05-10 2014-03-25 Applied Materials, Inc. Deposition of an amorphous carbon layer with high film density and high etch selectivity
US20140273461A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Carbon film hardmask stress reduction by hydrogen ion implantation
US9721784B2 (en) * 2013-03-15 2017-08-01 Applied Materials, Inc. Ultra-conformal carbon film deposition
JP6364404B2 (ja) * 2013-05-24 2018-07-25 三井化学株式会社 ペリクル、及びこれらを含むeuv露光装置
KR102311036B1 (ko) * 2014-01-08 2021-10-07 어플라이드 머티어리얼스, 인코포레이티드 비정질 탄소 막들 내로의 이온 주입에 의한 고 에칭 선택성 하드마스크 재료의 개발
WO2016003575A2 (en) 2014-07-02 2016-01-07 Applied Materials, Inc. Localized stress modulation for overlay and epe
US9624577B2 (en) * 2014-07-22 2017-04-18 Applied Materials, Inc. Deposition of metal doped amorphous carbon film
US9390910B2 (en) 2014-10-03 2016-07-12 Applied Materials, Inc. Gas flow profile modulated control of overlay in plasma CVD films
US9777378B2 (en) * 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
AT14701U1 (de) * 2015-03-19 2016-04-15 Plansee Composite Mat Gmbh Beschichtungsquelle zur Herstellung dotierter Kohlenstoffschichten
US9711360B2 (en) 2015-08-27 2017-07-18 Applied Materials, Inc. Methods to improve in-film particle performance of amorphous boron-carbon hardmask process in PECVD system
US10418243B2 (en) 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
KR102584138B1 (ko) * 2015-11-09 2023-10-04 어플라이드 머티어리얼스, 인코포레이티드 저부 처리
US10109462B2 (en) 2017-03-13 2018-10-23 Applied Materials, Inc. Dual radio-frequency tuner for process control of a plasma process
KR20230169487A (ko) 2017-12-01 2023-12-15 어플라이드 머티어리얼스, 인코포레이티드 고 에칭 선택성 비정질 탄소 막
US11049728B2 (en) 2018-10-31 2021-06-29 Entegris, Inc. Boron-doped amorphous carbon hard mask and related methods

Also Published As

Publication number Publication date
TW202325879A (zh) 2023-07-01
KR20230043858A (ko) 2023-03-31
CN111587474A (zh) 2020-08-25
JP2023535772A (ja) 2023-08-21
JP2023162196A (ja) 2023-11-08
TW201932635A (zh) 2019-08-16
KR102612989B1 (ko) 2023-12-11
US20200357640A1 (en) 2020-11-12
US10727059B2 (en) 2020-07-28
SG11202005150YA (en) 2020-06-29
JP7326275B2 (ja) 2023-08-15
CN116171337A (zh) 2023-05-26
US20230041963A1 (en) 2023-02-09
KR20230169487A (ko) 2023-12-15
JP2021504967A (ja) 2021-02-15
US20230029929A1 (en) 2023-02-02
WO2019108376A1 (en) 2019-06-06
US11469107B2 (en) 2022-10-11
TWI791678B (zh) 2023-02-11
US20190172714A1 (en) 2019-06-06
KR20200084365A (ko) 2020-07-10
WO2022026257A1 (en) 2022-02-03

Similar Documents

Publication Publication Date Title
TWI791678B (zh) 高蝕刻選擇性的非晶碳膜
TWI819388B (zh) 用於硬遮罩及其他圖案化應用的高密度低溫碳膜
KR100978704B1 (ko) 밀도 및 스텝 커버리지가 개선된 비정질 탄소막 증착 방법
KR20170063943A (ko) 플라즈마 cvd 막들에서의 오버레이의 가스 유동 프로파일 조절식 제어
KR20140037202A (ko) 산화물 표면 대신 베어 실리콘 상의 폴리머 막들의 선택적 증착
KR20210011945A (ko) 박막의 응력을 완화시키기 위한 인-시튜 고전력 주입
US20240087894A1 (en) High density carbon films for patterning applications
US20240021433A1 (en) Forming a doped hardmask
US11404263B2 (en) Deposition of low-stress carbon-containing layers
US11495454B2 (en) Deposition of low-stress boron-containing layers
US20240128089A1 (en) Method to selectively etch silicon nitride to silicon oxide using water crystallization
TW202415796A (zh) 用於圖案化應用的高密度碳膜