KR102584138B1 - 저부 처리 - Google Patents

저부 처리 Download PDF

Info

Publication number
KR102584138B1
KR102584138B1 KR1020187016287A KR20187016287A KR102584138B1 KR 102584138 B1 KR102584138 B1 KR 102584138B1 KR 1020187016287 A KR1020187016287 A KR 1020187016287A KR 20187016287 A KR20187016287 A KR 20187016287A KR 102584138 B1 KR102584138 B1 KR 102584138B1
Authority
KR
South Korea
Prior art keywords
substrate
film
backside
strains
annealing
Prior art date
Application number
KR1020187016287A
Other languages
English (en)
Other versions
KR20180069920A (ko
Inventor
조셉 엠. 라니쉬
아론 뮤어 헌터
스와미나탄 티. 스리니바산
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020237032849A priority Critical patent/KR20230152092A/ko
Publication of KR20180069920A publication Critical patent/KR20180069920A/ko
Application granted granted Critical
Publication of KR102584138B1 publication Critical patent/KR102584138B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • H01L21/02016Backside treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02354Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light using a coherent radiation, e.g. a laser
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/30Semiconductor bodies ; Multistep manufacturing processes therefor characterised by physical imperfections; having polished or roughened surface
    • H01L29/32Semiconductor bodies ; Multistep manufacturing processes therefor characterised by physical imperfections; having polished or roughened surface the imperfections being within the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Optics & Photonics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Recrystallisation Techniques (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

본 명세서에 개시된 실시예들은 일반적으로 기판의 최하부면 상의 열 스트레스들에 대항하기 위해 기판의 최하부면을 처리하기 위한 방법 및 장치에 관한 것이다. 정정 스트레인들은 기판의 최하부면에 적용되고, 이는 기판의 최상부면 상의 바람직하지 않은 스트레인들 및 왜곡들을 보상한다. 기판의 원하지 않는 왜곡들을 보상하는 스트레인들을 생성하기 위해, 특정하게 설계된 필름들이 퇴적, 주입, 열 트리트먼트, 및 식각의 임의의 조합에 의해 기판의 후면측에 형성될 수 있다. 실리콘 질화물 필름 또는 탄소 필름의 수소 함량을 국소적으로 변경함으로써, 국소화된 스트레인들이 도입될 수 있다. 구조체들은 인쇄, 리소그래피, 또는 자가 조립 기술들에 의해 형성될 수 있다. 필름의 층들의 트리트먼트는 요구되는 스트레스 맵에 의해 결정되고, 어닐링, 주입, 용해, 또는 다른 열 트리트먼트들을 포함한다.

Description

저부 처리
본 개시내용의 실시예들은 일반적으로 반도체 처리를 위한 방법 및 장치에 관한 것이다. 더 구체적으로, 본 명세서에 설명된 실시예들은 기판의 저부 측을 처리하기 위한 방법 및 장치에 관한 것이다.
칩 제조 동안, 기판들은 불균일한 국소화된 왜곡들을 경험하고, 그러한 왜곡들은 정정되지 않으면 층들 간의 리소그래피 패턴들의 오정렬을 야기한다. 리소그래피 인쇄 동안 패턴이 광학적으로 일치될 수 있다는 점에서, 특정 타입들의 왜곡들에 대해 위치맞춤(registration)이 정정될 수 있다. 그러나, 다른 타입들의 왜곡들에 대해서는 정정이 가능하지 않으며, 수율 손실이 야기된다. 더욱이, 피쳐 사이즈가 계속하여 축소됨에 따라, 왜곡들에 대한 허용오차가 감소하고, 정정불가능한 왜곡들의 수가 증가한다.
이전에는 제어된 양들의 이온들을 하드마스크 내로 국소적으로 주입하여 국소적 스트레인들(strains)을 생성하는 것을 통해 왜곡들이 정정되었다. 국소적 스트레인들은 이전에 존재하던 스트레인들을 보상한다. 그러나, 선택된 이온을 함유하는 하부 층들의 오염이 가능하다.
왜곡들을 정정하기 위한 다른 시도들은 이전에 존재하던 스트레인들을 보상하는 국소적 스트레인들을 생성하기 위해, 하드마스크의 가변적인 국소적 표면 어닐링을 수반한다. 그러나, 한편으로, 후속 처리 동안 하드마스크의 표면이 제거됨에 따라, 부분적 완화(partial relaxation)가 발생한다.
그러므로, 본 기술분야에서는 기판의 후면측을 트리트먼트하기 위한 방법 및 장치가 필요하다.
일 실시예에서, 기판의 후면측을 트리트먼트하기 위한 방법이 개시된다. 방법은 기판의 후면측 상에 필름을 퇴적하는 단계; 기판을 어닐링하는 단계; 및 기판의 후면측 상에 주입(implanting)하는 단계를 포함한다. 방법은 기판의 후면측을 열 트리트먼트(thermally treating)하는 단계를 더 포함한다.
다른 실시예에서, 기판의 후면측을 트리트먼트하기 위한 방법이 개시된다. 방법은 기판을 어닐링하는 단계; 기판의 후면측을 주입하는 단계; 및 기판의 후면측을 열 트리트먼트하는 단계를 포함한다. 방법은 기판의 후면측을 식각하는 단계; 및 패터닝을 위해 기판을 정렬하는 단계를 더 포함한다.
또 다른 실시예에서, 기판을 처리하기 위한 툴이 개시된다. 툴은 기판의 후면측 상에 복수의 필름 층을 퇴적하기 위한 프로세스 챔버를 포함한다. 프로세스 챔버는 이송 챔버, 퇴적 툴, 어닐링 툴, 및 식각 툴을 포함한다. 어닐링 툴은 기판의 후면측 상의 복수의 필름 층을 어닐링하고, 기판 에지 지지체를 포함한다. 식각 툴은 기판의 후면측을 식각하고, 기판 에지 지지체를 포함한다.
위에서 언급된 본 개시내용의 특징들이 상세하게 이해될 수 있도록, 위에 간략하게 요약된 본 개시내용의 더 구체적인 설명은 실시예들을 참조할 수 있으며, 그들 중 일부는 첨부 도면들에 도시되어 있다. 그러나, 본 개시내용은 동등한 효과의 다른 실시예들을 허용할 수 있으므로, 첨부 도면들은 본 개시내용의 전형적인 실시예들만을 도시하며, 따라서 그것의 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 주목해야 한다.
도 1은 일 실시예에 따라 기판의 후면측을 트리트먼트하기 위한 방법의 동작들을 개략적으로 도시한다.
도 2는 일 실시예에 따라 기판의 후면측을 트리트먼트하기 위한 방법의 동작들을 개략적으로 도시한다.
도 3은 일 실시예에 따른 퇴적 챔버의 개략도를 도시한다.
도 4는 일 실시예에 따라 기판을 열 처리하기 위한 장치의 개략적 등축도를 도시한다.
도 5는 일 실시예에 따라 기판을 열 처리하기 위한 급속 열 처리 챔버의 개략적 등축도를 도시한다.
도 6은 일 실시예에 따라 기판을 열 처리하기 위한 장치의 개략적 도면을 도시한다.
도 7은 일 실시예에 따른 식각 반응기의 개략도를 도시한다.
도 8은 일 실시예에 따른 복수의 기판 처리 챔버를 갖는 클러스터 툴 이송 챔버의 상부 개략도를 도시한다.
이해를 용이하게 하기 위해서, 가능한 경우에, 도면들에 공통인 동일한 요소들을 지시하는 데에 동일한 참조 번호들이 이용되었다. 일 실시예에 개시된 구성요소들은 구체적인 언급 없이도 다른 실시예들에서 유익하게 이용될 수 있다고 고려된다.
본 명세서에 개시된 실시예들은 일반적으로 기판의 최하부면 상의 열 스트레스들에 대항하기 위해 기판의 최하부면을 처리하기 위한 방법 및 장치에 관한 것이다. 정정 스트레인들(correcting strains)은 기판의 최하부면에 적용되고, 이는 기판의 최상부면 상의 바람직하지 않은 스트레인들 및 왜곡들을 보상한다. 기판의 원하지 않는 왜곡들을 보상하는 스트레인들을 생성하기 위해, 특정하게 설계된 필름들이 퇴적, 주입, 열 트리트먼트, 및 식각의 임의의 조합에 의해 기판의 후면측에 형성될 수 있다. 실리콘 질화물 필름 또는 탄소 필름의 수소 함량을 국소적으로 변경함으로써, 국소화된 스트레인들이 도입될 수 있다. 구조체들은 인쇄, 리소그래피, 또는 자가 조립(self-assembly) 기술들에 의해 형성될 수 있다. 필름의 층들의 트리트먼트는 요구되는 스트레스 맵에 의해 결정되고, 어닐링, 주입, 용해, 또는 다른 열 트리트먼트들을 포함한다.
본 명세서에서 이용될 때, 기판은 단결정질 실리콘 기판; 절연체 상의 실리콘(silicon on insulator, SOI); 실리콘 게르마늄 또는 그 합금들; 박막 트랜지스터(TFT) 제조를 위해 사용되는, 실리콘 층을 그 위에 갖는 유리 또는 석영 기판; 또는 그와 유사한 것과 같은 임의의 적합한 기판이다. 기판은 디바이스들, 및 기판의 디바이스 측 상에 형성된 구조체들을 가질 수 있다.
도 1은 기판의 정면측 상의 스트레인들을 보상하기 위해 기판의 후면측을 트리트먼트하기 위한 방법(100)의 동작들을 도시한다.
동작(110)에서, 기판의 후면측 상에 필름이 퇴적된다. 퇴적은 캘리포니아주 산타클라라에 위치된 Applied Materials, Inc.로부터 상업적으로 입수가능한 임의의 PRODUCER® 시리즈 챔버를 이용하여 완료될 수 있다. 일부 실시예들에서, 필름은 기판의 후면측 상에 퇴적된 블랭킷 필름(blanket film)일 수 있다. 필름은 비정질 탄소 필름, 실리콘 산화물 필름, 또는 실리콘 질화물 필름일 수 있거나, 그러한 것들을 포함할 수 있다.
필름의 영역은 기판의 대응 영역에 퇴적된다. 필름은 기판의 후면측 상에 퇴적될 수 있다. 특정 실시예들에서, 필름의 영역은 기판의 정면측 상의 다이에 대응한다. 필름은 약 40 나노미터 내지 약 120 나노미터의 두께로 퇴적될 수 있다. 특정 실시예들에서, 필름은 기판의 에지까지 퇴적될 수 있다.
상이한 또는 다양한 두께들의 층이 본 기술분야에 알려진 표준 패터닝 기술들을 이용하여 기판의 후면측에 형성될 수 있다. 그러므로, 필름 층을 어닐링할 때, 상이한 스트레스들이 생성될 수 있다. 예를 들어, 일부 실시예들에서, 블랭킷 필름 층은 기판의 후면측 상에 퇴적될 수 있고, 요구되는 위치들에 추가의 필름을 선택적으로 퇴적하기 위해, 마스크가 이용될 수 있다. 블랭킷 필름 및 추가의 필름 내의 스트레스는 처리 조건들을 조절함으로써 선택되고 적용될 수 있다.
예를 들어, 기판의 후면측 상에 비정질 탄소 층이 퇴적될 수 있다. 실리콘 산화물 층은 비정질 탄소 층 상에 퇴적될 수 있다. 실리콘 산화물 층은 마스크를 형성하도록 패터닝될 수 있고, 다음으로, 제2의 비정질 탄소 층이 기판 위에 퇴적될 수 있다. 기판은 실리콘 산화물 마스크를 노출시키기 위해 후면측 상에서 평탄화될 수 있고, 다음으로, 실리콘 산화물 마스크는 선택적으로 달라지는 두께를 갖는 비정질 탄소 층을 남기도록 제거될 수 있다.
동작(120)에서, 기판의 후면측이 어닐링된다. 어닐링은 후면측 필름의 구조를 변경하고, 임의의 요구되는 패턴에 따라 필름 내의 스트레인들을 조절한다. 예를 들어, 어닐링은 퇴적된 필름 내의 스트레인들을 완화할 수 있다. 필름은 기판의 후면측 상에 정정 스트레인들을 발생시키도록 선택적으로 국소적으로 어닐링될 수 있고, 이는 기판의 정면측 상의 바람직하지 않은 스트레인들을 보상한다. 일부 실시예들에서, 필름은 또한 퇴적 동안 필름에 선택적으로 추가되는 스트레인들을 조절하기 위해, 한 단계에서 블랭킷 어닐링될 수 있다. 일 실시예에서, 설계된 필름 층들을 기판의 후면측에 적용함으로써 정정 스트레인들이 생성된다. 다른 실시예에서, 정정 스트레인들은 이전 처리로부터 이미 존재하는 필름 층들의 이용을 통해 생성된다.
일부 실시예들에서, 어닐링은 스폿 어닐링이다. 스폿 어닐링은 기판의 후면측의 선택된 위치들에서 발생한다. 어닐링 동작은 다양한 타입들의 에너지를 이용할 수 있다. 일부 실시예들에서, 어닐링은 나노초 어닐링 프로세스이다. 다른 실시예들에서, 어닐링은 밀리초 어닐링 프로세스이다.
어닐링은 퇴적된 층 내의 스트레스들 및/또는 스트레인들을 완화시키는 데에 이용될 수 있는, 기판의 후면측 상의 요구되는 층에 대한 변화를 야기한다. 스트레스 및/또는 스트레인을 선택적으로 완화하면, 열 처리로부터 야기되는 구조적 불균일들을 보상하기 위한 스트레스 패턴을 기판 내에 생성할 수 있다. 전체적인 기판의 스트레스 상태는 어닐링 프로세스 동안 변화할 수 있고, 따라서 어닐링 프로세스는 기판의 중간 스트레스/스트레인 상태를 생성하도록 설계될 수 있으며, 그러한 중간 상태는 후속 처리에 의해 더 변화된다.
일부 실시예들에서, 기판의 후면측 상에 복수의 구역이 정의될 수 있고, 각각의 구역은 상이한 프로세스 조건들을 이용하여 어닐링될 수 있다. 예를 들어, 제1 구역은 나노초 어닐링 프로세스를 통해 어닐링될 수 있고, 제2 구역은 밀리초 어닐링 프로세스를 통해 어닐링될 수 있다. 다른 실시예에서, 재료의 층들은 기판의 후면측 상에 선택적으로 퇴적될 수 있다. 예를 들어, 제1 층 상에 비정질 탄소가 퇴적될 수 있는 한편, 다른 층들은 실리콘 이산화물로 코팅된다.
동작(130)에서, 기판의 후면측이 주입된다. 주입은 캘리포니아주 산타클라라에 위치된 Applied Materials, Inc.로부터 상업적으로 입수가능한 임의의 VIISTA® 챔버들을 이용하여 완료될 수 있다. 주입은 도펀트들을 추가함으로써 후면측 필름 내의 스트레스를 조절하기 위한 다른 방식이다. 본 개시내용에서 이용될 수 있는 필름들의 종류(class)는 비정질 탄소 재료들을 포함하는 어드밴스드 패터닝 필름들(Advanced Patterning Films)(APF®)을 포함한다. 그와 같이, 도핑된 비정질 탄소가 퇴적될 수 있거나, 도핑되지 않은 비정질 탄소가 퇴적될 수 있거나, 도핑되지 않은 비정질 탄소가 퇴적되고 후속하여 도핑될 수 있다. 필름은 또한 질화물들, 금속 규화물들, 또는 상 변화를 겪는 임의의 다른 재료 중 하나를 포함할 수 있다. 일부 실시예들에서, 필름이 기판 내로 용해(dissolve) 및/또는 확산(diffuse)한다는 점에서, 필름은 자가 흡수성(self-absorbing)일 수 있다.
주입된 도펀트는 퇴적된 층 내의 스트레스를 조절하도록 선택될 수 있다. 도펀트는 필름의 특정 영역들 내의 스트레스를 수정하기 위한 패턴에 따라 주입될 수 있다. 스트레스는 신장 스트레스(tensile stress) 및/또는 압축 스트레스(compressive stress)일 수 있다. 도펀트들은 금속들 또는 비금속들(non-metals)일 수 있다. 도펀트들은 He, Ne, Ar, F, Cl, Br, O, N, P, As, Si, Ge, Sn, B, Al, Ga, In, Zn, Cu, Ag, Au, Ni, Ti, 및 이들의 조합들 또는 합금들을 포함할 수 있다.
주입은 이온 빔 또는 플라즈마에 의해 수행될 수 있다. 일부 실시예들에서, 주입은 직접 주입일 수 있다. 다른 실시예들에서, 주입은 퇴적, 및 그에 후속하는 확산일 수 있다. 일부 경우들에서, 확산 주입 프로세스 동안 캡핑 층(capping layer)이 이용될 수 있다.
다양한 실시예들에서, 기판의 후면측은 식각된다. 아래에 설명되는 식각 챔버는 기판의 후면측을 식각할 수 있다. 기판의 후면측에 패터닝된 스트레스 차이(patterned stress differential)을 적용함으로써 기판 전체의 스트레스에 영향을 주기 위해, 식각 프로세스는 마스크 또는 다른 패턴 피쳐를 이용하여, 요구되는 패턴에 따라 진행될 수 있다. 식각 프로세스는 또한 기판의 후면측에 블랭킷 스트레스 차이(blanket stress differential)를 적용하기 위한, 기판의 후면측으로부터의 재료의 블랭킷 제거일 수 있다. 블랭킷 스트레스 차이는 기판의 한 부분에서의 전체적인 국소적 스트레스를 기판의 다른 부분에서보다 더 많이 변화시키는 데에 효과적일 수 있고, 이것은 일부 실시예들에서 유용할 수 있다.
다양한 실시예들에서, 기판의 정면측 상의 스트레인들을 보상하기 위해, 스트레스의 패턴들이 기판의 후면측 상에 형성될 수 있다. 일부 실시예들에서, 필름 층은 기판의 후면측 상에 퇴적될 수 있고, 여기서 비정질 탄소와 같은 필름 층은 신장 스트레스이든 압축 스트레스이든 간에, 퇴적된 대로의 특정한 스트레스를 유지한다. 퇴적된 필름 층은 스트레스를 완화하기 위해 선택된 위치들에서 어닐링될 수 있다.
다른 실시예에서, 비정질 탄소가 기판의 후면측 상에 퇴적될 수 있고, 선택적으로 주입될 수 있다. 후속하여, 기판은 상이한 스트레스 행렬들을 생성하는 패턴을 형성하기 위해 어닐링될 수 있고, 그에 따라 기판의 후면측 상에 스트레스의 패턴들을 생성한다.
다른 실시예에서, 상이한 두께들을 갖는 필름 층이 기판의 후면측 상에 퇴적될 수 있다. 기판은 후속하여 패턴에 따라 어닐링될 수 있고, 따라서 기판의 후면측 상에 스트레스의 패턴들을 생성한다.
다른 실시예에서, 기판의 후면측은 필름 층의 선택적 제거를 위해 식각될 수 있고, 그에 의해 기판의 후면측 상에 스트레스의 상이한 패턴들 및 두께들을 생성한다.
방법(100)은 패터닝을 위해 기판을 정렬하고, 기판 내에서 왜곡들의 위치를 찾고, 기판을 평판화(flattening)함으로써 왜곡들을 보상하는 것을 더 포함할 수 있다.
도 2는 기판의 정면측 상의 스트레인들을 보상하기 위해 기판의 후면측을 트리트먼트하기 위한 방법(200)의 동작들을 도시한다.
동작(210)에서, 기판의 후면측이 어닐링된다. 어닐링은 후면측 필름의 구조를 변경하고, 임의의 요구되는 패턴에 따라 필름 내의 스트레인들을 조절한다. 예를 들어, 어닐링은 퇴적된 필름 내의 스트레인들을 완화할 수 있다. 필름은 기판의 후면측 상에 정정 스트레인들을 발생시키도록 선택적으로 국소적으로 어닐링될 수 있고, 이는 기판의 정면측 상의 바람직하지 않은 스트레인들을 보상한다. 일부 실시예들에서, 필름은 또한 퇴적 동안 필름에 선택적으로 추가되는 스트레인들을 조절하기 위해, 한 단계에서 블랭킷 어닐링될 수 있다. 일 실시예에서, 설계된 필름 층들을 기판의 후면측에 적용함으로써 정정 스트레인들이 생성된다. 다른 실시예에서, 정정 스트레인들은 이전 처리로부터 이미 존재하는 필름 층들의 이용을 통해 생성된다.
일부 실시예들에서, 어닐링은 스폿 어닐링이다. 스폿 어닐링은 기판의 후면측의 선택된 위치들에서 발생한다. 어닐링 동작은 다양한 타입들의 에너지를 이용할 수 있다. 일부 실시예들에서, 어닐링은 나노초 어닐링 프로세스이다. 다른 실시예들에서, 어닐링은 밀리초 어닐링 프로세스이다.
어닐링은 퇴적된 층 내의 스트레스들 및/또는 스트레인들을 완화시키고, 기판의 후면측에 요구되는 스트레스 차이를 적용하고/거나, 기판의 스트레스 프로파일을 변화시키는 데에 이용될 수 있는, 기판의 후면측 상의 요구되는 층에 대한 변화를 야기한다. 스트레스 및/또는 스트레인을 선택적으로 완화하면, 열 처리로부터 야기되는 구조적 불균일들을 보상하기 위한 스트레스 패턴을 기판 내에 생성할 수 있다. 전체적인 기판의 스트레스 상태는 어닐링 프로세스 동안 변화할 수 있고, 따라서 어닐링 프로세스는 기판의 중간 스트레스/스트레인 상태를 생성하도록 설계될 수 있으며, 그러한 중간 상태는 후속 처리에 의해 더 변화된다.
일부 실시예들에서, 기판의 후면측 상에 복수의 구역이 정의될 수 있고, 각각의 구역은 상이한 프로세스 조건들을 이용하여 어닐링될 수 있다. 예를 들어, 제1 구역은 나노초 어닐링 프로세스를 통해 어닐링될 수 있고, 제2 구역은 밀리초 어닐링 프로세스를 통해 어닐링될 수 있다. 다른 실시예에서, 재료의 층들은 기판의 후면측 상에 선택적으로 퇴적될 수 있다. 예를 들어, 제1 층 상에 비정질 탄소가 퇴적될 수 있는 한편, 다른 층들은 실리콘 이산화물로 코팅된다.
동작(220)에서, 기판의 후면측이 주입된다. 주입은 캘리포니아주 산타클라라에 위치된 Applied Materials, Inc.로부터 상업적으로 입수가능한 임의의 VIISTA® 챔버들을 이용하여 완료될 수 있다. 주입은 도펀트들을 추가함으로써 후면측 필름 내의 스트레스를 조절하기 위한 다른 방식이다. 본 개시내용에서 이용될 수 있는 필름의 종류는 비정질 탄소 재료들을 포함하는 어드밴스드 패터닝 필름들(Advanced Patterning Films)(APF®)을 포함한다. 그와 같이, 도핑된 비정질 탄소가 퇴적될 수 있거나, 도핑되지 않은 비정질 탄소가 퇴적될 수 있거나, 도핑되지 않은 비정질 탄소가 퇴적되고 후속하여 도핑될 수 있다. 필름은 또한 질화물들, 금속 규화물들, 또는 상 변화를 겪는 임의의 다른 재료 중 하나를 포함할 수 있다. 일부 실시예들에서, 필름은 자가 흡수성일 수 있다.
주입된 도펀트는 퇴적된 층 내의 스트레스를 조절하도록 선택될 수 있다. 도펀트는 필름의 특정 영역들 내의 스트레스를 수정하기 위한 패턴에 따라 주입될 수 있다. 스트레스는 신장 스트레스 및/또는 압축 스트레스일 수 있다. 도펀트들은 금속들 또는 비금속들일 수 있다. 도펀트들은 He, Ne, Ar, F, Cl, Br, O, N, P, As, Si, Ge, Sn, B, Al, Ga, In, Zn, Cu, Ag, Au, Ni, Ti, 및 이들의 조합들 또는 합금들을 포함할 수 있다.
주입은 이온 빔 또는 플라즈마에 의해 수행될 수 있다. 일부 실시예들에서, 주입은 직접 주입일 수 있다. 다른 실시예들에서, 주입은 퇴적, 및 그에 후속하는 확산일 수 있다. 일부 경우들에서, 확산 주입 프로세스 동안 캡핑 층이 이용될 수 있다.
동작(230)에서, 기판의 후면측이 식각된다. 아래에 설명되는 식각 챔버는 기판의 후면측을 식각할 수 있다. 동작(240)에서, 기판이 패터닝을 위해 정렬된다.
일부 실시예들에서, 방법(200)은 기판의 후면측 상에 필름을 퇴적하는 단계를 더 포함할 수 있다. 필름의 종류는 리소그래피를 위한 패터닝을 진보시키기 위해 이용될 수 있다. 그와 같이, 필름은 질화물들, 비정질 탄소들, 금속 규화물들, 또는 상 변화를 겪는 임의의 다른 재료 중 하나를 포함한다. 일부 실시예들에서, 필름은 자가 흡수성이다. 필름의 영역은 기판의 대응 영역에 퇴적된다. 특정 실시예들에서, 필름의 영역은 기판의 정면측 상의 다이에 대응한다. 필름은 약 40 나노미터 내지 약 120 나노미터의 표준 깊이로 퇴적된다.
다른 실시예들에서, 방법(200)은 기판 내의 왜곡들의 위치들을 찾는 것, 및 기판을 평판화함으로써 왜곡들을 보상하는 것을 더 포함한다.
도 3은 기판들을 처리하기 위한 장치를 도시한다. 도 3의 장치는 앞에서 설명된 바와 같이, 기판의 후면측 상에 필름을 퇴적하기 위한 플라즈마 퇴적 챔버일 수 있다.
도 3은 2개의 처리 영역(318, 320)을 정의하는 챔버(300)의 개략적인 단면도를 도시한다. 챔버 바디(302)는 2개의 처리 영역(318, 320)을 정의하는 측벽(312), 내부 벽(314), 및 저부 벽(316)을 포함한다. 각각의 처리 영역(318, 320) 내의 저부 벽(316)은 적어도 2개의 통로(322, 324)를 정의하고, 그러한 통로들을 통해, 페디스털 가열기(pedestal heater)(328)의 스템(326), 및 기판 리프트 핀 어셈블리의 로드(rod)(330)가 각각 배치된다.
측벽(312) 및 내부 벽(314)은 2개의 원통 고리형 처리 영역(318, 320)을 정의한다. 처리 영역들(318, 320)로부터 가스들을 배기하고 각각의 영역(318, 320) 내의 압력을 제어하기 위해, 원통형 처리 영역들(318, 320)을 정의하는 챔버 벽들 내에 둘레 펌핑 채널(circumferential pumping channel)(325)이 형성된다. 각각의 처리 영역의 측방향 경계를 정의하고, 챔버 벽들(312, 314)을 부식성의 처리 환경으로부터 보호하고, 전극들 사이에 전기적으로 격리된 플라즈마 환경을 유지하기 위해, 세라믹 재료 또는 그와 유사한 것으로 이루어진 챔버 라이너 또는 인서트(327)가 각각의 처리 영역(318, 320) 내에 배치된다. 라이너(327)는 챔버 내에서 각각의 처리 영역(318, 320)의 벽들(312, 314) 내에 형성된 레지(ledge)(329) 상에 지지된다. 라이너는 라이너를 관통하여 배치되고 챔버 벽들 내에 형성된 펌핑 채널(325)과 소통하는 복수의 배기 포트(331) 또는 둘레 슬롯(circumferential slots)을 포함한다. 일 실시예에서, 각각의 라인(327)을 통해 배치된 약 24개의 포트(331)가 존재하며, 포트들은 약 15도씩 이격되고, 처리 영역들(318, 320)의 주변부 주위에 위치된다. 위에서는 24개의 포트가 설명되지만, 요구되는 펌핑 속도 및 균일성을 달성하기 위해, 임의의 개수가 이용될 수 있다. 처리 동안 기판에 걸쳐 최적의 가스 유동 패턴을 제공하기 위해, 포트들의 개수에 더하여, 가스 분배 시스템의 정면 플레이트에 대한 포트들의 높이가 제어된다.
일부 실시예들에서, 챔버(300)는 기판 에지 지지체(380)를 포함한다. 기판 에지 지지체(380)는 연속적 또는 불연속적 벽, 또는 기판의 에지 부분을 페디스털 가열기(328) 위에 지지하기 위한 복수의 포스트일 수 있다. 일부 실시예들에서, 기판 에지 지지체(380)는 기판의 디바이스 측과 페디스털 가열기(328) 사이의 직접적인 접촉을 방지하여, 기판의 후면측 상의 층의 퇴적을 허용할 수 있다.
위에서 설명한 바와 같이, 일부 실시예들에서, 에지 지지체(380)는 기판의 에지 부분을 페디스털 가열기 위에 지지하기 위해 이용될 수 있다. 그러나, 일부 실시예들에서, 기판은 복수의 핀에 의해 지지될 수 있다. 복수의 핀은 기판의 에지 부근의 위치를 포함하여, 기판 상의 임의의 위치에서 기판에 접촉할 수 있다. 핀 지지체는 기판의 저부 스트레스 필름 측의 순간 가열(flash heating)을 허용할 수 있다. 또한, 일부 실시예들에서, 기판이 기판의 에지 상에서 지지되고/거나 놓인 채로 기판의 후면측이 레이저 어닐링되거나 가열될 수 있다.
도 4는 기판을 열 처리하기 위한 시스템(400)의 평면도이다. 앞에서 설명한 바와 같이, 시스템(400)은 기판들에 펄스화된 레이저 복사를 적용하기 위해 이용될 수 있다. 구체적으로, 시스템(400)은 나노초 어닐링 프로세스에서 이용될 수 있다. 더욱이, 시스템(400)은 앞에서 설명한 바와 같이 기판의 후면측을 어닐링하기 위해 이용될 수 있다.
시스템(400)은 복수의 펄스화된 레이저 펄스를 생성하는 복수의 펄스화된 레이저 소스를 갖는 에너지 모듈(402), 개별적인 펄스화된 레이저 펄스들을 결합 펄스화된 레이저 펄스들(combination pulsed laser pulses)로 결합하고 결합 펄스화된 레이저 펄스들의 강도, 주파수 특성 및 극성 특성을 제어하는 펄스 제어 모듈(404), 결합된 펄스화된 레이저 펄스들 중의 펄스들의 시간 프로파일을 조절하는 펄스 성형 모듈(406), 펄스들의 공간 에너지 분포를 조절하여 결합 펄스화된 레이저 펄스들을 단일의 균일한 에너지 필드 내로 중첩시키는 균질기(homogenizer)(408), 잔류하는 에지 불균일을 에너지 필드로부터 제거하는 애퍼쳐 부재(416), 및 레이저 에너지 필드와 기판 지지체(410) 상에 배치된 기판 간의 정밀한 정렬을 허용하는 정렬 모듈(418)을 포함한다. 제어기(412)는 레이저 펄스들의 생성을 제어하기 위해 에너지 모듈(402)에, 펄스 특성들을 제어하기 위해 펄스 제어 모듈(404)에, 그리고 에너지 필드에 대한 기판의 이동을 제어하기 위해 기판 지지체(410)에 결합된다. 인클로저(414)는 전형적으로 시스템(400)의 동작 컴포넌트들을 둘러싼다. 일부 실시예들에서, 시스템(400)은 기판의 에지를 높은 열 스트레스들로부터 차폐시키기 위한 쉐도우 링(490)을 더 포함한다.
기판 지지체(410)는 유사한 목적을 위해, 도 3에 관련하여 위에서 설명된 에지 지지체(380)와 실질적으로 유사한 에지 지지체를 특징으로 할 수 있다. 기판 에지와 쉐도우 링(490) 사이에 적절하게 작은 간격을 채택함으로써, 스테이지가 특정 타겟 구역들을 처리하도록 기판을 위치시키기 위해 이동될 때, 에지 지지체 상의 기판의 원하지 않는 이동이 최소화될 수 있다. 예를 들어, 기판이 300mm 기판인 경우, 쉐도우 링(490)은 150.2mm 이하의 내부 반경을 가질 수 있다.
앞에서 설명한 바와 같이, 일부 실시예들에서, 에지 지지체는 기판의 에지 부분을 지지하기 위해 이용될 수 있다. 그러나, 일부 실시예들에서, 기판은 복수의 핀에 의해 지지될 수 있다. 복수의 핀은 기판의 에지 부근의 위치를 포함하여, 기판 상의 임의의 위치에서 기판에 접촉할 수 있다. 핀 지지체는 기판의 저부 스트레스 필름 측의 펄스화된 레이저 처리를 허용할 수 있다. 또한, 일부 실시예들에서, 기판이 기판의 에지 상에서 지지되고/거나 놓인 채로 기판의 후면측이 레이저 처리되거나 가열될 수 있다.
레이저들은 예를 들어, 약 100nsec 미만의 지속시간을 갖는 짧은 펄스들의 고전력 레이저 복사를 형성할 수 있는 임의의 타입의 레이저일 수 있다. 전형적으로, 약 30을 초과하는 M2와 함께 500개가 넘는 공간 모드(spatial modes)를 갖는 하이 모달리티 레이저들(high modality lasers)이 이용된다. Nd:YAG, Nd:글래스, 티타늄-사파이어(titanium-sapphire), 또는 다른 희토류 도핑된 결정 레이저와 같은 고체 상태 레이저가 빈번하게 이용되지만, 엑시머 레이저, 예를 들어 XeCl2, ArF, 또는 KrF 레이저와 같은 가스 레이저들이 이용될 수 있다. 레이저들은 예를 들어 q 스위칭(수동 또는 능동), 이득 스위칭(gain switching) 또는 모드 잠금(mode locking)에 의해 스위칭될 수 있다. 또한, 레이저에 의해 방출되는 빔을 차단함으로써 펄스들을 형성하기 위해, 레이저의 출력 부근에서 포켈스 셀(Pockels cell)이 이용될 수 있다. 일반적으로, 펄스화된 레이저 처리를 위해 이용가능한 레이저들은 약 1nsec 내지 약 100μsec의 지속시간으로 약 100mJ 내지 약 10J의 에너지 함량을 갖는, 전형적으로는 약 8nsec 내에 약 1J의 에너지 함량을 갖는 레이저 복사의 펄스들을 생성할 수 있다. 레이저들은 약 200nm 내지 약 2000nm, 예를 들어 약 400nm 내지 약 1000nm, 예를 들어 약 532nm의 파장을 가질 수 있다. 일 실시예에서, 레이저들은 q 스위칭된 주파수 배가형 Nd:YAG 레이저(q-switched frequency-doubled Nd:YAG lasers)이다. 레이저들은 모두 동일 파장에서 동작할 수 있고, 다르게는 레이저들 중 하나 이상이 에너지 모듈(402) 내의 다른 레이저들과는 다른 파장들에서 동작할 수 있다. 레이저들은 원하는 전력 레벨들을 전개(develop)하기 위해 증폭될 수 있다. 대부분의 경우에서, 증폭 매질은 레이저 매질과 동일하거나 유사한 조성일 것이다. 통상적으로는 각각의 개별 레이저 펄스가 단독으로 증폭되지만, 일부 실시예들에서는 모든 레이저 펄스가 결합 후에 증폭될 수 있다.
기판에 전달되는 전형적인 레이저 펄스는 복수의 레이저 펄스의 조합이다. 복수의 펄스는, 결합될 때에 제어된 에너지 상승, 지속시간 및 소멸과, 에너지 불균일의 제어된 공간 분포와 함께, 제어된 시간 및 공간 에너지 프로파일을 갖는 단일의 레이저 복사 펄스가 초래되도록, 제어된 시간들에서, 그리고 서로에 대해 제어된 관계로 생성된다. 예를 들어, 제어기(412)는 각각의 레이저로부터의 펄스들의 생성을 제어하기 위해, 각각의 레이저, 예를 들어 각각의 레이저의 각각의 스위치에 결합된 전압 소스에 결합된 전자 타이머와 같은 펄스 생성기를 가질 수 있다.
복수의 레이저는 각각의 레이저가 하나 이상의 펄스 제어기(405)를 가질 수 있는 펄스 제어 모듈(404) 내에 나타나는 펄스들을 생성하도록 배열된다. 하나 이상의 펄스가 펄스 제어 모듈(404)을 빠져나가고, 하나 이상의 펄스 쉐이퍼(pulse shaper)(407)를 갖는 펄스 성형 모듈(406)에 들어간다.
일부 실시예들에서, 레이저 복사는 기판 지지체(410) 아래로부터, 에지 지지체 상에 디바이스 측을 위로 하여 놓여있는 기판을 향해 지향될 수 있다. 기판 지지체(410) 내의 윈도우 또는 개구는 기판 지지체(410)의 아래로부터 기판의 후면측을 향한 레이저 복사를 받아들이도록 제공될 수 있다.
도 5는 기판을 열 처리하기 위한 시스템(500)의 개략도이다. 시스템(500)은 앞에서 설명한 바와 같이, 램프 어닐링 및/또는 화학적 순간 어닐링을 통해 기판들을 어닐링하기 위해 이용될 수 있다. 더욱이, 시스템(500)은 앞에서 설명한 바와 같이 기판의 후면측을 어닐링하기 위해 이용될 수 있다.
도 5는 급속 열 처리(RTP) 챔버(500)의 일 실시예의 단순화된 등축도이다. 본 개시내용으로부터 혜택을 받도록 적응될 수 있는 급속 열 처리 챔버의 일례는 캘리포니아주 산타클라라에 위치된 Applied Materials, Inc.로부터 상업적으로 입수가능한 VULCAN® 챔버이다. 처리 챔버(500)는 비접촉식 또는 자기 부상식 기판 지지체(504), 및 내부 용적(520)을 정의하는 최상부(512), 저부(510) 및 벽들(508)을 갖는 챔버 바디(502)를 포함한다. 벽들(508)은 기판(540)(도 5에 일부가 도시되어 있음)의 진입 및 진출을 용이하게 하기 위해, 적어도 하나의 기판 액세스 포트(548)를 전형적으로 포함한다. 액세스 포트는 이송 챔버(도시되지 않음) 또는 로드락 챔버(도시되지 않음)에 결합될 수 있고, 슬릿 밸브(도시되지 않음)와 같은 밸브로 선택적으로 밀봉될 수 있다. 일 실시예에서, 기판 지지체(504)는 고리형이고, 챔버(500)는 기판 지지체(504)의 내측 직경 내에 배치된 복사 열원(506)을 포함한다.
기판 지지체(504)는 내부 용적(520) 내에서 자기 부상하고 회전하도록 적응된다. 기판 지지체(504)는 처리 동안 수직으로 상승 및 하강하면서 회전할 수 있고, 또한 처리 전에, 처리 동안, 또는 처리 후에, 회전 없이 상승 또는 하강될 수도 있다. 이러한 자기 부상 및/또는 자기 회전은 기판 지지체를 상승/하강 및/또는 회전시키는 데에 전형적으로 이용되는 이동 부품들의 부재 또는 감소로 인해, 입자 생성을 방지하거나 최소화한다.
챔버(500)는 또한 적외선(IR) 스펙트럼 내의 광을 포함할 수 있는 다양한 파장들의 광 및 열에 투명한 재료로 이루어진 윈도우(514)를 포함하고, 이를 통해 복사 열원(506)으로부터의 광자들이 기판(540)을 가열할 수 있다. 윈도우(514)는 윈도우(514)의 상부 표면에 결합된 복수의 리프트 핀(544)을 포함할 수 있고, 리프트 핀들은 챔버(500) 안팎으로의 기판의 이송을 용이하게 하기 위해, 기판(540)에 선택적으로 접촉하고 기판(540)을 지지하도록 적응된다.
일 실시예에서, 복사 열원(506)은 냉각제 소스(583)에 결합된 냉각제 어셈블리(도시되지 않음) 내에 복수의 허니콤 튜브(560)를 포함하는 하우징으로 형성된 램프 어셈블리를 포함한다. 냉각제 소스(583)는 물, 에틸렌 글리콜, 질소(N2) 및 헬륨(He) 중 하나 또는 이들의 조합일 수 있다. 하우징은 냉각제 소스(583)로부터의 냉각제의 유동을 위해 그 내부에 형성된 적절한 냉각제 채널들을 갖는 구리 재료 또는 다른 적절한 재료로 만들어질 수 있다. 각각의 튜브(560)는 반사기 및 고휘도 램프 어셈블리 또는 IR 방출기를 포함할 수 있고, 그로부터 허니콤형 파이프 구성이 형성된다. 기판(540)의 가열의 동적 제어는 기판(540)에 걸친 온도를 측정하도록 적응된 하나 이상의 온도 센서(517)(아래에 더 상세하게 설명됨)에 의해 시행될 수 있다.
스테이터 어셈블리(518)는 챔버 바디(502)의 벽들(508)을 둘러싸고, 챔버 바디(502)의 외부를 따라 스테이터 어셈블리(518)의 높이를 제어하는 하나 이상의 액추에이터 어셈블리(522)에 결합된다.
분위기 제어 시스템(564)은 또한 챔버 바디(502)의 내부 용적(520)에 결합된다. 분위기 제어 시스템(564)은 일반적으로 챔버 압력을 제어하기 위한 진공 펌프들과 스로틀 밸브들을 포함한다. 분위기 제어 시스템(564)은 프로세스 또는 다른 가스들을 내부 용적(520)에 제공하기 위한 가스 소스들을 추가로 포함할 수 있다. 분위기 제어 시스템(564)은 또한 열 퇴적 프로세스들을 위해 프로세스 가스들을 전달하도록 적응될 수 있다.
챔버(500)는 또한 중앙 처리 유닛(CPU)(530), 지원 회로들(528) 및 메모리(526)를 일반적으로 포함하는 제어기(524)를 포함한다. CPU(530)는 다양한 액션들 및 서브-프로세서를 제어하기 위해 산업용 세팅에서 이용될 수 있는 임의의 형태의 컴퓨터 프로세서 중 하나일 수 있다. 메모리(526) 또는 컴퓨터 판독가능한 매체는 랜덤 액세스 메모리(RAM), 판독 전용 메모리(ROM), 플로피 디스크, 하드 디스크, 또는 임의의 다른 형태의 로컬 또는 원격 디지털 저장소와 같은 쉽게 입수가능한 메모리 중 하나 이상일 수 있고, 전형적으로 CPU(530)에 결합된다. 지원 회로들(528)은 종래의 방식으로 제어기(524)를 지원하기 위해 CPU(530)에 결합된다. 이러한 회로들은 캐시, 전력 공급부, 클럭 회로, 입력/출력 회로망, 서브시스템, 및 그와 유사한 것을 포함한다.
챔버(500)는 또한 챔버 바디(502)의 내부 용적(520) 내에서 기판 지지체(504)[또는 기판(540)]의 높이를 검출하도록 일반적으로 적응되는 하나 이상의 센서(516)를 포함한다. 센서들(516)은 챔버 바디(502) 및/또는 처리 챔버(500)의 다른 부분들에 결합될 수 있고, 기판 지지체(504)와 챔버 바디(502)의 최상부(512) 및/또는 저부(510) 사이의 거리를 나타내는 출력을 제공하도록 적응되며, 또한 기판 지지체(504) 및/또는 기판(540)의 오정렬을 검출할 수 있다. 하나 이상의 센서(516)는 제어기(524)에 결합되며, 제어기는 센서들(516)로부터 출력 메트릭을 수신하고 하나 이상의 액추에이터 어셈블리(522)에 신호 또는 신호들을 제공하여 기판 지지체(504)의 적어도 일부를 상승 또는 하강시킨다. 하나 이상의 센서(516)는 챔버 바디(502) 내에서 기판 지지체(504)의 근접도를 검출할 수 있는 초음파, 레이저, 유도성, 용량성, 또는 다른 타입의 센서일 수 있다.
챔버(500)는 또한 처리 전, 처리 동안 및 처리 후에 기판(540)의 온도를 감지하도록 적응될 수 있는 하나 이상의 온도 센서(517)를 포함한다. 도 5에 도시된 실시예에서, 온도 센서들(517)은 최상부(512)를 통해 배치되지만, 챔버 바디(502) 내부 및 주위의 다른 위치들이 이용될 수 있다.
도 6은 기판을 열 처리하기 위한 장치(600)를 개략적으로 도시한다. 구체적으로, 장치(600)는 밀리초 어닐링 프로세스에서 이용될 수 있다. 더욱이, 시스템(600)은 앞에서 설명한 바와 같이 기판의 후면측을 어닐링하기 위해 이용될 수 있다.
장치(600)는 연속파 전자기 복사 모듈(601), 그 위에 기판(614)을 수용하도록 구성된 스테이지(616), 및 병진 메커니즘(translation mechanism)(618)을 포함한다. 연속파 전자기 복사 모듈(601)은 연속파 전자기 복사 소스(602), 및 연속파 전자기 복사 소스(602)와 스테이지(616) 사이에 배치된 포커싱 광학부(620)를 포함한다.
연속파 전자기 복사 소스(602)는 광과 같은 전자기 복사의 "연속파들" 또는 복사선들을 방출할 수 있다. "연속파"는 복사 소스가 복사를 연속적으로, 즉 복사의 버스트, 펄스 또는 플래시가 아니게 방출하도록 구성된다는 것을 의미한다. 이것은 전형적으로 광의 버스트 또는 플래시를 이용하는 레이저 어닐링에서 이용되는 레이저들과는 상당히 다르다.
더욱이, 연속파 전자기 복사는 기판의 표면에서 또는 기판의 표면 부근에서 흡수되므로, 복사는 기판이 복사를 흡수하는 범위 내의 파장을 갖는다. 실리콘 기판의 경우, 연속파 전자기 복사는 190nm 내지 950nm, 예컨대 약 810nm의 파장을 갖는다.
대안적으로, UV 내에서의 또는 UV 부근에서의 고전력의 연속파 전자기 복사 레이저 소스 동작이 이용될 수 있다. 그러한 연속파 전자기 복사 레이저 소스들에 의해 생성되는 파장들은 대부분의 다른 반사 재료들에 의해 강하게 흡수된다.
일 실시예에서, 연속파 전자기 복사 소스(602)는 적어도 15초 동안 지속적으로 복사를 방출할 수 있다. 다른 실시예에서, 연속파 전자기 복사 소스(602)는 복수의 레이저 다이오드를 포함하고, 레이저 다이오드들 각각은 동일한 파장에서 균일하고 공간적으로 간섭성인 광(uniform and spatially coherent light)을 생성한다. 레이저 다이오드(들)의 전력은 0.5kW 내지 50kW 범위 내에 있고, 예를 들어 약 5kW이다. 적절한 레이저 다이오드들은 캘리포니아주 산타클라라의 Coherent Inc., 캘리포니아주의 Spectra-Physics, 또는 미조리주 세인트 찰스의 Cutting Edge Optronics, Inc.에 의해 제조된다. 레이저 다이오드의 일례는 Cutting Edge Optronics에 의해 제조되지만, 다른 적절한 레이저 다이오드는 Spectra Physics' MONSOON® 멀티바 모듈(multi-bar module)(MBM)이고, 이것은 레이저 다이오드 모듈 당 40-480와트의 연속파 전력을 제공한다.
포커싱 광학부(620)는 연속파 전자기 복사 소스(602)로부터의 복사(604)를 실질적으로 평행한 빔(608)으로 시준하기 위한 하나 이상의 시준기(606)를 포함한다. 다음으로, 이러한 시준된 복사(608)는 적어도 하나의 렌즈(610)에 의해, 기판(614)의 상부 표면(624)에서 복사의 라인(622)으로 포커싱된다.
렌즈(610)는 복사를 라인으로 포커싱할 수 있는 임의의 적절한 렌즈, 또는 일련의 렌즈들이다. 일 실시예에서, 렌즈(610)는 원통형 렌즈이다. 대안적으로, 렌즈(610)는 하나 이상의 오목 렌즈, 볼록 렌즈, 평면 거울, 오목 거울, 볼록 거울, 굴절 렌즈, 회절 렌즈, 프레넬 렌즈(Fresnel lenses), 굴절률 분포형 렌즈(gradient index lenses), 또는 그와 유사한 것일 수 있다.
스테이지(616)는 아래에 설명되는 바와 같이, 기판을 병진시키기 위한 플랫폼을 포함할 수 있다. 스테이지(616)는 기판의 후면측 처리를 위해, 도 3의 에지 지지체(390)와 유사한 에지 지지체를 포함할 수 있다.
앞에서 설명한 바와 같이, 일부 실시예들에서, 에지 지지체는 기판의 에지 부분을 지지하기 위해 이용될 수 있다. 그러나, 일부 실시예들에서, 기판은 복수의 핀에 의해 지지될 수 있다. 복수의 핀은 기판의 에지 부근의 위치를 포함하여, 기판 상의 임의의 위치에서 기판에 접촉할 수 있다. 핀 지지체는 기판의 저부 스트레스 필름 측의 열 처리를 허용할 수 있다. 더욱이, 일부 실시예들에서, 기판이 기판의 에지 상에 지지되고/거나 놓인 채로, 기판의 후면측이 열 처리될 수 있다.
장치(600)는 또한 스테이지(616) 및 복사의 라인(622)을 서로에 대해 병진시키도록 구성된 병진 메커니즘(618)을 포함한다. 일 실시예에서, 병진 메커니즘(618)은 연속파 전자기 복사 소스(602) 및/또는 포커싱 광학부(620)에 대해 스테이지(616)를 이동시키기 위해 스테이지(616)에 결합된다. 다른 실시예에서, 병진 메커니즘은 연속파 전자기 복사 소스(602) 및/또는 포커싱 광학부(620)를 스테이지(616)에 대해 이동시키기 위해, 연속파 전자기 복사 소스(602) 및 포커싱 광학부(620) 둘 다에 결합된다. 또 다른 실시예에서, 병진 메커니즘(618)은 연속파 전자기 복사 소스(602), 포커싱 광학부(620), 및 스테이지(616)를 이동시킨다. 컨베이어 시스템, 랙 및 피니언 시스템(rack and pinion system), 또는 그와 유사한 것과 같은 임의의 적절한 병진 메커니즘이 이용될 수 있다.
특정 실시예들에서, 장치(600)는 기판(614)의 에지를 높은 열 스트레스들로부터 차폐시키기 위한 쉐도우 링(690)을 포함한다. 위에서 언급된 바와 같이, 쉐도우 링(690)은 스테이지(616) 상에서의 기판의 원하지 않는 이동을 방지하기 위한 크기를 가질 수 있다.
도 7은 식각 반응기(700)의 개략도를 도시한다. 식각 반응기(700)는 앞에서 설명한 것과 같이 기판의 후면측을 식각하기 위해 이용될 수 있다.
특정 실시예들에서, 식각 반응기(700)는 이온 라디컬 쉴드(770)를 포함할 수 있다. 본 명세서에 개시된 교시들과 함께 이용되도록 적응될 수 있는 적절한 반응기들은 예를 들어 디커플드 플라즈마 소스(Decoupled Plasma Source)(DPS®) Ⅱ 반응기, 또는 Tetra I 및 Tetra Ⅱ 포토마스크 식각 시스템들을 포함하고, 이들 모두는 캘리포니아주 산타클라라의 Applied Materials, Inc.로부터 입수가능하다. DPS® Ⅱ 반응기는 또한 Centura® 통합형 반도체 웨이퍼 처리 시스템(Centura® integrated semiconductor wafer processing system)의 처리 모듈로서 이용될 수 있고, 이것 또한 Applied Materials, Inc.로부터 입수가능하다. 본 명세서에 보여지는 반응기(700)의 특정한 실시예는 예시의 목적으로 제공되며, 본 개시내용의 범위를 제한하는 데에 이용되어서는 안 된다.
반응기(700)는 전도체 바디(벽)(704) 내에 기판 페디스털(724)을 갖는 프로세스 챔버(702), 및 제어기(746)를 일반적으로 포함한다. 챔버(702)는 실질적으로 평탄한 유전체 천장(708)을 갖는다. 챔버(702)의 다른 수정들은 다른 타입들의 천장들, 예를 들어 돔 형상 천장을 가질 수 있다. 안테나(710)는 천장(708) 위에 배치된다. 안테나(710)는 선택적으로 제어될 수 있는 하나 이상의 유도 코일 요소를 포함한다[도 7에는 2개의 동축 요소(710a 및 710b)가 보여져 있다]. 안테나(710)는 제1 정합 네트워크(714)를 통해 플라즈마 전력 소스(712)에 결합된다. 전형적으로, 플라즈마 전력 소스(712)는 약 50kHz 내지 약 13.56MHz 범위의 튜닝가능한 주파수에서 약 3000W까지 생성할 수 있다.
기판 페디스털(캐소드)(724)은 제2 정합 네트워크(742)를 통해 바이어싱 전력 소스(740)에 결합된다. 일반적으로, 바이어싱 소스(740)는 연속적인 또는 펄스화된 전력을 생성할 수 있는, 약 13.56MHz의 주파수에서 최고 약 500W의 소스이다. 대안적으로, 소스(740)는 DC 또는 펄스화된 DC 소스일 수 있다.
일 실시예에서, 기판 지지 페디스털(724)은 기판 에지 지지체를 제공한다. 일 실시예에서, 기판 지지 페디스털(724)은 정전 척(760)을 포함한다. 정전 척(760)은 적어도 하나의 클램핑 전극(732)을 포함하고, 척 전력 공급부(766)에 의해 제어된다. 대안적인 실시예들에서, 기판 페디스털(724)은 서셉터 클램프 링, 기계적 척, 및 그와 유사한 것과 같은 기판 보유 메커니즘들을 포함할 수 있다.
레티클 어댑터(782)는 기판(레티클)(722)을 기판 지지 페디스털(724) 상에 고정하기 위해 이용된다. 레티클 어댑터(782)는 페디스털(724)[예를 들어, 정전 척(760)]의 상부 표면을 커버하도록 밀링된 하측 부분(784), 및 기판(722)을 유지하기 위한 크기 및 형상을 갖는 개구(788)를 갖는 상측 부분(786)을 일반적으로 포함한다. 개구(788)는 일반적으로 페디스털(724)에 대해 실질적으로 중심이 맞춰진다. 어댑터(782)는 일반적으로 폴리이미드 세라믹 또는 석영과 같은, 식각 저항성 및 고온 저항성이 있는 재료의 단일 조각으로 형성된다. 에지 링(726)은 어댑터(782)를 커버하고/거나 페디스털(724)에 고정할 수 있다.
리프트 메커니즘(738)은 어댑터(782), 및 그에 따른 기판(722)을 기판 지지 페디스털(724) 상으로 또는 기판 지지 페디스털로부터 하강 또는 상승시키기 위해 이용된다. 일반적으로, 리프트 메커니즘(762)은 각각의 가이드 홀들(736)을 통해 이동하는 복수의 리프트 핀(730)(하나의 리프트 핀이 보여짐)을 포함한다.
동작 시에, 기판(722)의 온도는 기판 페디스털(724)의 온도를 안정화시키는 것에 의해 제어된다. 일 실시예에서, 기판 지지 페디스털(724)은 저항 가열기(744) 및 히트 싱크(728)를 포함한다. 일반적으로, 저항 가열기(744)는 적어도 하나의 가열 요소(734)를 일반적으로 포함하고, 가열기 전력 공급부(768)에 의해 조절된다. 가스 소스(756)로부터의 후면측 가스[예를 들어, 헬륨(He)]는 가스 도관(758)을 통해, 기판(722) 아래의 페디스털 표면 내에 형성된 채널들에 제공된다. 후면측 가스는 페디스털(724)과 기판(722) 사이의 열 전달을 용이하게 하기 위해 이용된다. 처리 동안, 페디스털(724)은 내장된 저항 가열기(744)에 의해 정상 상태 온도까지 가열될 수 있고, 이는 헬륨 후면측 가스와 함께, 기판(722)의 균일한 가열을 용이하게 한다. 그러한 열 제어를 이용하여, 기판(722)은 약 섭씨 0 내지 350도의 온도로 유지될 수 있다.
이온-라디컬 쉴드(770)는 챔버(702) 내에서 페디스털(724) 위에 배치된다. 이온-라디컬 쉴드(770)는 챔버 벽들(704) 및 페디스털(724)로부터 전기적으로 격리되고, 실질적으로 평판인 플레이트(772) 및 복수의 레그(legs)(776)를 일반적으로 포함한다. 플레이트(772)는 레그들(776)에 의해 챔버(702) 내에서 페디스털 위에 지지된다. 플레이트(772)는 플레이트(772)의 표면 내에 요구되는 개방 영역을 정의하는 하나 이상의 개구(애퍼쳐)(774)를 정의한다. 이온 라디컬 쉴드(770)의 개방 영역은 프로세스 챔버(702)의 상부 프로세스 용적(778) 내에 형성된 플라즈마로부터 이온-라디컬 쉴드(770)와 기판(722) 사이에 위치된 하부 프로세스 용적(780)에 전달되는 이온의 양을 제어한다. 개방 영역이 커질수록, 더 많은 이온이 이온-라디컬 쉴드(770)를 통과할 수 있다. 그와 같이, 애퍼쳐들(774)의 크기는 용적(780) 내의 이온 밀도를 제어한다. 결과적으로, 쉴드(770)는 이온 필터이다.
도 8은 그 위에 장착된 복수의 기판 처리 챔버(812)를 갖는 클러스터 툴(810)의 상부 개략도를 도시한다. 도 8에 도시된 것과 유사한 클러스터 툴은 캘리포니아 주 산타클라라의 Applied Materials, Inc.로부터 상업적으로 입수가능하다. 클러스터 툴(810)은 본 명세서에 설명된 다양한 툴들과 챔버들 사이에서 기판을 이송하기 위한 이송 챔버로서 이용될 수 있다.
툴은 시스템 내에서, 특히 복수의 기판 처리 챔버(812) 사이에서 한 위치로부터 다른 위치로 기판들을 이동시키기 위한 기판 핸들링 모듈(816)을 갖는 이송 챔버(818) 및 로드락 챔버(820)를 포함한다. 이러한 특정한 툴은 이송 챔버 주위에 방사상으로 위치된 최대 4개의 기판 처리 챔버(812)를 수용하는 것으로 도시되지만, 임의의 개수의 기판 처리 챔버(812)가 그 위에 수용될 수 있을 것으로 예상된다.
본 개시내용의 혜택들은 기판 저부의 처리가 기판의 상부 구조체들에 열적으로(thermally)[예를 들어, 표면 가열 기술들, 고 표면 조사 레이저들(high surface irradiance lasers), 또는 플래시램프들의 사용을 통해] 또는 구성적으로(compositionally) 영향을 줄 가능성이 더 적다는 것이다. 추가로, 기판 저부로부터의 스트레인들은 기판의 최상부면에 대한 어떠한 처리로부터도 격리되므로 더 일관성있다. 더욱이, 추후 처리에서 전개되는 스트레인들을 보상하기 위해, 기판의 저부에 대해 추가의 조절들이 이루어질 수 있다. 일단 가열되고 나면, 기판의 후면측 상의 주입은 기판의 정면측 상의 다른 열적 스트레스들에 대항한다.
상술한 것은 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 추가의 실시예들은 그것의 기본 범위로부터 벗어나지 않고서 만들어질 수 있으며, 그것의 범위는 이하의 청구항들에 의해 결정된다.

Claims (16)

  1. 정면측 상에 형성된 디바이스를 갖는 기판을 트리트먼트하기 위한 방법으로서,
    상기 기판의 후면측 상에 비금속 필름(non-metallic film)을 퇴적하는 단계;
    다음으로, 상기 필름 내의 스트레인들을 조절하기 위해, 상기 기판에서의 스트레스들 또는 스트레인들에 기초한 원하는 패턴에 따라 상기 기판의 상기 후면측을 레이저 어닐링하는 단계; 및
    다음으로, 상기 필름 내의 스트레인들을 조절하기 위해, 상기 기판의 상기 후면측 상에 주입(implanting)하는 단계
    를 포함하는 방법.
  2. 제1항에 있어서, 상기 필름은 50nm 내지 100nm의 깊이로 퇴적되는, 방법.
  3. 제2항에 있어서, 상기 필름의 영역은 상기 기판의 상기 정면측 상의 다이에 대응하는, 방법.
  4. 제1항에 있어서, 상기 필름은 상기 기판 내로 용해되거나(dissolve) 확산하는, 방법.
  5. 제1항에 있어서, 상기 레이저 어닐링은 스폿 어닐링이고, 상기 스폿 어닐링은 스트레스의 패턴을 생성하기 위해, 상기 기판의 상기 후면측의 선택된 위치들에서 발생하는, 방법.
  6. 제1항에 있어서,
    상기 기판의 상기 후면측을 식각하는 단계;
    패터닝을 위해 상기 기판을 정렬하는 단계;
    상기 기판 내의 왜곡들의 위치를 찾는 단계; 및
    상기 기판을 평판화(flattening)함으로써, 상기 왜곡들을 보상하는 단계
    를 더 포함하는 방법.
  7. 제1항에 있어서, 상기 필름은 질화물들, 비정질 탄소들, 또는 금속 규화물들 중 하나를 포함하는, 방법.
  8. 정면측 상에 형성된 디바이스를 갖는 기판을 트리트먼트하기 위한 방법으로서,
    상기 기판의 후면측의 스트레인들을 조절하기 위해 상기 기판에서의 스트레스들 또는 스트레인들에 기초한 원하는 패턴에 따라 상기 기판의 상기 후면측을 레이저 어닐링하는 단계;
    다음으로, 상기 기판의 상기 후면측의 스트레인들을 조절하기 위해, 상기 기판의 상기 후면측을 주입하는 단계;
    다음으로, 상기 기판의 상기 후면측을 식각하는 단계; 및
    다음으로, 패터닝을 위해 상기 기판을 정렬하는 단계
    를 포함하는 방법.
  9. 제8항에 있어서, 상기 기판의 상기 후면측 상에 50nm 내지 100nm의 깊이로 필름을 퇴적하는 단계를 더 포함하는, 방법.
  10. 제9항에 있어서, 상기 필름의 영역은 상기 기판의 상기 정면측 상의 다이에 대응하는, 방법.
  11. 제9항에 있어서, 상기 필름은 상기 기판 내로 용해되거나 확산하는, 방법.
  12. 제8항에 있어서, 상기 레이저 어닐링은 스폿 어닐링이고, 상기 스폿 어닐링은 스트레스의 패턴을 생성하기 위해 상기 기판의 상기 후면측의 선택된 위치들에서 발생하는, 방법.
  13. 제8항에 있어서, 상기 레이저 어닐링은 나노초 어닐링 프로세스 또는 밀리초 어닐링 프로세스인, 방법.
  14. 제8항에 있어서,
    상기 기판 내의 왜곡들의 위치를 찾는 단계; 및
    상기 기판을 평판화함으로써, 상기 왜곡들을 보상하는 단계
    를 더 포함하는 방법.
  15. 기판을 처리하기 위한 툴로서,
    상기 기판의 후면측 상에 복수의 필름 층을 퇴적하기 위한 퇴적 챔버;
    상기 복수의 필름 층 내의 스트레인들을 조절하기 위해 상기 기판에서의 스트레스들 또는 스트레인들에 기초한 원하는 패턴에 따라 상기 기판의 상기 후면측 상의 상기 복수의 필름 층을 어닐링하기 위한 레이저 어닐링 챔버 - 상기 어닐링 챔버는 기판 에지 지지체를 포함함 - ;
    상기 기판의 후면측을 식각하기 위한 식각 챔버 - 상기 식각 챔버는 기판 에지 지지체를 포함함 - ; 및
    상기 퇴적 챔버, 상기 어닐링 챔버, 및 상기 식각 챔버 사이에서 상기 기판을 이송하기 위해 동작가능하게 연결되는(operatively connected) 이송 챔버
    를 포함하는 툴.
  16. 제15항에 있어서,
    상기 복수의 필름 층 내의 스트레인들을 조절하기 위해 상기 기판의 상기 후면측 상에 주입하기 위한 주입 챔버를 더 포함하는, 툴.
KR1020187016287A 2015-11-09 2016-10-10 저부 처리 KR102584138B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020237032849A KR20230152092A (ko) 2015-11-09 2016-10-10 저부 처리

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562252901P 2015-11-09 2015-11-09
US62/252,901 2015-11-09
US201662306150P 2016-03-10 2016-03-10
US62/306,150 2016-03-10
PCT/US2016/056220 WO2017083037A1 (en) 2015-11-09 2016-10-10 Bottom processing

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020237032849A Division KR20230152092A (ko) 2015-11-09 2016-10-10 저부 처리

Publications (2)

Publication Number Publication Date
KR20180069920A KR20180069920A (ko) 2018-06-25
KR102584138B1 true KR102584138B1 (ko) 2023-10-04

Family

ID=58663719

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020187016287A KR102584138B1 (ko) 2015-11-09 2016-10-10 저부 처리
KR1020237032849A KR20230152092A (ko) 2015-11-09 2016-10-10 저부 처리

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020237032849A KR20230152092A (ko) 2015-11-09 2016-10-10 저부 처리

Country Status (7)

Country Link
US (1) US10128197B2 (ko)
JP (1) JP6971229B2 (ko)
KR (2) KR102584138B1 (ko)
CN (3) CN108352298B (ko)
DE (1) DE112016005136T5 (ko)
TW (2) TWI729498B (ko)
WO (1) WO2017083037A1 (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10418264B2 (en) * 2016-06-08 2019-09-17 Hermes-Epitek Corporation Assembling device used for semiconductor equipment
US10510575B2 (en) * 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10916416B2 (en) * 2017-11-14 2021-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor wafer with modified surface and fabrication method thereof
SG11202005150YA (en) 2017-12-01 2020-06-29 Applied Materials Inc Highly etch selective amorphous carbon film
JP2020047617A (ja) * 2018-09-14 2020-03-26 キオクシア株式会社 基板処理装置、半導体装置の製造方法、および被加工基板
DE102019211447B4 (de) * 2019-07-31 2023-06-01 Robert Bosch Gmbh Verfahren zum Laserrichten von Führungsschienen
WO2023272592A1 (en) 2021-06-30 2023-01-05 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and methods for forming the same
WO2023272638A1 (en) 2021-06-30 2023-01-05 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and methods for forming the same
CN115803882A (zh) 2021-06-30 2023-03-14 长江存储科技有限责任公司 三维存储器装置及其形成方法
WO2023028729A1 (en) * 2021-08-30 2023-03-09 Yangtze Memory Technologies Co., Ltd. Wafer stress control and semiconductor structure
WO2024072609A1 (en) * 2022-09-28 2024-04-04 Applied Materials, Inc. Correction of global curvature during stress management
CN115642112A (zh) * 2022-11-24 2023-01-24 西安奕斯伟材料科技有限公司 一种用于硅片的背封装置及背封方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000223425A (ja) 1999-02-02 2000-08-11 Nec Corp 基板処理装置、ガス供給方法、及び、レーザ光供給方法
JP2001274048A (ja) * 2000-03-24 2001-10-05 Hitachi Ltd 半導体装置の製造方法及び加工装置
JP2011119472A (ja) * 2009-12-03 2011-06-16 Panasonic Corp 半導体製造装置
US20150294917A1 (en) * 2014-04-09 2015-10-15 Tokyo Electron Limited Method for Correcting Wafer Bow from Overlay

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5296385A (en) 1991-12-31 1994-03-22 Texas Instruments Incorporated Conditioning of semiconductor wafers for uniform and repeatable rapid thermal processing
JPH05315371A (ja) * 1992-05-12 1993-11-26 Fujitsu Ltd 化合物半導体装置の製造方法
US6321134B1 (en) * 1997-07-29 2001-11-20 Silicon Genesis Corporation Clustertool system software using plasma immersion ion implantation
JP3505678B2 (ja) * 1999-08-25 2004-03-08 住友重機械工業株式会社 ウエハの歪修正装置
KR20020034492A (ko) 2000-11-02 2002-05-09 박종섭 반도체 소자의 제조방법
JP4653374B2 (ja) * 2001-08-23 2011-03-16 セイコーエプソン株式会社 電気光学装置の製造方法
US7208380B2 (en) 2004-03-22 2007-04-24 Texas Instruments Incorporated Interface improvement by stress application during oxide growth through use of backside films
US7244311B2 (en) * 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
US7432177B2 (en) 2005-06-15 2008-10-07 Applied Materials, Inc. Post-ion implant cleaning for silicon on insulator substrate preparation
JP2007194514A (ja) * 2006-01-23 2007-08-02 Mitsubishi Electric Corp 半導体装置の製造方法
US20080128019A1 (en) * 2006-12-01 2008-06-05 Applied Materials, Inc. Method of metallizing a solar cell substrate
US8846532B2 (en) 2007-02-28 2014-09-30 Alpha And Omega Semiconductor Incorporated Method and apparatus for ultra thin wafer backside processing
US7776746B2 (en) 2007-02-28 2010-08-17 Alpha And Omega Semiconductor Incorporated Method and apparatus for ultra thin wafer backside processing
WO2009135137A2 (en) 2008-05-02 2009-11-05 Applied Materials, Inc. System for non radial temperature control for rotating substrates
US20090278287A1 (en) * 2008-05-12 2009-11-12 Yun Wang Substrate processing with reduced warpage and/or controlled strain
US20100109060A1 (en) 2008-11-06 2010-05-06 Omnivision Technologies Inc. Image sensor with backside photodiode implant
JP2010225830A (ja) 2009-03-24 2010-10-07 Mitsumi Electric Co Ltd 半導体装置の製造方法
JP5801300B2 (ja) * 2009-07-15 2015-10-28 シランナ・セミコンダクター・ユー・エス・エイ・インコーポレイテッドSilanna Semiconductor U.S.A., Inc. 背面放熱を伴う絶縁体上半導体
TWI396771B (zh) * 2009-08-25 2013-05-21 羅門哈斯電子材料有限公司 形成矽化鎳之強化方法
JP5615207B2 (ja) * 2011-03-03 2014-10-29 株式会社東芝 半導体装置の製造方法
CN102420176A (zh) * 2011-06-15 2012-04-18 上海华力微电子有限公司 一种改善半导体晶片翘曲的方法
US8466530B2 (en) 2011-06-30 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Co-implant for backside illumination sensor
KR102068186B1 (ko) * 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
CN103094098A (zh) * 2013-01-14 2013-05-08 陆伟 一种解决晶圆破片的方法
US9318367B2 (en) * 2013-02-27 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structure with different fin heights and method for forming the same
JP2015012241A (ja) * 2013-07-01 2015-01-19 ソニー株式会社 撮像素子およびその製造方法、ならびに電子機器
KR102133490B1 (ko) * 2013-11-11 2020-07-13 에스케이하이닉스 주식회사 트랜지스터, 트랜지스터의 제조 방법 및 트랜지스터를 포함하는 전자장치
US9159621B1 (en) * 2014-04-29 2015-10-13 Applied Materials, Inc. Dicing tape protection for wafer dicing using laser scribe process
JP6510310B2 (ja) * 2014-05-12 2019-05-08 ローム株式会社 半導体装置
WO2015195272A1 (en) * 2014-06-20 2015-12-23 Applied Materials, Inc. Methods for reducing semiconductor substrate strain variation
US9613870B2 (en) * 2015-06-30 2017-04-04 International Business Machines Corporation Gate stack formed with interrupted deposition processes and laser annealing

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000223425A (ja) 1999-02-02 2000-08-11 Nec Corp 基板処理装置、ガス供給方法、及び、レーザ光供給方法
JP2001274048A (ja) * 2000-03-24 2001-10-05 Hitachi Ltd 半導体装置の製造方法及び加工装置
JP2011119472A (ja) * 2009-12-03 2011-06-16 Panasonic Corp 半導体製造装置
US20150294917A1 (en) * 2014-04-09 2015-10-15 Tokyo Electron Limited Method for Correcting Wafer Bow from Overlay

Also Published As

Publication number Publication date
JP2018536990A (ja) 2018-12-13
JP6971229B2 (ja) 2021-11-24
US10128197B2 (en) 2018-11-13
CN116435167A (zh) 2023-07-14
KR20230152092A (ko) 2023-11-02
DE112016005136T5 (de) 2018-07-26
TW201727696A (zh) 2017-08-01
TW202015095A (zh) 2020-04-16
CN108352298B (zh) 2023-04-18
CN116435172A (zh) 2023-07-14
TWI729498B (zh) 2021-06-01
CN108352298A (zh) 2018-07-31
WO2017083037A1 (en) 2017-05-18
KR20180069920A (ko) 2018-06-25
US20170133328A1 (en) 2017-05-11
TWI675393B (zh) 2019-10-21

Similar Documents

Publication Publication Date Title
KR102584138B1 (ko) 저부 처리
US10020204B2 (en) Bottom processing
US7109087B2 (en) Absorber layer for DSA processing
US8999798B2 (en) Methods for forming NMOS EPI layers
US7838431B2 (en) Method for surface treatment of semiconductor substrates
KR20080034976A (ko) 저온 증착되는 탄소 함유 하드 마스크를 이용하는 반도체기판 프로세스
US20070243721A1 (en) Absorber layer for dsa processing
KR101124408B1 (ko) 매립된 종의 선형 포커싱된 레이저-어닐링
US6762136B1 (en) Method for rapid thermal processing of substrates
US20140363986A1 (en) Laser scanning for thermal processing
JP4391537B2 (ja) 半導体装置
WO2001035041A2 (en) Method for rapid thermal processing of substrates
JP3949122B2 (ja) 半導体装置の作製方法
JP2006066686A (ja) 不純物導入方法および不純物導入装置

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant