JP2018536990A - 底部処理 - Google Patents

底部処理 Download PDF

Info

Publication number
JP2018536990A
JP2018536990A JP2018523481A JP2018523481A JP2018536990A JP 2018536990 A JP2018536990 A JP 2018536990A JP 2018523481 A JP2018523481 A JP 2018523481A JP 2018523481 A JP2018523481 A JP 2018523481A JP 2018536990 A JP2018536990 A JP 2018536990A
Authority
JP
Japan
Prior art keywords
substrate
back side
film
annealing
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018523481A
Other languages
English (en)
Other versions
JP6971229B2 (ja
JP2018536990A5 (ja
Inventor
ジョゼフ エム. ラニッシュ,
ジョゼフ エム. ラニッシュ,
アーロン ミュアー ハンター,
アーロン ミュアー ハンター,
スワミナサン ティー. スリニバサン,
スワミナサン ティー. スリニバサン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2018536990A publication Critical patent/JP2018536990A/ja
Publication of JP2018536990A5 publication Critical patent/JP2018536990A5/ja
Application granted granted Critical
Publication of JP6971229B2 publication Critical patent/JP6971229B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • H01L21/02016Backside treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02354Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light using a coherent radiation, e.g. a laser
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/30Semiconductor bodies ; Multistep manufacturing processes therefor characterised by physical imperfections; having polished or roughened surface
    • H01L29/32Semiconductor bodies ; Multistep manufacturing processes therefor characterised by physical imperfections; having polished or roughened surface the imperfections being within the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Abstract

本明細書に開示された実施形態は、概して、基板の底部にかかる熱応力を弱めるための、基板の底部の処理のための方法及び装置に関する。基板の底部面には、基板の上表面の望ましくないストレイン及び歪みを補うストレインの修正が施される。基板の望ましくない歪みを補うストレインを生成するために、堆積、注入、熱処理、及びエッチングの任意の組み合わせにより、特異的に設計された膜を基板の裏側に形成することができる。窒化ケイ素膜又は炭素膜の水素含有量を局所的に変更することにより、局所化されたストレインを導入することができる。プリンティング、リソグラフィ、又は自己組立て技法により、構造体を形成することができる。膜の層の処理は、所望の応力マップによって決定され、アニール、注入、溶解、又はその他の熱処理を含む。
【選択図】図1

Description

[0001]本開示の実施形態は、概して、半導体処理のための方法及び装置に関する。より具体的には、本明細書に記載された実施形態は、基板の底部を処理するための方法及び装置に関する。
[0002]チップ製造の間、基板には、非均一で局所的な歪みが生じるが、これらが修正されないと、層間にリソグラフィパターンのずれが引き起される。特定の種類の歪みであれば、リソグラフィ印刷の間にパターンを光学的に適合させることができるので、位置合わせを修復することができる。しかしながら、他の種類の歪みの場合は、修正不能であり、歩留りロスが生じる。さらに、特徴サイズが縮小するにつれて、歪みに対する許容度が減少し、修正不能な歪みが増える。
[0003]以前は、制御された量のイオンをハードマスク内に局所的に注入して、局所的なストレインを生じさせることにより、歪みが修正されてきた。局所的なストレインは、既に存在しているストレインを補正する。しかしながら、選択されたイオンを含む下層に汚染が生じる場合がある。
[0004]歪みを修正する他の試みには、既に存在するストレインを補正する局所的ストレインを生成するための、ハードマスクの可変的な局所表面アニーリングがある。しかしながら、一方で、後続する処理の間、ハードマスクの表面が取り除かれる際に部分的な緩みが生じる。
[0005]したがって、当該分野では、基板の裏側を処理するための方法及び装置が必要とされている。
[0006]一実施形態では、基板の裏側を処理するための方法が開示される。当該方法は、基板の裏側に膜を堆積することと、基板をアニールすることと、基板の裏側に注入を行うこととを含む。当該方法は、基板の裏側を熱処理することをさらに含む。
[0007]別の実施形態では、基板の裏側を処理するための方法が開示される。当該方法は、基板をアニールすることと、基板の裏側を注入することと、基板の裏側を熱処理することとを含む。当該方法は、基板の裏側をエッチングすることと、パターニングのために基板を位置合わせすることとをさらに含む。
[0008]さらに別の実施形態では、基板を処理するためのツールが開示される。ツールは、基板の裏側に複数の膜層を堆積するための処理チャンバを含む。処理チャンバは、移送チャンバ、堆積ツール、アニールツール、及びエッチングツールを含む。アニールツールは、基板の裏側の複数の膜層をアニールし、基板端部支持体を含む。エッチングツールは、基板の裏側をエッチングし、基板端部支持体を含む。
[0009]本開示の上述の特徴を詳細に理解することができるように、上記で簡単に要約された本開示のより具体的な説明は、実施形態を参照することによって、得ることができる。そのうちの幾つかの実施形態は添付の図面で例示されている。しかしながら、本開示は他の等しく有効な実施形態も許容し得るため、添付の図面は、本開示の典型的な実施形態のみを示しており、したがって、本発明の範囲を限定すると見なすべきではないことに留意されたい。
一実施形態に係る、基板の裏側を処理するための方法の動作を概略的に示す。 一実施形態に係る、基板の裏側を処理するための方法の動作を概略的に示す。 一実施形態に係る、堆積チャンバの概略図を示す。 一実施形態に係る、基板を熱処理するための装置の概略等角図を示す。 一実施形態に係る、基板を熱処理するための急速熱処理チャンバの概略等角図を示す。 一実施形態に係る、基板を熱処理するための装置の概略図を示す。 一実施形態に係る、エッチングリアクタの概略図を示す。 一実施形態に係る、複数の基板処理チャンバを有するクラスタツール移送チャンバの概略上面図を示す。
[0018]理解を容易にするため、可能な場合、図に共通する同一の要素を指し示すために同一の参照番号が使用された。具体的な記述がなくとも、一方の実施形態で開示された要素を他の実施形態で有益に利用できると考えられている。
[0019]本明細書に開示された実施形態は、概して、基板の底部にかかる熱応力を弱めるための、基板の底部の処理のための方法及び装置に関する。基板の底部面には、基板の上表面の望ましくないストレイン及び歪みを補うストレインの修正が施される。基板の望ましくない歪みを補うストレインを生成するために、堆積、注入、熱処理、及びエッチングの任意の組み合わせにより、特異的に設計された膜を基板の裏側に形成することができる。窒化ケイ素膜又は炭素膜の水素含有量を局所的に変更することにより、局所化されたストレインを導入することができる。プリンティング、リソグラフィ、又は自己組立て技法により、構造体を形成することができる。膜の層の処理は、所望の応力マップによって決定され、アニール、注入、溶解、又はその他の熱処理を含む。
[0020]本明細書で使用される場合、基板は、薄膜トランジスタ(TFT)又は同等物の製造に使用される、単結晶シリコン基板、シリコン・オン・インシュレータ(SOI)、それらのシリコンゲルマニウム又は合金、上部にシリコン層が載っているガラス又は石英基板などの任意の適切な基板である。基板は、基板のデバイス側に形成されたデバイス及び構造体を有し得る。
[0021]図1は、基板の前面のストレインを補うために、基板の裏側を処理するための方法100の動作を示す。
[0022]動作110では、膜が基板の裏側に堆積される。この堆積は、カリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能な任意のPRODUCER(登録商標)シリーズのチャンバを用いて完成させることができる。幾つかの実施形態では、膜は、基板の裏側に堆積されたブランケット膜であり得る。膜は、アモルファスカーボン膜、酸化ケイ素膜、又は窒化ケイ素膜であってもよく、又はそれらを含んでもよい。
[0023]膜の領域が基板の対応領域に堆積される。膜は、基板の裏側に堆積され得る。特定の実施形態では、膜の領域は、基板の前面のダイに対応する。膜は、約40ナノメートルから約120ナノメートルの間の厚さで堆積され得る。特定の実施形態では、膜は、基板の端部に堆積され得る。
[0024]当該分野で周知の標準的なパターニング技法を用いて、異なる厚さ又は様々な厚さの層が基板の裏側で形成され得る。したがって、膜層をアニールする際に種々の応力が生じ得る。例えば、幾つかの実施形態では、ブランケット膜層を基板の裏側に堆積してもよく、追加の膜を所望の位置に選択的に堆積するためにマスクを使用してもよい。ブランケット膜内の応力及び追加の膜は、処理条件を調節することにより、選択且つ適用することができる。
[0025]例えば、アモルファスカーボン層が基板の裏側に堆積されてもよい。酸化ケイ素層が、アモルファスカーボン層に堆積され得る。酸化ケイ素層は、マスクを形成するためにパターニングされてもよく、次いで、第2のアモルファスカーボン層が基板の上に堆積されてもよい。酸化ケイ素マスクを露出するために基板の裏側を平坦化してもよく、その後、酸化ケイ素マスクは取り除かれ、選択的に様々な厚さを有するアモルファスカーボン層が残る。
[0026]動作120では、基板の裏側がアニールされる。アニーリングにより、裏側の膜の構造が変わり、任意の所望のパターンに従って膜内のストレインが調節される。例えば、アニーリングは、堆積された膜内のストレインを緩めることができる。膜を選択的且つ局所的にアニールして、基板の裏側に修正ストレインを生成して、基板の前面の望ましくないストレインを補うことができる。幾つかの実施形態では、堆積中に膜に選択的に追加されたストレインを調節するために、あるステップでは、膜をブランケットアニールしてもよい。一実施形態では、修正ストレインは、設計された膜層を基板の裏側に適用することにより生成される。別の実施形態では、修正ストレインは、以前の処理から既に存在している膜層を利用することにより生成される。
[0027]幾つかの実施形態では、アニーリングは、スポットアニールである。スポットアニールは、基板の裏側の選択位置で行われる。アニール操作は、様々な種類のエネルギーを使用し得る。幾つかの実施形態では、アニーリングは、ナノ秒アニール処理である。他の実施形態では、アニーリングは、ミリ秒アニール処理である。
[0028]アニーリングにより、基板の裏側の所望の層が変化することとなり、このことは、堆積された層内の応力及び/又はストレインを緩和するために使用され得る。応力及び/又はストレインを選択的に緩和することにより、基板内の応力のパターンが作られ、熱処理により生じた構造的な非均一性が補われる。基板全体の応力状態は、アニール処理の間に変化し得るので、アニール処理は、後続の処理によってさらに変化する基板の中間的応力/ストレイン状態を生じさせるように設計され得る。
[0029]幾つかの実施形態では、複数の区域が基板の裏側で画定されてもよく、各区域は、異なる処理条件を用いてアニールされてもよい。例えば、第1の区域は、ナノ秒アニール処理によりアニールされてもよく、第2の区域は、ミリ秒アニール処理によりアニールされてもよい。別の実施形態では、材料の層は、基板の裏側に選択的に堆積されてもよい。例えば、アモルファスカーボンが第1の層に堆積されてもよいが、他の層は、二酸化ケイ素でコーティングされてもよい。
[0030]動作130では、基板の裏側に注入が行われる。この注入は、カリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能な任意のVIISTA(登録商標)チャンバを用いて完成させることができる。注入は、ドーパントを加えて裏側の膜の応力を調節するもう一つの方法である。本開示で用いられ得る膜の種類には、アモルファスカーボン材料を含むアドバンスドパターニングフィルム(APF(登録商標))が含まれる。したがって、ドープされたアモルファスカーボンが堆積されてもよく、ドープされていないアモルファスカーボンが堆積されてもよく、又は、ドープされていないアモルファスカーボンが堆積され、その後ドープされてもよい。膜は、窒化物、金属シリサイド、又は相変化が起きるその他の任意の材料のうちの1つをさらに含んでもよい。幾つかの実施形態では、膜が基板内へと溶解又は拡散するので、膜は自己吸収型であってもよい。
[0031]注入されたドーパントは、堆積された層内の応力を調節するために選択されてもよい。ドーパントは、膜の特定領域の応力を修正するために、パターンに従って注入され得る。応力は、引張応力及び/又は圧縮応力であり得る。ドーパントは、金属又は非金属であってもよい。ドーパントは、He、Ne、Ar、F、Cl、Br、O、N、P、As、Si、Ge、Sn、B、Al、Ga、In、Zn、Cu、Ag、Au、Ni、Ti、及びそれらの組み合わせ又は合金を含み得る。
[0032]注入は、イオンビーム又はプラズマによって実行され得る。幾つかの実施形態においては、注入は、直接注入であってもよい。他の実施形態では、注入は、拡散の後の堆積であり得る。場合によっては、拡散注入処理の間にキャップ層が使用され得る。
[0033]様々な実施形態では、基板の裏側がエッチングされる。以下で説明されるように、エッチングチャンバは、基板の裏側をエッチングし得る。エッチング処理は、マスク又は他のパターン特徴を用いて、所望のパターンに従って進行し、基板の裏側に対して特異なパターン化された応力を適用することにより、基板全体にわたって応力に影響を与えることができる。エッチング処理は、基板の裏側に対して特異なブランケット応力を適用するための、基板の裏側から材料をブランケット除去(blanket removal)することであり得る。ブランケット応力の特異性(differential)は、基板のある部分よりも、基板の別の部分の全体的な局所的応力を変えるのに効果的であり得る。このことは、幾つかの実施形態では有用であり得る。
[0034]様々な実施形態では、基板の前面のストレインを補うために、基板の裏側に応力のパターンが形成され得る。幾つかの実施形態では、膜層が基板の裏側に堆積され得る。アモルファスカーボンなどの膜層は、引張応力又は圧縮応力のいずれかの特定の堆積された応力を維持する。応力を緩和するために堆積された膜層を選択位置でアニールすることができる。
[0035]別の実施形態では、アモルファスカーボンは、基板の裏側に堆積され、選択的に注入され得る。その後、基板は、アニールされて、異なる応力マトリックスをつくるパターンが形成され得る。結果的に基板の裏側に応力のパターンが生じる。
[0036]別の実施形態では、異なる厚さを有する膜層が基板の裏側に堆積され得る。その後、基板は、パターンに従ってアニールされ得る。結果的に、基板の裏側に応力のパターンが生じる。
[0037]別の実施形態では、基板の裏側は、膜層の選択的除去のためにエッチングされ得、結果的に、基板の裏側に種々の厚さとパターンの応力が生じる。
[0038]方法100は、パターニングのために基板を位置合わせすることと、基板内の歪みを位置特定することと、基板を平らにすることにより、歪みを補うこととをさらに含み得る。
[0039]図2は、基板の前面のストレインを補うために、基板の裏側を処理するための方法200の動作を示す。
[0040]動作210では、基板の裏側がアニールされる。アニーリングにより、裏側の膜の構造が変わり、任意の所望のパターンに従って膜内のストレインが調節される。例えば、アニーリングは、堆積された膜内のストレインを緩めることができる。膜を選択的且つ局所的にアニールして、基板の裏側に修正ストレインを生成して、基板の前面の望ましくないストレインを補うことができる。幾つかの実施形態では、堆積中に膜に選択的に追加されたストレインを調節するために、あるステップでは、膜をブランケットアニールしてもよい。一実施形態では、修正ストレインは、設計された膜層を基板の裏側に適用することにより生成される。別の実施形態では、修正ストレインは、以前の処理から既に存在している膜層を利用することにより生成される。
[0041]幾つかの実施形態では、アニーリングは、スポットアニーリングである。スポットアニーリングは、基板の裏側の選択位置で行われる。アニーリング操作は、様々な種類のエネルギーを使用し得る。幾つかの実施形態では、アニーリングは、ナノ秒アニール処理である。他の実施形態では、アニーリングは、ミリ秒アニール処理である。
[0042]アニーリングにより、基板の裏側の所望の層が変化することとなり、これは、堆積された層内の応力及び/又はストレインを緩和し、基板の裏側に対して特異な所望の応力を適用し、且つ/又は基板の応力プロファイルを変えるために使用され得る。応力及び/又はストレインを選択的に緩和することにより、基板内の応力のパターンが作られ、熱処理により生じた構造的な非均一性が補われる。基板全体の応力状態は、アニール処理の間に変化し得るので、アニール処理は、後続の処理によってさらに変化する基板の中間的応力/ストレイン状態を生じさせるように設計され得る。
[0043]幾つかの実施形態では、複数の区域が基板の裏側で画定されてもよく、各区域は、異なる処理条件を用いてアニールされてもよい。例えば、第1の区域は、ナノ秒アニール処理によりアニールされてもよく、第2の区域は、ミリ秒アニール処理によりアニールされてもよい。別の実施形態では、材料の層は、基板の裏側に選択的に堆積されてもよい。例えば、アモルファスカーボンが第1の層に堆積されてもよいが、他の層は、二酸化ケイ素でコーティングされてもよい。
[0044]動作220では、基板の裏側に注入が行われる。この注入は、カリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能な任意のVIISTA(登録商標)チャンバを用いて完成させることができる。注入は、ドーパントを加えて裏側の膜の応力を調節するもう一つの方法である。本開示で用いられ得る膜の種類には、アモルファスカーボン材料を含むアドバンスドパターニングフィルム(APF(登録商標))が含まれる。したがって、ドープされたアモルファスカーボンが堆積されてもよく、ドープされていないアモルファスカーボンが堆積されてもよく、又は、ドープされていないアモルファスカーボンが堆積され、その後ドープされてもよい。膜は、窒化物、金属シリサイド、又は相変化が起きるその他の任意の材料のうちの1つをさらに含んでもよい。幾つかの実施形態においては、膜は自己吸収型であってもよい。
[0045]注入されたドーパントは、堆積された層内の応力を調節するために選択されてもよい。ドーパントは、膜の特定領域の応力を修正するために、パターンに従って注入され得る。応力は、引張応力及び/又は圧縮応力であり得る。ドーパントは、金属又は非金属であってもよい。ドーパントは、He、Ne、Ar、F、Cl、Br、O、N、P、As、Si、Ge、Sn、B、Al、Ga、In、Zn、Cu、Ag、Au、Ni、Ti、及びそれらの組み合わせ又は合金を含み得る。
[0046]注入は、イオンビーム又はプラズマによって実行され得る。幾つかの実施形態においては、注入は、直接注入であってもよい。他の実施形態では、注入は、拡散の後の堆積であり得る。場合によっては、拡散注入処理の間にキャップ層が使用され得る。
[0047]動作230では、基板の裏側がエッチングされる。以下で説明されるように、エッチングチャンバは、基板の裏側をエッチングし得る。動作240では、基板がパターニングのために位置合わせされる。
[0048]幾つかの実施形態では、方法200は、基板の裏側に膜を堆積することをさらに含み得る。リソグラフィのためのパターニングを促進するため、ある種類の膜が使用され得る。したがって、膜は、窒化物、金属シリサイド、又は相変化が起きるその他の任意の材料のうちの1つを含む。幾つかの実施形態においては、膜は自己吸収型である。膜の領域が基板の対応領域に堆積される。特定の実施形態では、膜の領域は、基板の前面のダイに対応する。膜は、約40ナノメートルから約120ナノメートルの間の標準的な深さで堆積される。
[0049]他の実施形態では、方法200は、基板内の歪みを位置特定することと、基板を平らにすることにより、歪みを補うこととをさらに含む。
[0050]図3は、基板を処理するための装置を示す。図3の装置は、以上で説明されたように膜を基板の裏側に堆積するためのプラズマ堆積チャンバであり得る。
[0051]図3は、2つの処理領域318、320を画定するチャンバ300の概略断面図を示す。チャンバ本体302は、側壁312、内壁314、及び2つの処理領域318、320を画定する底部壁316を含む。各処理領域318、320内の底部壁316は、ペデスタルヒータ328のステム326及び基板リフトピンアセンブリのロッド330がそれぞれ配置される少なくとも2つの通路322、324を画定する。
[0052]側壁312及び内壁314は、2つの円筒環状処理領域318、320を画定する。処理領域318、320からガスを排気し、且つ各領域318、320内の圧力を制御するために、周縁ポンピングチャネル325が、円筒処理領域318、320を画定するチャンバ壁内に形成される。セラミック材料等から作製されたチャンバライナ又はインサート327は、各処理領域318、320内に配置され、各処理領域の横方向の境界を画定し、腐食性処理環境からチャンバ壁312、314を保護し、且つ電極間の電気的に絶縁されたプラズマ環境を維持する。ライナ327は、チャンバ内において各処理領域318、320の壁312、314に形成されたレッジ329で支持される。ライナは、複数の排気口331又は周縁スロットを含み、これらの排気口は、ライナを通るように配置され、チャンバ壁に形成されたポンピングチャネル325と連通する。一実施形態では、各ライン327を通るように配置されるポート331が約24個あり、これらのポートは、約15度で離間され、処理領域318、320の周囲に配置されている。以上では24個のポートと記載されているが、所望のポンピング速度及び均一性を達成するために、任意の数を用いてもよい。ポートの数に加えて、ガス供給システムの面プレートに対するポートの高さが制御され、処理中に基板に対して最適なガス流パターンをもたらされる。
[0053]幾つかの実施形態では、チャンバ300は、基板端部支持体380を備えている。基板端部支持体380は、ペデスタルヒータ328の上の基板の端部分を支持するための、連続的又は非連続的な壁又は複数のポストであり得る。幾つかの実施形態では、基板端部支持体380は、基板のデバイス側とペデスタルヒータ328との間の直接的な接触を防ぎ、基板の裏側に層を堆積することを可能にする。
[0054]以上で説明されるように、幾つかの実施形態では、ペデスタルヒータの上の基板の端部分を支持するための端部支持体380が利用され得る。しかしながら、幾つかの実施形態では、基板は、複数のピンによって支持され得る。複数のピンは、基板の端部に近接する位置を含む基板上の任意の位置で基板に接触し得る。ピン支持体は、基板の底部応力膜側のフラッシュ加熱を可能にし得る。さらに、幾つかの実施形態では、基板が端部で安置且つ/又は支持された状態で、基板の裏側がレーザアニール又は加熱され得る。
[0055]図4は、基板の熱処理のためのシステム400の平面図である。システム400は、以下で説明するように、パルスレーザ放射を基板に適用するよう利用され得る。特に、システム400は、ナノ秒アニール処理で利用され得る。さらに、システム400は、以下で説明するように、基板の裏側をアニールするために利用され得る。
[0056]システム400は、複数のパルスレーザパルスを生成する複数のパルスレーザ源を有するエネルギーモジュール402、個々のパルスレーザパルスをコンビネーションパルスレーザパルスに組み合わせ、コンビネーションレーザパルスの強度、周波数特性、及び極性特性を制御するパルス制御モジュール404、組み合わされたパルスレーザパルスのパルスの一時プロファイルを調節するパルス成形モジュール406、パルスの空間的エネルギー分配を調節し、コンビネーションパルスレーザパルスを単一の均一なエネルギーフィールドに重ね合せるホモジナイザ408、エネルギーフィールドから残留する端部の非均一性を取り除くアパーチャ部材416、及びレーザエネルギーフィールドと基板支持体410に配置された基板との正確な位置合わせを可能にする位置合わせモジュール418を備えている。コントローラ412は、レーザパルスの生成を制御するためにエネルギーモジュール402に連結され、パルス特性を制御するためにパルス制御モジュール404に連結され、且つエネルギーフィールドに対する基板の運動を制御するために基板支持体410に連結される。筐体414は、典型的に、システム400の作動コンポーネントを取り囲む。幾つかの実施形態では、システム400は、高い熱応力から基板の端部を遮蔽するシャドウリング490をさらに備えている。
[0057]基板支持体410は、同様の目的のために、図3に関連して以上で説明された端部支持体380に実質的に類似する端部支持が特徴であり得る。特定のターゲット区域を処理するために基板を位置付けるようステージが移動する際に、基板端部とシャドウリング490との間に適切な小空間を設けることにより、端部支持体上の基板の望ましくない運動を最小限にすることができる。例えば、基板が300mm基板である場合、シャドウリング490は、150.2mm以下の内半径を有し得る。
[0058]以上で説明されるように、幾つかの実施形態では、基板の端部分を支持するために、端部支持体が利用され得る。しかしながら、幾つかの実施形態では、基板は、複数のピンによって支持され得る。複数のピンは、基板の端部に近接する位置を含む基板上の任意の位置で基板に接触し得る。ピン支持体は、基板の底部応力膜側のパルスレーザ処理を可能にすることができる。さらに、幾つかの実施形態では、基板が端部で安置且つ/又は支持された状態で、基板の裏側がレーザ処理又は加熱され得る。
[0059]レーザは、例えば、高出力レーザ照射の短いパルス(例えば、約100ナノ秒未満の時間)を形成することが可能な任意の種類のレーザであり得る。典型的に、約30を上回るMを有する500を越える空間モードを有する高いモダリティのレーザが使用される。Nd:YAG、Nd:ガラス、チタンサファイア、又は他の希土類ドープされた結晶レーザなどの固体レーザが使用されることが多いが、エキシマレーザ、例えばXeCl、ArF、又はKrFレーザなどのガスレーザが使用され得る。レーザは、例えば、qスイッチング(受動的又は能動的)、ゲイン切り換え、又はモードロックによって切り替えることができる。レーザが放射するビームを遮ってパルスを形成するために、ポッケルスセル(Pockels cell)をレーザの出力の近傍で使用してもよい。概して、パルスレーザ処理に使用可能なレーザは、約1ナノ秒から約100マイクロ秒の間の時間で、約100mJから約10Jの間のエネルギー含有量(典型的に、約8ナノ秒で約1J)を有するレーザ放射のパルスを生成することが可能である。レーザは、約200nmから約2000nmの間、例えば、約400nmから約1000nmの間、例えば、約532nmの波長を有し得る。一実施形態では、レーザは、qスイッチ周波数倍加Nd:YAGレーザ(q−switched frequency−doubled Nd:YAG laser)である。レーザは、すべて同じ波長で作動してもよく、又は、レーザのうちの1つ又は複数は、エネルギーモジュール402の中で他のレーザとは異なる波長で作動してもよい。所望の出力レベルを実現するためにレーザを増幅してもよい。ほとんどの場合、増幅媒体は、レーザ処理媒体と同じ又は類似した組成となる。個々のレーザパルスは、通常、それ自体によって増幅されるが、幾つかの実施形態では、組み合わせの後にすべてのレーザパルスが増幅され得る。
[0060]基板に送達される典型的なレーザパルスは、複数のレーザパルスの組み合わせである。複数のパルスは、制御された時間及び互いに対して制御された態様で生成され、組み合わされると、レーザ放射の単一のパルスが生じることになる。この単一のパルスは、エネルギー上昇、期間、及び衰退が制御された一時的且つ空間的エネルギープロファイルを有し、エネルギーの非均一性の空間的分布が制御されている。コントローラ412は、各レーザからのパルスの生成を制御するために、各レーザ(例えば、各レーザの各スイッチ)に連結されたパルス発生器(例えば、電圧源に連結された電子タイマー)を有し得る。
[0061]複数のレーザは、各レーザがパルス制御モジュール404内に出現するパルスを生成するように配置される。パルス制御モジュール404は、1つ又は複数のパルスコントローラ405を有し得る。1つ又は複数のパルスは、パルス制御モジュール404から出て、1つ又は複数のパルス成形器407を有するパルス成形モジュール406に入る。
[0062]幾つかの実施形態では、レーザ放射は、基板支持体410の下方から、デバイス側が上向きの状態で端部支持体に置かれた基板に向けて方向付けられ得る。基板支持体410の下方から基板の裏側に向けてレーザ放射を可能にするために、基板支持体410内の窓又は開口が設けられ得る。
[0063]図5は、基板を熱処理するためのシステム500の概略図である。システム500は、以上で説明されたように、基板を、ランプアニールによりアニール且つ/又は化学的にフラッシュアニールするように利用されてもよい。さらに、システム500は、以上で説明されたように、基板の裏側をアニールするために利用され得る。
[0064]図5は、急速熱処理(RTP)チャンバ500の一実施形態の単純化された等角図である。本開示から利益を得るように適合され得る急速熱処理チャンバの一例としては、カリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能な任意のVULCAN(登録商標)チャンバがある。処理チャンバ500は、非接触型又は磁気浮上型の基板支持体504と、内部空間520を画定する壁508、底部510、及び頂部512を有するチャンバ本体502とを含む。壁508は、典型的には、基板540(その一部分を図5に示す)の出入りを助ける少なくとも1つの基板アクセスポート548を含む。アクセスポートは、移送チャンバ(図示せず)又はロードロックチャンバ(図示せず)に連結されてよく、スリットバルブのようなバルブ(図示せず)で選択的に封止され得る。一実施形態では、基板支持体504は環状であり、チャンバ500は、基板支持体504の内径に配置された放射熱源506を含む。
[0065]基板支持体504は、内部空間520の中で磁気浮揚し、回転するよう適合されている。基板支持体504は、処理中に、垂直に上昇及び下降しつつ回転可能であり、且つ、処理前、処理中、又は処理後に、回転せずに上昇又は下降することもできる。この磁気浮揚及び/又は磁気的な回転は、基板支持体を上昇/下降し且つ/又は回転させるために通常使用される可動部品の不存在又は減少による粒子生成を防ぐか、又は最小化する。
[0066]チャンバ500は、赤外(IR)スペクトル内の光を含み得る様々な波長の熱及び光に対して透過性の材料から作製された窓514をさらに含む。窓514を通して、放射熱源506からの光子が基板540を加熱し得る。窓514は、基板540に選択的に接触し且つ基板540を選択的に支持するように適合された、窓514の上表面に連結された複数のリフトピン544を含み得、それにより、処理チャンバ500に出入りする基板の搬送を容易にする。
[0067]一実施形態では、放射熱源506は、冷媒源583に連結された冷媒アセンブリ(図示せず)内に複数のハニカムチューブ560を含むハウジングから形成されたランプアセンブリを含む。冷媒源583は、水、エチレングリコール、窒素(N)、及びヘリウム(He)のうちの1つ又はこれらの組み合わせであってもよい。ハウジングは、冷媒源583からの冷媒の流れのための適切な冷却チャネルが形成された銅材料又は他の適切な材料から作製されてもよい。各チューブ560は、リフレクタ、及びハニカム状パイプ配置が形成される高輝度ランプアセンブリ又はIR放出体を含み得る。基板540の加熱の動的制御は、基板540の端から端までの温度を測定するよう適合された、1つ又は複数の温度センサ517(以下でより詳細に説明する)により影響を受ける場合がある。
[0068]ステータアセンブリ518は、チャンバ本体502の壁508を取り囲み、1つ又は複数のアクチュエータアセンブリ522に連結されている。アクチュエータアセンブリ522は、チャンバ本体502の外側に沿ったステータアセンブリ518の上昇を制御する。
[0069]雰囲気制御システム564もチャンバ本体502の内部空間520に連結されている。雰囲気制御システム564は、概して、チャンバ圧力を制御するスロットルバルブ及び真空ポンプを含む。雰囲気制御システム564は、追加的に、処理ガス又は他のガスを内部空間520に供給するためのガス源を含み得る。雰囲気制御システム564は、熱堆積処理のための処理ガスを供給するようにさらに適合され得る。
[0070]処理チャンバ500は、概して中央処理装置(CPU)530、サポート回路528、及びメモリ526を含む、コントローラ524をさらに含む。CPU530は、様々な動作及びサブプロセッサを制御するために産業用設定で使用可能である、任意の形態のコンピュータプロセッサの1つであり得る。メモリ526又はコンピュータ可読媒体は、ランダムアクセスメモリ(RAM)、読取り専用メモリ(ROM)、フロッピーディスク、ハードディスク、又は、ローカル或いは遠隔の、他の任意の形態のデジタルストレージのような1つ又は複数の容易に入手可能なメモリであってよく、典型的には、CPU530に連結される。サポート回路528は、従来の様態でコントローラ524をサポートするように、CPU530に連結される。これらの回路は、キャッシュ、電力供給装置、クロック回路、入出力回路、サブシステムなどを含む。
[0071]チャンバ500は、1つ又は複数のセンサ516をさらに含み得る。1つ又は複数のセンサ516は、概して、チャンバ本体502の内部空間520の中の基板支持体504(又は基板540)の浮揚を検出するよう適合されている。センサ516は、チャンバ本体502及び/又は処理チャンバ500の他の部分に連結されてもよく、基板支持体504と、チャンバ本体502の頂部512及び/又は底部510との間の距離を示す出力を与えるように適合されており、且つ、基板支持体504及び/又は基板540の位置ずれを検出することもできる。1つ又は複数のセンサ516は、コントローラ524に連結されている。コントローラ524は、センサ516から出力メトリックを受信し、基板支持体504の少なくとも一部を上昇又は下降させるために1つ又は複数のアクチュエータアセンブリ522に信号を供給する。1つ又は複数のセンサ516は、超音波型、レーザ型、誘導型、容量性であってもよく、又はチャンバ本体502の中の基板支持体504の近接度を検出可能な他の種類のセンサであってもよい。
[0072]チャンバ500は、処理前、処理中、及び処理後に基板540の温度を感知するよう適合され得る1つ又は複数の温度センサ517をさらに含む。図5に示す実施形態では、温度センサ517は、頂部512を貫くように配置されているが、チャンバ本体502の内部及び周囲の他の位置を使用してもよい。
[0073]図6は、基板を熱処理するための装置600を概略的に示す。特に、装置600は、ミリ秒アニール処理で利用され得る。さらに、システム600は、以上で説明されたように、基板の裏側をアニールするために利用され得る。
[0074]装置600は、連続波電磁放射モジュール601、基板614を受容するように構成されたステージ616、及び平行移動機構618を備えている。連続波電磁放射モジュール601は、連続波電磁放射源602、及び連続波電磁放射源602とステージ616との間に配置された集束光学系620を備えている。
[0075]連続波電磁放射源602は、光などの電磁放射の「連続波」又は光線を発することが可能である。「連続波」といった場合、放射源が、放射を連続的に発するよう(すなわち、放射のバースト、パルス、又はフラッシュではないよう)構成されていることを意味する。これは、典型的に光のバースト又はフラッシュを用いる、レーザアニーリングで用いられるレーザとは異なる。
[0076]さらに、連続波電磁放射が、基板表面において又はその近くで吸収される際には、放射は、基板が放射を吸収する範囲内の波長を有する。シリコン基板の場合、連続波電磁放射は、190nmから950nmの間、例えば、約810nmの波長を有する。
[0077]代替的に、UVにおいて又はUVの近くで、高出力連続波電磁放射源の操作が用いられてもよい。このような連続波電磁放射レーザ源が生成する波長は、ほとんどの他の状況では反射性の材料によって強力に吸収される。
[0078]一実施形態では、連続波電磁放射源602は、少なくとも15秒間、連続的に放射を発することが可能である。別の実施形態では、連続波電磁放射源602は、複数のレーザダイオードを含み、各レーザダイオードは、同じ波長で均一且つ空間的にコヒーレントな光を生じさせる。レーザダイオードの出力は、0.5kWから50kWの範囲内(例えば、約5kW)にある。適切なレーザダイオードは、カリフォルニア州サンタクララのCoherent Inc.、カリフォルニア州のSpectra−Physics、又はミズーリ州セントチャールズのCutting Edge Optronics, Inc.によって製作される。レーザダイオードの一例は、Cutting Edge Optronics, Inc.によって製作されるが、別の適切なレーザダイオードは、レーザダイオードモジュール毎に40から480ワットの連続波出力を供給するSpectra−Physics製のMONSOON(登録商標)マルチバーモジュール(MBM)である。
[0079]集束光学系620は、連続波電磁放射源602からの放射604を実質的に平行なビーム608へとコリメートする1つ又は複数のコリメータ606を含む。コリメートされた放射608は、次いで、少なくとも1つのレンズ610によって集束され、基板614の上面624において放射622のラインとなる。
[0080]レンズ610は、放射を一本のラインに集束し得る、任意の適切なレンズ又は一連のレンズである。一実施形態で、レンズ610は、円筒状レンズであってもよい。代替的に、レンズ610は、1つ又は複数の凹レンズ、凸レンズ、平面鏡、凹面鏡、凸面鏡、屈折レンズ、回折レンズ、フレネルレンズ、屈折率分布レンズなどであってもよい。
[0081]ステージ616は、以下で説明するように、基板を平行移動させるためのプラットフォームを含み得る。ステージ616は、基板の裏側処理のための、図3の端部支持体390に類似する端部支持体を含み得る。
[0082]以上で説明されるように、幾つかの実施形態では、基板の端部分を支持するために、端部支持体が利用され得る。しかしながら、幾つかの実施形態では、基板は、複数のピンによって支持され得る。複数のピンは、基板の端部に近接する位置を含む基板上の任意の位置で基板に接触し得る。ピン支持体は、基板の底部応力膜側の熱処理を可能にすることができる。さらに、幾つかの実施形態では、基板が端部で安置且つ/又は支持された状態で、基板の裏側が熱処理され得る。
[0083]装置600は、ステージ616及び放射622のラインを互いに対して平行移動させるように構成された平行移動機構618をさらに含む。一実施形態では、平行移動機構618は、ステージ616に連結されており、ステージ616を連続波電磁放射源602及び/又は集束光学系620に対して移動させる。別の実施形態では、平行移動機構は、連続波電磁放射源602及び集束光学系620の両方に連結されており、連続波電磁放射源602及び/又は集束光学系620をステージ616に対して移動させる。さらに別の実施形態では、平行移動機構618は、連続波電磁放射源602、集束光学系620、及びステージ616を移動させる。コンベヤシステム、ラック・アンド・ピニオンシステムなどの任意の適切な平行移動機構を使用してもよい。
[0084]幾つかの実施形態では、装置600は、高い熱応力から基板614の端部を遮蔽するシャドウリング690を備えている。上述のように、シャドウリング690は、ステージ616上の基板の望ましくない運動を防ぐように寸法形成され得る。
[0085]図7は、エッチングリアクタ700の概略図を示す。エッチングリアクタ700は、以上で説明されたように、基板の裏側をエッチングするように利用され得る。
[0086]特定の実施形態では、エッチングリアクタ700は、イオンラジカルシールド770を含み得る。本明細書に開示された教示との使用に適合され得る適切なリアクタには、例えば、脱結合プラズマ源(DPS(登録商標))IIリアクタ、又はテトラI及びテトラIIフォトマスクエッチングシステムが含まれ、これらすべては、カリフォルニア州サンタクララのApplied Materials,Inc.から入手可能である。DPS(登録商標)IIリアクタは、さらにApplied Materials,Inc.から入手可能である、CENTURA(登録商標)一体型半導体ウエハ処理システムの処理モジュールとして使用されてもよい。本明細書に示すリアクタ700の特定の実施形態は、例示を目的として提示されており、本開示の範囲を制限するように使用されてはならない。
[0087]リアクタ700は、概して、導電体(壁)704内に基板ペデスタル724を有する処理チャンバ702、及びコントローラ746を備えている。チャンバ702は、実質的に平らな誘電体天井部708を有する。チャンバ702の他の修正形態は、例えばドーム形状の天井などの他の種類の天井部を有してもよい。アンテナ710が天井708の上に配置されている。アンテナ710は、選択的に制御され得る1つ又は複数の誘導コイル素子を備えている(図7では2つの同軸素子710a及び710bが示されている)。アンテナ710は、第1のマッチングネットワーク714を通して、プラズマ出力源712に連結される。プラズマ出力源712は、通常、約50kHzから約13.56MHzまでの範囲で調整可能な周波数で、最大約3000W生成することができる。
[0088]基板ペデスタル(カソード)724は、第2のマッチングネットワーク742を通して、バイアス電源740に連結される。バイアス電源740は、通常、常時電力又はパルス電力のいずれかを発生させることが可能な、およそ13.56MHzの周波数での、最大約500Wの電源である。代替的に、電源740は、直流電源、又はパルス直流電源であり得る。
[0089]一実施形態では、基板支持ペデスタル724は、基板端部支持をもたらす。一実施形態では、基板支持ペデスタル724は、静電チャック760を備えている。静電チャック760は、少なくとも1つのクランプ電極732を備え、チャック電源766によって制御される。代替的な実施形態では、基板ペデスタル724は、サセプタクランプリング、機械式チャックのような基板保持機構を備えてもよい。
[0090]基板(レチクル)722を基板支持用ペデスタル724に固定するためにレチクルアダプタ782が使用される。レチクルアダプタ782は、通常、ペデスタル724の上面(例えば、静電チャック760)を覆うようにフライス加工された下部784と、基板722を保持するように寸法形成された開口部788を有する最上部786とを含む。開口部788は、概して、ペデスタル724に対して実質的に中心にある。アダプタ782は、概して、耐エッチング、耐高温材料(例えば、ポリイミド、セラミック、石英)の単体で形成されている。エッジリング726が、アダプタ782を覆い、且つ/又はアダプタ782をペデスタル724に固定し得る。
[0091]昇降機構738は、アダプタ782を昇降させるように用いられ、そして、基板722を基板支持用ペデスタル724上に置くか又はそこから離すように用いられる。概して、昇降機構762は、それぞれのガイド孔736を通って移動する複数のリフトピン730(一個のリフトピンが図示)を備えている。
[0092]動作中、基板722の温度は、基板ペデスタル724の温度を安定化させることにより制御される。一実施形態では、基板支持ペデスタル724は、抵抗ヒータ744、及びヒートシンク728を備えている。抵抗ヒータ744は、概して、少なくとも1つの加熱素子734を備え、ヒータ電源768により制御される。ガス源756からの裏側ガス(例えば、ヘリウム(He))は、ガス導管758を介してチャネルに供給される。これらのチャネルは、基板722の下方のペデスタル面内に形成されている。裏側ガスは、ペデスタル724と基板722との間の熱伝達を容易にするために使用される。処理中、ペデスタル724は、内蔵された抵抗ヒータ744により定常温度まで加熱可能である。これにより、ヘリウム裏側ガスとの組み合わせで、基板722の均一加熱が促進される。このような熱的制御を用いて、基板722を約0から約350℃の間の温度に維持することができる。
[0093]イオン・ラジカルシールド770は、ペデスタル724の上方でチャンバ702内に配置されている。イオン・ラジカルシールド770は、チャンバ壁704とペデスタル724から電気的に絶縁され、通常、実質的に平らなプレート772及び複数の脚部776を備えている。プレート772は、チャンバ702内で、ペデスタルの上方で脚部776によって支持されている。プレート772は、プレート772の表面における所望の開口領域を画定する1つ又は複数の開口部(開孔)774を画定する。イオン・ラジカルシールド770の開口領域は、処理チャンバ702の上部処理容積778で形成されたプラズマから、イオン・ラジカルシールド770と基板722との間に置かれた下部領域容積780まで通過するイオン量を制御する。開口領域が大きくなるほど、より多くのイオンがイオン・ラジカルシールド770を通過することができる。そのため、開孔774の大きさは、容積780のイオン密度を制御する。結果的に、シールド770はイオンフィルタとなる。
[0094]図8は、複数の基板処理チャンバ812が取り付けられたクラスタツール810の上面概略図を示す。図8に示されたものと同様のクラスタツールは、カリフォルニア州サンタクララのApplied Materials, Inc.から入手可能である。クラスタツール810は、基板を、様々なツールと、本明細書で説明されたチャンバとの間で移送するための移送チャンバとして利用され得る。
[0095]このツールは、ロードロックチャンバ820と、基板を、システム内のある位置から別の位置へと、特に、複数の基板処理チャンバ812間で移動させるための基板ハンドリングモジュール816を有する移送チャンバ818とを含む。この特定のツールは、移送チャンバの周りで径方向に位置付けされた基板処理チャンバ812を最大4個まで収容するように示されているが、基板処理チャンバ812を任意の数収容することができると考えられている。
[0096]本開示の利点は、基板の底部の処理が、熱的(例えば、表面加熱技法、高表面放射照度レーザ(high surface irradiance laser)、又はフラッシュランプの使用を介する)又は組成的に、基板の上部構造に影響を与える可能性が低いことを含む。さらに、基板の底部からのストレインは、基板の上部で行われる任意の処理から離れていれば、より一貫性を有する。さらに、後続の処理で生じたストレインを補うために、基板に底部に対してさらに調節を行うことができる。一旦加熱されると、基板の裏側に行われた注入が、基板の前面の他の熱応力を弱める。
[0097]以上の記述は本開示の実施形態を対象としているが、本開示の基本的な範囲から逸脱することなく、本開示の他の実施形態及びさらなる実施形態を考案してもよい。本開示の範囲は、下記の特許請求の範囲によって決定される。

Claims (15)

  1. 基板を処理するための方法であって、
    前記基板の前記裏側に膜を堆積することと、
    前記基板の前記裏側をレーザアニールすることと、
    前記基板の前記裏側に注入を行うことと
    を含む方法。
  2. 前記膜が、50nmと100nmとの間の深さで堆積される、請求項1に記載の方法。
  3. 前記膜の領域が、前記基板の前面のダイに対応する、請求項2に記載の方法。
  4. 前記膜が、前記基板内へと溶解又は拡散する、請求項1に記載の方法。
  5. 前記アニールすることが、スポットアニールであり、前記スポットアニールが、前記基板の前記裏側の選択された位置で行われる、請求項1に記載の方法。
  6. 前記基板の前記裏側をエッチングすることと、
    パターニングのために前記基板を位置合わせすることと、
    前記基板における歪みを位置特定することと、
    前記基板を平らにすることにより、前記歪みを補うことと
    をさらに含む、請求項1に記載の方法。
  7. 前記膜が、窒化物、アモルファスカーボン、又は金属シリサイドのうちの1つを含む、請求項1に記載の方法。
  8. 基板を処理するための方法であって、
    前記基板の裏側を熱処理するために、前記基板をレーザアニールすることと、
    前記基板の前記裏側に注入を行うことと、
    前記基板の前記裏側をエッチングすることと、
    パターニングのために前記基板を位置合わせすることと、
    を含む方法。
  9. 50nmと100nmとの間の深さで、膜を前記基板の前記裏側に堆積することをさらに含む、請求項8に記載の方法。
  10. 前記膜の領域が、前記基板の前面のダイに対応する、請求項9に記載の方法。
  11. 前記膜が、前記基板内へと溶解又は拡散する、請求項9に記載の方法。
  12. 前記アニールすることが、スポットアニールであり、前記スポットアニールが、前記基板の前記裏側の選択された位置で行われる、請求項8に記載の方法。
  13. 前記アニールすることが、ナノ秒アニール処理又はミリ秒アニール処理である、請求項8に記載の方法。
  14. 前記基板における歪みを位置特定することと、
    前記基板を平らにすることにより、前記歪みを補うことと
    をさらに含む、請求項8に記載の方法。
  15. 基板を処理するためのツールであって、
    前記基板の裏側に複数の膜層を堆積するための堆積チャンバ、
    前記基板の前記裏側の前記複数の膜層をアニールするためのレーザアニールチャンバであって、基板端部支持体を備えている、レーザアニールチャンバ、
    前記基板の前記裏側をエッチングするためのエッチングチャンバであって、基板端部支持体を備えている、エッチングチャンバ、及び
    前記基板を、前記堆積チャンバと、前記アニールチャンバと、前記エッチングチャンバとの間で移送するために動作可能に接続された移送チャンバ
    を備えているツール。
JP2018523481A 2015-11-09 2016-10-10 底部処理 Active JP6971229B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562252901P 2015-11-09 2015-11-09
US62/252,901 2015-11-09
US201662306150P 2016-03-10 2016-03-10
US62/306,150 2016-03-10
PCT/US2016/056220 WO2017083037A1 (en) 2015-11-09 2016-10-10 Bottom processing

Publications (3)

Publication Number Publication Date
JP2018536990A true JP2018536990A (ja) 2018-12-13
JP2018536990A5 JP2018536990A5 (ja) 2019-11-21
JP6971229B2 JP6971229B2 (ja) 2021-11-24

Family

ID=58663719

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018523481A Active JP6971229B2 (ja) 2015-11-09 2016-10-10 底部処理

Country Status (7)

Country Link
US (1) US10128197B2 (ja)
JP (1) JP6971229B2 (ja)
KR (2) KR20230152092A (ja)
CN (3) CN116435172A (ja)
DE (1) DE112016005136T5 (ja)
TW (2) TWI675393B (ja)
WO (1) WO2017083037A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021504967A (ja) * 2017-12-01 2021-02-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated エッチング選択性の高いアモルファスカーボン膜

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10418264B2 (en) * 2016-06-08 2019-09-17 Hermes-Epitek Corporation Assembling device used for semiconductor equipment
US10510575B2 (en) * 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10916416B2 (en) * 2017-11-14 2021-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor wafer with modified surface and fabrication method thereof
JP2020047617A (ja) * 2018-09-14 2020-03-26 キオクシア株式会社 基板処理装置、半導体装置の製造方法、および被加工基板
DE102019211447B4 (de) * 2019-07-31 2023-06-01 Robert Bosch Gmbh Verfahren zum Laserrichten von Führungsschienen
CN115803882A (zh) 2021-06-30 2023-03-14 长江存储科技有限责任公司 三维存储器装置及其形成方法
WO2023028729A1 (en) * 2021-08-30 2023-03-09 Yangtze Memory Technologies Co., Ltd. Wafer stress control and semiconductor structure
WO2024072609A1 (en) * 2022-09-28 2024-04-04 Applied Materials, Inc. Correction of global curvature during stress management
CN115642112A (zh) * 2022-11-24 2023-01-24 西安奕斯伟材料科技有限公司 一种用于硅片的背封装置及背封方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000223425A (ja) * 1999-02-02 2000-08-11 Nec Corp 基板処理装置、ガス供給方法、及び、レーザ光供給方法
JP2001068429A (ja) * 1999-08-25 2001-03-16 Sumitomo Heavy Ind Ltd ウエハの歪修正装置
JP2001274048A (ja) * 2000-03-24 2001-10-05 Hitachi Ltd 半導体装置の製造方法及び加工装置
JP2003142664A (ja) * 2001-08-23 2003-05-16 Seiko Epson Corp 半導体基板の製造方法、半導体基板、電気光学装置並びに電子機器
JP2011119472A (ja) * 2009-12-03 2011-06-16 Panasonic Corp 半導体製造装置
US20150294917A1 (en) * 2014-04-09 2015-10-15 Tokyo Electron Limited Method for Correcting Wafer Bow from Overlay

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5296385A (en) 1991-12-31 1994-03-22 Texas Instruments Incorporated Conditioning of semiconductor wafers for uniform and repeatable rapid thermal processing
JPH05315371A (ja) * 1992-05-12 1993-11-26 Fujitsu Ltd 化合物半導体装置の製造方法
AU8675798A (en) 1997-07-29 1999-02-22 Silicon Genesis Corporation Cluster tool method and apparatus using plasma immersion ion implantation
KR20020034492A (ko) 2000-11-02 2002-05-09 박종섭 반도체 소자의 제조방법
US7208380B2 (en) 2004-03-22 2007-04-24 Texas Instruments Incorporated Interface improvement by stress application during oxide growth through use of backside films
US7244311B2 (en) * 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
US7432177B2 (en) 2005-06-15 2008-10-07 Applied Materials, Inc. Post-ion implant cleaning for silicon on insulator substrate preparation
JP2007194514A (ja) * 2006-01-23 2007-08-02 Mitsubishi Electric Corp 半導体装置の製造方法
US20080128019A1 (en) * 2006-12-01 2008-06-05 Applied Materials, Inc. Method of metallizing a solar cell substrate
US7776746B2 (en) 2007-02-28 2010-08-17 Alpha And Omega Semiconductor Incorporated Method and apparatus for ultra thin wafer backside processing
US8846532B2 (en) 2007-02-28 2014-09-30 Alpha And Omega Semiconductor Incorporated Method and apparatus for ultra thin wafer backside processing
CN104064499B (zh) 2008-05-02 2018-04-20 应用材料公司 用于旋转基板的非径向温度控制系统
US20090278287A1 (en) * 2008-05-12 2009-11-12 Yun Wang Substrate processing with reduced warpage and/or controlled strain
US20100109060A1 (en) 2008-11-06 2010-05-06 Omnivision Technologies Inc. Image sensor with backside photodiode implant
JP2010225830A (ja) 2009-03-24 2010-10-07 Mitsumi Electric Co Ltd 半導体装置の製造方法
EP2937898A1 (en) * 2009-07-15 2015-10-28 Silanna Semiconductor U.S.A., Inc. Semiconductor-on-insulator with backside heat dissipation
EP2290128B1 (en) * 2009-08-25 2013-10-02 Rohm and Haas Electronic Materials, L.L.C. Enhanced method of forming nickel silicides
JP5615207B2 (ja) * 2011-03-03 2014-10-29 株式会社東芝 半導体装置の製造方法
CN102420176A (zh) * 2011-06-15 2012-04-18 上海华力微电子有限公司 一种改善半导体晶片翘曲的方法
US8466530B2 (en) 2011-06-30 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Co-implant for backside illumination sensor
KR102068186B1 (ko) * 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
CN103094098A (zh) * 2013-01-14 2013-05-08 陆伟 一种解决晶圆破片的方法
US9318367B2 (en) * 2013-02-27 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structure with different fin heights and method for forming the same
JP2015012241A (ja) * 2013-07-01 2015-01-19 ソニー株式会社 撮像素子およびその製造方法、ならびに電子機器
KR102133490B1 (ko) * 2013-11-11 2020-07-13 에스케이하이닉스 주식회사 트랜지스터, 트랜지스터의 제조 방법 및 트랜지스터를 포함하는 전자장치
US9159621B1 (en) * 2014-04-29 2015-10-13 Applied Materials, Inc. Dicing tape protection for wafer dicing using laser scribe process
JP6510310B2 (ja) * 2014-05-12 2019-05-08 ローム株式会社 半導体装置
WO2015195272A1 (en) * 2014-06-20 2015-12-23 Applied Materials, Inc. Methods for reducing semiconductor substrate strain variation
US9613870B2 (en) * 2015-06-30 2017-04-04 International Business Machines Corporation Gate stack formed with interrupted deposition processes and laser annealing

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000223425A (ja) * 1999-02-02 2000-08-11 Nec Corp 基板処理装置、ガス供給方法、及び、レーザ光供給方法
JP2001068429A (ja) * 1999-08-25 2001-03-16 Sumitomo Heavy Ind Ltd ウエハの歪修正装置
JP2001274048A (ja) * 2000-03-24 2001-10-05 Hitachi Ltd 半導体装置の製造方法及び加工装置
JP2003142664A (ja) * 2001-08-23 2003-05-16 Seiko Epson Corp 半導体基板の製造方法、半導体基板、電気光学装置並びに電子機器
JP2011119472A (ja) * 2009-12-03 2011-06-16 Panasonic Corp 半導体製造装置
US20150294917A1 (en) * 2014-04-09 2015-10-15 Tokyo Electron Limited Method for Correcting Wafer Bow from Overlay

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021504967A (ja) * 2017-12-01 2021-02-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated エッチング選択性の高いアモルファスカーボン膜
JP7326275B2 (ja) 2017-12-01 2023-08-15 アプライド マテリアルズ インコーポレイテッド エッチング選択性の高いアモルファスカーボン膜

Also Published As

Publication number Publication date
KR20180069920A (ko) 2018-06-25
TWI729498B (zh) 2021-06-01
JP6971229B2 (ja) 2021-11-24
TW202015095A (zh) 2020-04-16
KR102584138B1 (ko) 2023-10-04
CN108352298A (zh) 2018-07-31
KR20230152092A (ko) 2023-11-02
WO2017083037A1 (en) 2017-05-18
CN116435172A (zh) 2023-07-14
TW201727696A (zh) 2017-08-01
US10128197B2 (en) 2018-11-13
CN116435167A (zh) 2023-07-14
CN108352298B (zh) 2023-04-18
US20170133328A1 (en) 2017-05-11
TWI675393B (zh) 2019-10-21
DE112016005136T5 (de) 2018-07-26

Similar Documents

Publication Publication Date Title
JP6971229B2 (ja) 底部処理
US10020204B2 (en) Bottom processing
TWI692047B (zh) 用於epi製程之晶圓加熱的二極體雷射
TW488079B (en) Thin film processing method and device
US7109087B2 (en) Absorber layer for DSA processing
US9263265B2 (en) Crystallization of amorphous films and grain growth using combination of laser and rapid thermal annealing
TWI692012B (zh) 旋轉基板雷射退火
US7968473B2 (en) Low temperature process for depositing a high extinction coefficient non-peeling optical absorber for a scanning laser surface anneal of implanted dopants
TWI482224B (zh) 半導體基板之表面處理方法
KR102126119B1 (ko) 열처리 방법
US20220359204A1 (en) System, Semiconductor Device and Method
US9214346B2 (en) Apparatus and method to reduce particles in advanced anneal process
KR20050084592A (ko) 매립된 종을 선형으로 포커싱하는 레이저-어닐링
KR102594040B1 (ko) 반도체 열처리용 레이저 처리 장치 및 레이저 처리 방법
TWI724822B (zh) 用於epi製程之晶圓加熱的二極體雷射
KR20040079563A (ko) 레이저어닐링시스템 및 구동방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191010

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20191010

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20201110

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201222

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210322

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210422

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210831

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210930

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20211101

R150 Certificate of patent or registration of utility model

Ref document number: 6971229

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150