TWI675393B - 用於處理基板的背側之方法及工具 - Google Patents

用於處理基板的背側之方法及工具 Download PDF

Info

Publication number
TWI675393B
TWI675393B TW105135819A TW105135819A TWI675393B TW I675393 B TWI675393 B TW I675393B TW 105135819 A TW105135819 A TW 105135819A TW 105135819 A TW105135819 A TW 105135819A TW I675393 B TWI675393 B TW I675393B
Authority
TW
Taiwan
Prior art keywords
substrate
film
annealing
back side
chamber
Prior art date
Application number
TW105135819A
Other languages
English (en)
Other versions
TW201727696A (zh
Inventor
喬瑟夫M 拉尼許
亞倫穆爾 杭特
史瓦米奈森T 史林尼法森
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201727696A publication Critical patent/TW201727696A/zh
Application granted granted Critical
Publication of TWI675393B publication Critical patent/TWI675393B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • H01L21/02016Backside treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02354Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light using a coherent radiation, e.g. a laser
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/30Semiconductor bodies ; Multistep manufacturing processes therefor characterised by physical imperfections; having polished or roughened surface
    • H01L29/32Semiconductor bodies ; Multistep manufacturing processes therefor characterised by physical imperfections; having polished or roughened surface the imperfections being within the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Optics & Photonics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Recrystallisation Techniques (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

一般來說,本文所揭示之實施例與用於處理基板的底表面以抵消基板上的熱應力之方法及裝置有關。可將校正應變施加至基板的底表面,校正應變可補償基板的頂表面上之非期望的應變及變形。可藉由任意結合沉積、佈植、熱處理及蝕刻等方法在基板的背側上形成經特別設計的膜,以產生能補償基板的非所欲變形之應變。藉由局部改變氮化矽膜或碳膜的氫含量可導致局部應變。可藉由印刷、微影術或自組裝技術可形成結構。可藉由期望的應力地圖來決定對膜之層的處理,且所述處理可包括退火、佈植、熔融或其它熱處理。

Description

用於處理基板的背側之方法及工具
本揭示內容的實施例一般與用於半導體處理的方法及裝置有關。更具體而言,本文所述的實施例與用於處理基板的底側之方法及裝置有關。
在晶片製造過程中,基板經歷了不均勻的局部變形(distortion),若沒有被修正的話,局部變形會導致層與層之間產生微影圖案(lithography pattern)的錯準(misalignment)。既然可在微影印刷期間使圖案光學對位,對某些型態的變形而言,可校正定位(registration)。然而,對其它型態的變形而言,校正是不可能的且會導致產量損失的結果。此外,隨著特徵尺寸繼續縮減,對變形的容限(tolerance)會降低,並且不可校正的變形之數量會增加。
先前已經通過將受控量的離子局部佈植到硬遮罩中以產生局部應變(local strain),來校正變形。局部應變可補償原本存在的應變。然而,可能導致含有所選擇的離子之下方層的污染。
校正變形的其它嘗試涉及硬遮罩的可變局部表面退火,以產生局部應變來補償原本存在的局部應變。然而,另一方面,當在隨後的處理期間去除硬遮罩的表面時,會發生部分緩和(relaxation)。
因此,在本案所屬技術領域中需要用於處理基板的背側之方法與系統。
在一個實施例中,揭示了一種用於處理基板的背側之方法。所述方法可包括下列步驟:於基板的背側上沉積膜;退火基板;及於基板的背側上進行佈植。所述方法進一步包括熱處理基板的背側。
在另一個實施例中,揭示了一種用於處理基板的背側之方法。所述方法可包括下列步驟:退火基板;佈植基板的背側;及熱處理基板的背側。所述方法可進一步包括下列步驟:蝕刻基板的背側;及對齊基板以進行圖案化。
在又一個實施例中,揭示了一種用於處理基板的工具。所述工具可包括:製程腔室,用以在基板的背側上沉積複數個膜層。所述製程腔室可包括:轉移腔室、沉積工具、退火工具及蝕刻工具。退火工具可將基板的背側上的複數個膜層退火,且退火工具可包括基板邊緣支撐件。蝕刻工具可蝕刻基板的背側,並可包括基板邊緣支撐件。
一般來說,本文所揭示之實施例與用於處理基板的底表面以抵消基板上的熱應力之方法及裝置有關。可將校正應變施加至基板的底表面,校正應變可補償基板的頂表面上之非期望的應變及變形。可藉由任意結合沉積、佈植、熱處理及蝕刻等方法在基板的背側上形成經特別設計的膜,以產生能補償基板的非所欲變形之應變。藉由局部改變氮化矽膜或碳膜的氫含量可導致局部應變。可藉由印刷、微影術或自組裝技術可形成結構。可藉由期望的應力地圖(stress map)來決定對膜之層的處理,且所述處理可包括退火、佈植、熔融或其它熱處理。
如本文所應用,基板可以是任何合適的基板,如單晶矽基板;絕緣體上矽(SOI);矽鍺或其合金;如用於製造薄膜電晶體(TFT)之玻璃或石英基板,其上具有矽層,等。基板可以具有在基板的元件側上形成的元件和結構。
第1圖繪示方法100之操作,方法100可用於處理基板的背側,以補償基板的前側上之應變。
在操作110,在基板的背側上沉積膜。可利用任何PRODUCER® 系列腔室來完成沉積,PRODUCER® 系列腔室可購自加州聖塔克拉拉市的應用材料公司。在某些實施例中,所述膜可為沉積在基板的背側上之覆蓋膜(blanket film)。所述膜可以是,或可以包括,非晶碳膜、氧化矽膜或氮化矽膜。
可將膜的某區塊沉積到基板的對應區塊。可將膜沉積到基板的背側上。在某些實施例中,所述膜的區塊可對應到基板的前側上之晶粒。可將膜沉積達介於約40奈米與約120奈米之間的厚度。在某些實施例中,可將膜沉積至基板的邊緣。
可使用本案所屬技術領域中已知的標準圖案化技術,在基板的背側上形成具有不同厚度或具有變化厚度的層。因此,可在退火所述膜層時產生不同的應力。舉例而言,在某些實施例中,可在基板的背側上沉積覆蓋膜層,且可使用遮罩將附加的膜選擇性地沉積在期望的位置內。可藉由調整處理條件來選擇及施加覆蓋膜及附加膜中的應力。
舉例而言,可在基板的背側上沉積非晶碳層。可在非晶碳層上沉積氧化矽層。可圖案化氧化矽層以形成遮罩,且接著在基板上方沉積第二非晶碳層。可在所述背側上平坦化基板,以暴露氧化矽遮罩,接著可移除氧化矽遮罩而留下具有選擇性變化之厚度的非晶碳層。
於操作120,可將基板的背側退火。退火可改變背側膜的結構,並根據任何期望的圖案調整膜內的應變。舉例而言,退火可緩和所沉積之膜內的應變。可對膜選擇性地局部退火,以在基板的背側上產生校正應變(correcting strain),校正應變可補償基板的前側上之非期望應變。在某些實施例中,也可在一個步驟中對膜進行全面性退火(blanket anneal),以調整在沉積期間被選擇性地加入膜內的應變。在一個實施例中,可藉由將設計過的膜層施加到基板的背側來產生校正應變。在另一個實施例中,可透過利用來自先前處理之已存在膜層來產生校正應變。
在某些實施例中,退火可為點退火(spot annealing)。點退火可發生在基板的背側之選擇位置上。退火操作可利用各種類型的能量。在某些實施例中,退火可為奈秒退火製程。在其它實施例中,退火可為毫秒退火製程。
退火可導致基板的背側上之期望層的改變,其可用於減輕所沉積的層中的應力及/或應變。選擇性地減輕應力及/或應變可在基板中產生應力的圖案,以補償由熱處理產生的結構不均勻性。可在退火製程期間改變整體基板的應力狀態,因此可設計退火製程來產生基板的中間應力/應變狀態,而藉由後續處理可進一步改變基板的中間應力/應變狀態。
在某些實施例中,可在基板的背側上界定複數個區塊,並可使用不同的製程條件對各區塊進行退火。舉例而言,可透過奈秒退火製程來退火第一區塊,並透過毫秒退火製程來退火第二區塊。在另一個實施例中,可在基板的背側上選擇性地沉積材料層。舉例而言,可在第一層上沉積非晶碳,而對其它層塗佈二氧化矽。
於操作130,可對基板的背側進行佈植。可利用任何VIISTA® 腔室來完成佈植,VIISTA® 腔室可從位於加州聖塔克拉拉市的應用材料公司購得。佈植是調整背側膜中應力的另一種方式,其藉由加入摻雜劑來調整應力。可在本揭示內容中應用的一類膜包括高級圖案化膜(Advanced Patterning Film;APF® ),其包括非晶碳材料。藉此,可沉積經摻雜的非晶碳、可沉積未摻雜的非晶碳,或可沉積未摻雜的非晶碳再進行後續摻雜。膜也可包含氮化物、金屬矽化物或可進行相變的任何其它材料中之一者。在某些實施例中,膜可以是自吸收的(self-absorbing),這是因為膜可以溶解及/或擴散進入基板。
可選擇佈植的摻雜劑來調整所沉積之層中的應力。可以根據圖案佈植摻雜劑,以修改膜的特定區塊中的應力。應力可以是拉伸應力及/或壓縮應力。摻雜劑可以是金屬或非金屬。摻雜劑可包括:He、Ne、Ar、F、Cl、Br、O、N、P、As、Si、Ge、Sn、B、Al、Ga、In、Zn、Cu、Ag、Au、Ni、Ti及前述摻雜劑的組合或合金。
可藉由離子束或電漿來進行佈植。在某些實施例中,佈植可為直接佈植。在其它實施例中,佈植可為沉積後接著擴散。在某些實例中,可在擴散佈植製程期間使用上蓋層(capping layer)。
在不同的實施例中,可蝕刻基板的背側。如下文所描述的蝕刻腔室可蝕刻基板的背側。可使用遮罩或其它圖案特徵,根據期望圖案來進行蝕刻製程,以藉由將圖案化應力差施加到基板的背側來影響遍及基板的應力。蝕刻製程亦可以是將材料從基板的背側全面性去除(blanket removal),以將全面性應力差(blanket stress differential)施加至基板的背側。全面性應力差可以有效地改變基板的一部分中的整個局部應力,多於基板的另一部分中的整個局部應力,這對於某些實施例而言是有用的。
在不同的實施例中,可在基板的背側上形成應力的圖案,以補償基板的前側上之應變。在某些實施例中,可在基板的背側上沉積膜層,其中所述膜層,如非晶碳,可保持一定的沉積時應力(壓縮應力或拉伸應力)。可在選擇的位置內對所沉積的膜層進行退火,以減輕應力。
在另一個實施例中,可在基板的背側上沉積非晶碳,並選擇性地佈植非晶碳。隨後,可將基板退火,以形成圖案,所述圖案可產生相異應力矩陣(stress matrix),因而在基板的背側上產生應力的圖案。
在另一個實施例中,可在基板的背側上沉積具有不同厚度的膜層。後續可根據圖案將基板退火,因而在基板的背側上產生應力的圖案。
在另一個實施例中,可蝕刻基板的背側以選擇性移除膜層,因而在基板的背側上產生相異厚度並產生應力的圖案。
方法100可進一步包括對齊基板以進行圖案化、定位基板中之變形,以及藉由平坦化基板來補償變形。
第2圖繪示方法200之操作,方法200可用於處理基板的背側,以補償基板的前側上之應變。
於操作210,可對基板的背側進行退火。退火可改變背側膜的結構,並根據任何期望的圖案調整膜內的應變。舉例而言,退火可緩和所沉積之膜內的應變。可對膜選擇性地局部退火,以在基板的背側上產生校正應變(correcting strain),校正應變可補償基板的前側上之非期望應變。在某些實施例中,也可在一個步驟中對膜進行全面性退火(blanket anneal),以調整在沉積期間被選擇性地加入膜內的應變。在一個實施例中,可藉由將設計過的膜層施加到基板的背側來產生校正應變。在另一個實施例中,可透過利用來自先前處理之已存在膜層來產生校正應變。
在某些實施例中,退火可為點退火(spot annealing)。點退火可發生在基板的背側之選擇位置上。退火操作可利用各種類型的能量。在某些實施例中,退火可為奈秒退火製程。在其它實施例中,退火可為毫秒退火製程。
退火可導致基板的背側上之期望層的改變,其可用於減輕所沉積的層中的應力及/或應變、施加期望的應力差至基板的背側,及/或改變基板的應力輪廓(stress profile)。選擇性地減輕應力及/或應變可在基板中產生應力的圖案,以補償由熱處理產生的結構不均勻性。可在退火製程期間改變整體基板的應力狀態,因此可設計退火製程來產生基板的中間應力/應變狀態,而藉由後續處理可進一步改變基板的中間應力/應變狀態。
在某些實施例中,可在基板的背側上界定複數個區塊,並可使用不同的製程條件對各區塊進行退火。舉例而言,可透過奈秒退火製程來退火第一區塊,並透過毫秒退火製程來退火第二區塊。在另一個實施例中,可在基板的背側上選擇性地沉積材料層。舉例而言,可在第一層上沉積非晶碳,而對其它層塗佈二氧化矽。
於操作220,可對基板的背側進行佈植。可利用任何VIISTA® 腔室來完成佈植,VIISTA® 腔室可從位於加州聖塔克拉拉市的應用材料公司購得。佈植是調整背側膜中應力的另一種方式,其藉由加入摻雜劑來調整應力。可在本揭示內容中應用的一類膜包括高級圖案化膜(Advanced Patterning Film;APF® ),其包括非晶碳材料。藉此,可沉積經摻雜的非晶碳、可沉積未摻雜的非晶碳,或可沉積未摻雜的非晶碳再進行後續摻雜。膜也可包含氮化物、金屬矽化物或可進行相變的任何其它材料中之一者。在某些實施例中,膜可以是自吸收的(self-absorbing)。
可選擇佈植的摻雜劑來調整所沉積之層中的應力。可以根據圖案佈植摻雜劑,以修改膜的特定區塊中的應力。應力可以是拉伸應力及/或壓縮應力。摻雜劑可以是金屬或非金屬。摻雜劑可包括:He、Ne、Ar、F、Cl、Br、O、N、P、As、Si、Ge、Sn、B、Al、Ga、In、Zn、Cu、Ag、Au、Ni、Ti及前述摻雜劑的組合或合金。
可藉由離子束或電漿來進行佈植。在某些實施例中,佈植可為直接佈植。在其它實施例中,佈植可為沉積後接著擴散。在某些實例中,可在擴散佈植製程期間使用上蓋層(capping layer)。
於操作230,可蝕刻基板的背側。如下文所描述的蝕刻腔室可蝕刻基板的背側。於操作240,可對齊基板以進行圖案化。
在某些實施例中,方法200可進一步包括將膜沉積在基板的背側上。一類的膜可被用來增進微影術的圖案化。因此,膜可包含氮化物、非晶碳、金屬矽化物或可進行相變的任何其它材料中之一者。在某些實施例中,膜可以是自吸收的(self-absorbing)。可將膜的某區塊沉積到基板的對應區塊。在某些實施例中,膜的區塊可對應到基板的前側上之晶粒。可將膜沉積達介於約40奈米與約120奈米之間的標準深度。
在其它實施例中,方法200進一步包括:定位基板中的變形,以及藉由平坦化基板來補償所述變形。
第3圖繪示用於處理基板的裝置。第3圖的裝置可以是如上所述,用於在基板的背側上沉積膜的電漿沉積腔室。
第3圖顯示腔室300的概要橫剖面視圖,腔室300界定兩個處理區域318、320。腔室本體302包括側壁312、內壁314和底壁316,所述側壁312、內壁314和底壁316界定兩個處理區域318、320。各處理區域318、320中的底壁316界定至少兩個通道322、324,其中基座加熱器328的主幹326和基板舉升銷組件的軸桿330經設置而分別穿過通道322、324。
側壁312和內壁314界定兩個圓柱狀環型處理區域318、320。周邊泵送通道325形成於界定所述圓柱狀處理區域318、320的腔室壁中,用以自處理區域318、320排出氣體,並控制各區域318、320內的壓力。可在各處理區域318、320中設置由陶瓷材料或類似材料製成的腔室內襯或插件327,以界定各處理區域的側向邊界,並保護腔室壁312、314不受到腐蝕性處理環境影響並在電極之間維持電氣隔離的電漿環境。內襯327被支撐於腔室中的凸緣329上,凸緣329形成在各處理區域318、320的壁312、314中。內襯可包括複數個排放埠331或周圍槽,所述排放埠331或周圍槽穿過內襯而設置,並與形成在腔室壁中的泵送通道325連通。在一個實施例中,有約二十四個埠331設置穿過各內襯327,所述埠331間隔約15度且位在處理區域318、320的外圍周圍。儘管上面描述了二十四個埠,但是可以採用任何數量,以實現期望的泵送速率和均勻性。除了埠的數量之外,埠相對於氣體分配系統的面板之高度可受到控制,以在處理期間在基板上方提供最佳的氣流模式。
在某些實施例中,腔室300包含基板邊緣支撐件380。基板邊緣支撐件380可為連續或不連續的壁或為複數個柱體,以將基板的邊緣部分支撐在基座加熱器328的上方。在某些實施例中,基板邊緣支撐件380可避免基板的元件側與基座加熱器328之間的直接接觸,以容許將層沉積在基板的背側上。
如前文所述,在某些實施例中,可利用邊緣支撐件380將基板的邊緣部分支撐在基座加熱器的上方。然而,在某些實施例中,可藉由複數個銷(pin)來支撐基板。複數個銷可在基板上的任何位置處接觸基板,包括在接近基板的邊緣之位置處。銷支撐件可容許對基板的底部應力膜側的快速加熱(flash heating)。進而,在某些實施例中,當基板安置及/或在基板的邊緣上被支撐時,可對基板的背側進行雷射退火或加熱。
第4圖是用於熱處理基板之系統400的平面圖。可利用系統400對基板施加脈衝式雷射輻射,如前文所述。詳言之,可在奈秒退火製程中利用系統400。進一步,如前文所述,可利用系統400對基板的背側進行退火。
系統400包含能量模組402、脈衝控制模組404、脈衝塑形模組406、均質器408、孔構件416以及對準模組418,能量模組402具有複數個脈衝式雷射源可產生複數個脈衝式雷射脈衝;脈衝控制模組404可結合獨立的多個脈衝式雷射脈衝成為結合脈衝式雷射脈衝,並控制結合脈衝式雷射脈衝的強度、頻率特性和極性特性;脈衝塑形模組406可調整經結合的脈衝式雷射脈衝的脈衝之時域輪廓(temporal profile);均質器408可調整脈衝的空間能量分佈,使結合脈衝式雷射脈衝重疊成單一均勻能量場;孔構件416可自所述能量場移除殘存的邊緣不均勻性;且對準模組418容許雷射能量場與設置在基板支撐件410上之基板的精確對準。控制器412可耦接能量模組402,以控制雷射脈衝的產生;控制器412可耦接脈衝控制模組404,以控制脈衝特性;且控制器412可耦接基板支撐件410,以控制基板相對於能量場的移動。外殼414典型地包圍系統400的操作性部件。在某些實施例中,系統400可進一步包含遮蔽環490,用以遮蔽基板的邊緣,使基板的邊緣不受高熱應力。
為了類似的目的,基板支撐件410的特徵可以是與上面結合第3圖所述的邊緣支撐件380基本相似的邊緣支撐件。當載台被移動以定位基板來處理特定的目標區域時,可藉由在基板邊緣和遮蔽環490之間採用適當小的間距,以使基板在邊緣支撐件上的非所欲移動最小化。舉例而言,若基板為300 mm的基板,則遮蔽環490可具有150.2 mm或更小的內半徑。
如前文所述,在某些實施例中,可利用邊緣支撐件來支撐基板的邊緣部分。然而,在某些實施例中,可藉由複數個銷來支撐基板。複數個銷可在基板上的任何位置處接觸基板,包括在接近基板的邊緣之位置處。銷支撐件可容許對基板的底部應力膜側的脈衝式雷射處理。進而,在某些實施例中,當基板安置及/或在基板的邊緣上被支撐時,可對基板的背側進行雷射處理或加熱。
雷射可以是能夠形成短脈衝(例如持續時間為短於約100 nsec)的高功率雷射輻射的任何類型雷射。一般而言,使用高模態(modality)雷射,該高模態雷射具有超過500個空間模式(mode),且M2 大於約30。經常使用固態雷射,諸如Nd:YAG、Nd:玻璃、鈦-藍寶石、或其他摻雜有稀土的晶體雷射,但可使用氣態雷射,諸如準分子雷射,例如XeCl2 、ArF、或KrF雷射。可開關(switch)該等雷射,諸如透過Q開關(被動或主動)、增益開關(gain switching)、或鎖模(mode locking)。也可在雷射輸出端附近使用勃克爾盒(Pockels cell),以藉由中斷雷射所發射的射束來形成脈衝。大體而言,可用於脈衝式雷射處理的雷射能夠產生具下述特徵之雷射輻射之脈衝:能量含量介於約100 mJ與約10 J之間,且持續時間介於約1 nsec與約100 µsec之間,一般而言是在約8 nsec內約1 J。所述雷射可具有介於約200 nm與約2,000 nm之間的波長,諸如介於約400 nm與約1,000 nm之間,例如約532 nm。在一個實施例中,所述雷射是Q開關、頻率加倍的Nd:YAG雷射。所述雷射可全部在相同波長操作,或該等雷射中的一或更多個雷射可在與能量模組402中之其它雷射不同的波長下操作。所述雷射可放大(amplify)以發展期望的功率位準。多數情況中,放大的媒介會是與雷射媒介相同或類似的組成。每一個別的雷射脈衝通常由自身放大,但在某些實施例中,所有雷射脈衝可在組合後放大。
傳送到基板的典型雷射脈衝是多重雷射脈衝的組合。多重脈衝在受控時間和彼此受控的關係中產生,使得當組合時,產生雷射輻射的單一脈衝,所述雷射輻射的單一脈衝具有受控的時間和空間能量輪廓,具有受控的能量升高、持續時間和衰減,以及能量非均勻性的受控空間分佈。控制器412可具有脈衝產生器(例如,耦接到電壓源的電子定時器),所述脈衝產生器可耦接到各雷射(例如,各雷射的各開關),以控制來自各雷射之脈衝的產生。
複數個雷射可經排列,使得各雷射產生射入脈衝控制模組404的脈衝,脈衝控制模組404可具有一或多個脈衝控制器405。一或多個脈衝離開脈衝控制模組404並進入脈衝塑形模組406,脈衝塑形模組406具有一或多個脈衝塑型器407。
在某些實施例中,可從基板支撐件410下方導引雷射輻射朝向基板,所述基板以元件側朝上(device-side-up)的方式安置在邊緣支撐件上。可提供基板支撐件410中的窗或開口,以允許雷射輻射從基板支撐件410下方朝向基板的背側。
第5圖為用於熱處理基板之系統500的概要視圖。如上所述,可利用系統500來退火(透過燈退火)及/或化學快速退火基板。進而,如上所述,可利用系統500來退火基板的背側。
第5圖是快速熱處理(rapid thermal processing;RTP)腔室500的一個實施例之簡化等角視圖。可適於受益於本揭示內容之快速熱處理腔室的實例為VULCAN® 腔室,VULCAN® 腔室可購自位在加州聖塔克拉拉市的應用材料公司。處理腔室500可包括無接觸或磁懸浮的基板支撐件504及腔室本體502,腔室本體502具有壁508、底部510及頂部512界定內部容積520。壁508典型可包括至少一個基板存取埠548,以有助於基板540 (第5圖中顯示部分基板540)的進入和離開。存取埠可耦接至轉移腔室(未繪示)或裝載閘腔室(未繪示),且可以閥選擇性密封存取埠,所述閥可如狹縫閥(未繪示)。在一個實施例中,基板支撐件504為環狀,且腔室500可包括輻射熱源506,輻射熱源506可設置在基板支撐件504的內徑中。
基板支撐件504適於在內部容積520中磁懸浮和旋轉。在處理期間,基板支撐件504能在垂直地升高和降低的同時旋轉,且也可在處理之前、期間或之後被升高或降低而不旋轉。因為缺乏或減少典型用來升高/降低及/或旋轉基板支撐件的移動部件之故,此磁懸浮及/或磁旋轉可防止或最小化顆粒產生。
腔室500也可包括窗514,窗514可由對熱及多種波長的光透明的材料製成,所述光可包括紅外線(IR)光譜中的光,來自輻射熱源506的光子透過窗514可加熱基板540。窗514可包括複數個舉升銷544耦接至窗514的上表面,舉升銷544適於選擇性地接觸並支撐基板540,以有助於基板轉移進入或離開腔室500。
在一個實施例中,輻射熱源506可包括由殼體形成的燈組件,殼體可包括位在冷卻劑組件(未繪示)中的複數個蜂巢管560,而冷卻劑組件耦接至冷卻劑源583。冷卻劑源583可為水、乙二醇、氮氣(N2 )及氦(He)中之一者或其組合。殼體可以由銅材料或其它合適的材料製成,其中形成有供來自冷卻劑源583之冷卻劑流動的適當冷卻劑通道。每個管560可以含有反射器和高強度燈組件或IR發射器形成為蜂窩狀管佈置。可藉由一或多個溫度感測器517 (更詳細描述於下文)對基板540的加熱進行動態控制,所述一或多個溫度感測器517適於測量基板540各處的溫度。
定子組件518可環繞腔室本體502的壁508,並耦接至一或多個致動器組件522,致動器組件522可控制定子組件518沿著腔室本體502的外部升高。
空氣成份控制系統564也可耦接至腔室本體502的內部容積520。空氣成份控制系統564通常包括節流閥及真空泵,用於控制腔室壓力。空氣成份控制系統564可額外包括氣體源,用於將製程氣體或其它氣體供應至內部容積520。空氣成份控制系統564也可適於傳遞製程氣體供熱沉積製程所用。
腔室500也可包括控制器524,控制器524通常包括中央處理單元(CPU) 530、支持電路528和記憶體526。CPU 530可為任何形式的電腦處理器中的一種,其可以用於工業設定,以控制各種動作和子處理器。記憶體526,或電腦可讀介質,可以是易於獲得的記憶體中的一或多種,諸如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟或任何其它形式的數位儲存裝置,本地或遠端,並且通常耦接至CPU 530。支持電路528可耦接至CPU 530,用於以習用方式支持控制器524。這些電路可包括快取、電源、時脈電路、輸入/輸出電路、子系統等。
腔室500也可包括一或多個感測器516,感測器516通常適於偵測腔室本體502的內部容積520中之基板支撐件504 (或基板540)的升高。感測器516可耦接至腔室本體502及/或處理腔室500的其它部分,並適於提供輸出,該輸出能指示基板支撐件504與腔室本體502的頂部512及/或底部510之間的距離,且感測器516還可偵測基板支撐件504及/或基板540之間的錯準。一或多個感測器516可耦接至控制器524,控制器524可接收來自感測器516的輸出度量,並提供一或多個訊號至一或多個致動器組件522,以升高或降低基板支撐件504的至少一部分。一或多個感測器516可為超音波、雷射、電感式、電容式或適於偵測腔室本體502內之基板支撐件504的接近度(proximity)的其它類型感測器。
腔室500也可包括一或多個溫度感測器517,其可適於在處理之前、期間或之後感應基板540的溫度。在第5圖所描繪的實施例中,溫度感測器517穿過頂部512而設置,但也可採用腔室本體502內部或周圍的其它位置。
第6圖概要地繪示用於熱處理基板的裝置600。詳言之,可在毫秒退火製程中利用裝置600。進而,如上所述,系統600可被用來退火基板的背側。
裝置600可包含連續波電磁輻射模組601、載台616及平移機構618,其中載台616經配置以接收其上之基板614。連續波電磁輻射模組601可包含連續波電磁輻射源602和聚焦光學元件620,聚焦光學元件620設置在連續波電磁輻射源602與載台616之間。
連續波電磁輻射源602能發射諸如光等電磁輻射的「連續波(continuous waves)」或射線。「連續波(continuous waves)」是指輻射源被配置為連續地發射輻射,即不是輻射的爆發(burst)、脈衝或閃光。這與雷射退火中使用的雷射非常不同,雷射退火通常使用爆發或閃光。
進而,由於連續波電磁輻射在基板的表面處或附近被吸收,所述輻射具有的波長是在基板可吸收輻射的範圍內。在矽基板的例子中,連續波電磁輻射具有介於190 nm與950 nm之間的波長,諸如大約810 nm。
或者,可以使用在UV中或附近操作的高功率連續波電磁輻射雷射源。由這種連續波電磁輻射雷射源產生的波長被大多數其它反射材料高度吸收。
在一個實施例中,連續波電磁輻射源602能夠連續發射輻射達至少15秒。在另一個實施例中,連續波電磁輻射源602可包含多個雷射二極體,每個雷射二極體可產生相同波長的均勻且空間同調的光。雷射二極體的功率可在0.5 kW至50 kW的範圍內,例如,接近5 kW。合適的雷射二極體是由加州聖塔克拉拉市的Coherent公司、加州的Spectra-Physics所製造;或由密蘇里州聖查爾斯市的Cutting Edge Optronics公司所製造。雷射二極體的一個實例是由Cutting Edge Optronics公司所製造,而另一個合適的雷射二極體是Spectra Physics的MONSOON® 多桿模組(multi-bar module;MBM),其每個雷射二極體模組可提供40至480瓦的連續波功率。
聚焦光學元件620可包括一或多個準直器606,以校準來自連續波電磁輻射源602的輻射604成為基本上平行的射束608。此經校準的輻射608接著被至少一個透鏡610聚焦成為基板614的上表面624處的線形輻射622。
透鏡610是能將輻射聚焦成為線形的任何合適的透鏡或一系列的透鏡。在一個實施例中,透鏡610是柱狀透鏡。或者,透鏡610可為一或多個凹透鏡、凸透鏡、平面鏡、凹面鏡、凸面鏡、折射透鏡、繞射透鏡、菲涅爾透鏡、梯度折射率透鏡(gradient index lens)等。
載台616可包括用於平移基板的平台,如下文所解說。載台616可包括邊緣支撐件(類似於第3圖的邊緣支撐件390),以對基板進行背側處理。
如前文所述,在某些實施例中,可利用邊緣支撐件來支撐基板的邊緣部分。然而,在某些實施例中,可藉由複數個銷來支撐基板。複數個銷可在基板上的任何位置處接觸基板,包括在接近基板的邊緣之位置處。銷支撐件可容許對基板的底部應力膜側的熱處理。進而,在某些實施例中,當基板安置及/或在基板的邊緣上被支撐時,可對基板的背側進行熱處理。
裝置600也可包括平移機構618,平移機構618經配置以使載台616和線狀輻射622相對於彼此平移。在一個實施例中,平移機構618可耦接至載台616,以相對於連續波電磁輻射源602及/或聚焦光學元件620移動載台616。在另一個實施例中,平移機構可耦接至連續波電磁輻射源602及聚焦光學元件620兩者,以相對於載台616移動連續波電磁輻射源602及/或聚焦光學元件620。在又一個實施例中,平移機構618移動連續波電磁輻射源602、聚焦光學元件620及載台616。可使用任何合適的平移機構,如輸送帶系統、齒條和齒輪系統等。
在某些實施例中,裝置600可包含遮蔽環690,以屏蔽基板614的邊緣不受高溫應力。如上所註解,可設定遮蔽環690的尺寸,以防止載台616上之基板的非所欲移動。
第7圖繪示蝕刻反應器700的簡圖。可利用蝕刻反應器700來蝕刻基板的背側,如上所述。
在某些實施例中,蝕刻反應器700可包括離子自由基屏蔽件770。可適於與本文所揭露之教示一起使用的合適反應器包括,例如,Decoupled Plasma Source (DPS® ) II型反應器,或Tetra I型及Tetra II型光罩蝕刻系統,以上全部可從加州聖塔克拉拉市的應用材料公司獲得。DPS® II型反應器也可被用作Centura® 整合式半導體晶圓處理系統(一樣可自應用材料公司獲得)的處理模組。本文所示的反應器700的特定實施例是為了說明之目的而提供,且不應用於限制本揭示內容的範圍。
反應器700一般可包含製程腔室702及控制器746,製程腔室702具有基板基座724位在導電本體(壁) 704內。腔室702具有基本上平坦的介電質頂棚708。腔室702的其它修飾例可具有其它型態的頂棚,如圓頂狀的頂棚。天線710可設置在頂棚708上方。天線710可包含一或多個感應線圈元件,一或多個感應線圈元件可被選擇性控制(第7圖中顯示兩個同軸元件710a和710b)。天線710可透過第一匹配網路714耦接至電漿功率源712。電漿功率源712典型能在自約50 kHz至約13.56 MHz的範圍中之可調節頻率下,產生高達約3000 W。
基板基座(陰極) 724可透過第二匹配網路742耦接至偏壓功率源740。偏壓功率源740通常是在大約13.56MHz的頻率下高達約500 W的源,其能夠產生連續或脈衝式功率。或者,源740可以是DC或脈衝式DC源。
在一個實施例中,基板支撐基座724可提供基板邊緣支撐件。在一個實施例中,基板支撐基座724可包含靜電卡盤(electrostatic chuck) 760。靜電卡盤760可包含至少一個夾持電極732,並且由卡盤電源766控制。在替代實施例中,基板基座724可包含基板保持機構,如底座夾持環(susceptor clamp ring)、機械式卡盤(mechanical chuck)等。
可使用光罩接合器(reticle adapter) 782將基板(光罩) 722固定在基板支撐基座724上。光罩接合器782一般包括下部784及上部786,下部784可經磨製以覆蓋基座724 (例如,靜電卡盤760)的上表面,而上部786具有開口788,開口788的尺寸和形狀可經訂定以支托基板722。開口788通常相對於基座724而實質置中。接合器782通常由單一部件的抗蝕刻、耐高溫材料(如聚醯亞胺陶瓷或石英)所形成。邊緣環726可覆蓋接合器782及/或將接合器782固定至基座724。
舉升機構738可用於降低或升高接合器782,且因而降低基板722至基板支撐基座724上,或升高基板722離開基板支撐基座724。一般而言,舉升機構762可包含複數個舉升銷730 (僅繪示一個舉升銷),舉升銷730可經過各自的導向孔736行進。
在操作中,可藉由穩定基板基座724的溫度來控制基板722的溫度。在一個實施例中,基板支撐基座724可包含阻抗式加熱器744和散熱器728。阻抗式加熱器744通常包含至少一個加熱元件734,並受到加熱器電源768的調控。來自氣體源756的背側氣體(如,氦(He))可透過氣體導管758提供至通道,所述通道形成在基板722下方的基座表面中。背側氣體可用來協助基座724與基板722之間的熱傳遞。在處理期間,可藉由內嵌的阻抗式加熱器744將基座724加熱至穩態(steady-state)溫度,此方式可與氦背側氣體結合而有助於基板722的均勻加熱。使用這樣的熱控制方式,可將基板722維持在介於約攝氏0度與攝氏350度之間的溫度。
離子-自由基屏蔽件770設置在基座724上方的腔室702中。離子-自由基屏蔽件770可與腔室壁704及基座724電性隔離,且通常包含實質上平坦的板772和複數個支腳776。可藉由支腳776將板772支撐在基座上方的腔室702中。板772可界定一或多個開口(通孔) 774,所述開口在板772的表面中界定了期望的開口區塊。離子-自由基屏蔽件770的開口區塊可控制從電漿通往下製程容積780的離子的量,其中電漿形成於製程腔室702的上製程容積778中,而下製程容積780位在離子-自由基屏蔽件770與基板722之間。開口區塊越大,則越多離子可通過離子-自由基屏蔽件770。藉此,通孔774的尺寸可控制容積780中的離子密度。所以,屏蔽件770為離子過濾器。
第8圖繪示叢集工具810之頂部簡要視圖,叢集工具810具有複數個基板處理腔室812安裝於叢集工具810上。與第8圖所示之叢集工具類似的叢集工具可購自加州聖塔克拉拉市的應用材料公司。可利用叢集工具810作為轉移腔室,以在本文所述之多個工具與腔室之間轉移基板。
工具可包括裝載閘腔室820及轉移腔室818,轉移腔室818具有基板傳送模組816,用以在系統內將基板從一個位置移動至另一個位置,特別是在多重基板處理腔室812之間移動基板。此特定工具被繪示為容納多達四個基板處理腔室812,所述四個基板處理腔室812徑向地圍繞轉移腔室而定位,然而,可以預期的是,可以在其上容納任何數量的基板處理腔室812。
本揭示內容的益處包括:基板底部的處理較不會在熱力學上影響基板的頂部結構(如,透過表面加熱技術、高表面輻照度雷射或閃光燈的使用)或在組成分上影響基板的頂部結構。此外,來自基板的底部之應變更一致,因為它們與基板頂部上的任何處理隔離。進而,可以在基板的底部上進行額外的調整,以補償在後續處理中產生的應變。一旦被加熱,在基板的背側上之佈植可抵消在基板的前側上之其它熱應力。
雖然前文係涉及本揭示內容的實施例,但在不偏離本揭示內容的基本範圍內衍生其它和進一步的實施例,並且本揭示內容的範圍可由以下申請專利範圍來確定。
100‧‧‧方法
110~130‧‧‧操作
200‧‧‧方法
210~240‧‧‧操作
300‧‧‧腔室
302‧‧‧腔室本體
312‧‧‧側壁
314‧‧‧內壁
316‧‧‧底壁
318、320‧‧‧處理區域
322、324‧‧‧通道
325‧‧‧泵送通道
326‧‧‧主幹
327‧‧‧內襯/插件
328‧‧‧加熱器
329‧‧‧凸緣
331‧‧‧排放埠
380‧‧‧基板邊緣支撐件
400‧‧‧系統
402‧‧‧能量模組
404‧‧‧脈衝控制模組
405‧‧‧脈衝控制器
406‧‧‧脈衝塑形模組
407‧‧‧脈衝塑型器
408‧‧‧均質器
410‧‧‧基板支撐件
412‧‧‧控制器
414‧‧‧外殼
416‧‧‧孔構件
418‧‧‧對準模組
490‧‧‧遮蔽環
500‧‧‧系統
502‧‧‧腔室本體
504‧‧‧基板支撐件
506‧‧‧輻射熱源
508‧‧‧壁
510‧‧‧底部
512‧‧‧頂部
514‧‧‧窗
516‧‧‧感測器
517‧‧‧溫度感測器
518‧‧‧定子組件
520‧‧‧內部容積
522‧‧‧致動器組件
524‧‧‧控制器
526‧‧‧記憶體
528‧‧‧支持電路
530‧‧‧CPU
540‧‧‧基板
544‧‧‧舉升銷
548‧‧‧基板存取埠
560‧‧‧蜂巢管
564‧‧‧空氣成份控制系統
583‧‧‧冷卻劑源
600‧‧‧裝置
601‧‧‧連續波電磁輻射模組
602‧‧‧連續波電磁輻射源
604‧‧‧輻射
606‧‧‧準直器
608‧‧‧輻射
610‧‧‧透鏡
614‧‧‧基板
616‧‧‧載台
618‧‧‧平移機構
620‧‧‧聚焦光學元件
622‧‧‧線狀輻射
624‧‧‧上表面
690‧‧‧遮蔽環
700‧‧‧蝕刻反應器
702‧‧‧製程腔室
704‧‧‧本體(壁)
708‧‧‧頂棚
710‧‧‧天線
710a、710b‧‧‧同軸元件
712‧‧‧電漿功率源
714‧‧‧匹配網路
722‧‧‧基板(光罩)
724‧‧‧基座
726‧‧‧邊緣環
728‧‧‧散熱器
730‧‧‧舉升銷
732‧‧‧夾持電極
734‧‧‧加熱元件
736‧‧‧導向孔
738‧‧‧舉升機構
740‧‧‧偏壓功率源
742‧‧‧匹配網路
744‧‧‧加熱器
746‧‧‧控制器
756‧‧‧氣體源
758‧‧‧氣體導管
760‧‧‧靜電卡盤
762‧‧‧舉升機構
766‧‧‧電源
768‧‧‧電源
770‧‧‧屏蔽件
772‧‧‧板
774‧‧‧開口(通孔)
776‧‧‧支腳
778‧‧‧上製程容積
780‧‧‧下製程容積
782‧‧‧光罩接合器
784‧‧‧下部
786‧‧‧上部
788‧‧‧開口
810‧‧‧叢集工具
812‧‧‧基板處理腔室
816‧‧‧基板傳送模組
818‧‧‧轉移腔室
820‧‧‧裝載閘腔室
為了可以詳細地理解本揭示內容的上述特徵的方式,可以通過參考實施例來實現對上面簡要概述的本揭示內容的更具體的描述,其中一些實施例在附圖中示出。然而,應當注意,附圖僅示出本揭示內容的典型實施例,因此不應被認為是對其範圍的限制,本揭示內容可以允許其他等效的實施例。
第1圖概要地繪示根據一個實施例之用於處理基板的背側之方法的操作。
第2圖概要地繪示根據一個實施例之用於處理基板的背側之方法的操作。
第3圖繪示根據一個實施例之沉積腔室的概要視圖。
第4圖繪示根據一個實施例之用於熱處理基板之裝置的概要等角視圖。
第5圖繪示根據一個實施例之用於熱處理基板之快速熱處理腔室的概要等角視圖。
第6圖繪示根據一個實施例之用於熱處理基板之裝置的概要視圖。
第7圖繪示根據一個實施例之蝕刻反應器的概要視圖。
第8圖繪示根據一個實施例之具有複數個基板處理腔室的叢集工具轉移腔室之頂部概要視圖。
為了便於理解,在可能的情況下,已經使用相同的元件符號來表示附圖中共用的相同元件。可以預期,在一個實施例中揭示的元件可以有利地用於其它實施例而無需具體敘述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
(請換頁單獨記載) 無

Claims (20)

  1. 一種用於處理一基板的一背側之方法,該基板具有形成在一前側上之一元件,該方法包含下列步驟:於該基板的該背側上沉積一膜;接著將該基板的該背側上之該沉積膜退火,以調整該膜內之應變;以及,接著佈植該基板的該背側上之該沉積膜,以調整該膜內之應變。
  2. 如請求項1所述之方法,其中以介於40奈米與120奈米之間的一深度沉積該膜。
  3. 如請求項1所述之方法,其中該膜的一區塊係沉積至該基板的一對應區塊。
  4. 如請求項3所述之方法,其中該膜的該區塊對應到該基板的一前側上之一晶粒。
  5. 如請求項1所述之方法,其中該膜溶解或擴散進入該基板。
  6. 如請求項1所述之方法,其中該退火係點退火(spot annealing),且其中該點退火發生在該基板的該背側之選擇位置上。
  7. 如請求項1所述之方法,其中該退火係一奈秒退火製程或一毫秒退火製程。
  8. 如請求項1所述之方法,進一步包含下列步驟:蝕刻該基板的該背側; 對齊該基板以進行圖案化;定位該基板中之變形;以及藉由平坦化該基板來補償該等變形。
  9. 如請求項1所述之方法,其中該膜包含氮化物、非晶碳或金屬矽化物中之一者。
  10. 一種用於處理一基板的一背側之方法,該基板具有形成在一前側上之一元件,該方法包含下列步驟:將該基板之一背側退火,以調整該基板內之應變;接著佈植該基板的該背側,以調整該基板內之應變;接著蝕刻該基板的該背側;以及,接著對齊該基板以進行圖案化。
  11. 如請求項10所述之方法,進一步包含下列步驟:在該基板的該背側上,以介於40奈米與120奈米之間的一深度沉積一膜。
  12. 如請求項11所述之方法,其中該膜的一區塊係沉積至該基板的一對應區塊。
  13. 如請求項11所述之方法,其中該膜溶解或擴散進入該基板。
  14. 如請求項11所述之方法,其中該膜包含氮化物、非晶碳或金屬矽化物中之一者。
  15. 如請求項10所述之方法,其中該退火係點退火(spot annealing),且其中該點退火發生在該 基板的該背側之選擇位置上。
  16. 如請求項10所述之方法,其中該退火係一奈秒退火製程或一毫秒退火製程。
  17. 如請求項10所述之方法,進一步包含下列步驟:定位該基板中的變形;以及藉由平坦化該基板來補償該等變形。
  18. 一種用於處理一基板的一背側之工具,該基板具有形成在一前側上之一元件,該工具包含:一沉積腔室,用以在該基板的一背側上沉積複數個膜層;一退火腔室,用以將該基板的該背側上之該複數個膜層退火,以調整該等膜層內之應變,其中該退火腔室包含一基板邊緣支撐件;一蝕刻腔室,用以蝕刻該基板的該背側,其中該蝕刻腔室包含一基板邊緣支撐件;以及一轉移腔室,經操作性地連接而在該沉積腔室、該退火腔室與該蝕刻腔室之間轉移該基板。
  19. 如請求項18所述之工具,其中該沉積腔室包含一基板邊緣支撐件。
  20. 如請求項18所述之工具,其中該退火腔室進一步包含一遮蔽環,用以遮蔽該基板的一邊緣,使該基板的該邊緣不受高熱應力。
TW105135819A 2015-11-09 2016-11-04 用於處理基板的背側之方法及工具 TWI675393B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562252901P 2015-11-09 2015-11-09
US62/252,901 2015-11-09
US201662306150P 2016-03-10 2016-03-10
US62/306,150 2016-03-10

Publications (2)

Publication Number Publication Date
TW201727696A TW201727696A (zh) 2017-08-01
TWI675393B true TWI675393B (zh) 2019-10-21

Family

ID=58663719

Family Applications (2)

Application Number Title Priority Date Filing Date
TW105135819A TWI675393B (zh) 2015-11-09 2016-11-04 用於處理基板的背側之方法及工具
TW108133596A TWI729498B (zh) 2015-11-09 2016-11-04 基板處理方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW108133596A TWI729498B (zh) 2015-11-09 2016-11-04 基板處理方法

Country Status (7)

Country Link
US (1) US10128197B2 (zh)
JP (1) JP6971229B2 (zh)
KR (2) KR20230152092A (zh)
CN (3) CN116435167A (zh)
DE (1) DE112016005136T5 (zh)
TW (2) TWI675393B (zh)
WO (1) WO2017083037A1 (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10418264B2 (en) * 2016-06-08 2019-09-17 Hermes-Epitek Corporation Assembling device used for semiconductor equipment
US10510575B2 (en) * 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10916416B2 (en) * 2017-11-14 2021-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor wafer with modified surface and fabrication method thereof
JP7326275B2 (ja) * 2017-12-01 2023-08-15 アプライド マテリアルズ インコーポレイテッド エッチング選択性の高いアモルファスカーボン膜
JP2020047617A (ja) * 2018-09-14 2020-03-26 キオクシア株式会社 基板処理装置、半導体装置の製造方法、および被加工基板
DE102019211447B4 (de) * 2019-07-31 2023-06-01 Robert Bosch Gmbh Verfahren zum Laserrichten von Führungsschienen
WO2023272638A1 (en) 2021-06-30 2023-01-05 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and methods for forming the same
CN115803882A (zh) 2021-06-30 2023-03-14 长江存储科技有限责任公司 三维存储器装置及其形成方法
WO2023028729A1 (en) * 2021-08-30 2023-03-09 Yangtze Memory Technologies Co., Ltd. Wafer stress control and semiconductor structure
WO2024072609A1 (en) * 2022-09-28 2024-04-04 Applied Materials, Inc. Correction of global curvature during stress management
CN115642112A (zh) * 2022-11-24 2023-01-24 西安奕斯伟材料科技有限公司 一种用于硅片的背封装置及背封方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020098713A1 (en) * 1997-07-29 2002-07-25 Francois J. Henley Clustertool system software using plasma immersion ion implantation
US20050208776A1 (en) * 2004-03-22 2005-09-22 Texas Instruments Inc. Interface improvement by stress application during oxide growth through use of backside films
US20070173045A1 (en) * 2006-01-23 2007-07-26 Mitsubishi Electric Corporation Method of manufacturing semiconductor device
TW201237969A (en) * 2011-03-03 2012-09-16 Toshiba Kk Method of manufacturing semiconductor device
TW201434155A (zh) * 2013-02-27 2014-09-01 Taiwan Semiconductor Mfg 半導體裝置及其製造方法

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5296385A (en) 1991-12-31 1994-03-22 Texas Instruments Incorporated Conditioning of semiconductor wafers for uniform and repeatable rapid thermal processing
JPH05315371A (ja) * 1992-05-12 1993-11-26 Fujitsu Ltd 化合物半導体装置の製造方法
JP3161450B2 (ja) * 1999-02-02 2001-04-25 日本電気株式会社 基板処理装置、ガス供給方法、及び、レーザ光供給方法
JP3505678B2 (ja) * 1999-08-25 2004-03-08 住友重機械工業株式会社 ウエハの歪修正装置
JP2001274048A (ja) * 2000-03-24 2001-10-05 Hitachi Ltd 半導体装置の製造方法及び加工装置
KR20020034492A (ko) 2000-11-02 2002-05-09 박종섭 반도체 소자의 제조방법
JP4653374B2 (ja) * 2001-08-23 2011-03-16 セイコーエプソン株式会社 電気光学装置の製造方法
US7244311B2 (en) * 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
US7432177B2 (en) 2005-06-15 2008-10-07 Applied Materials, Inc. Post-ion implant cleaning for silicon on insulator substrate preparation
US20080128019A1 (en) * 2006-12-01 2008-06-05 Applied Materials, Inc. Method of metallizing a solar cell substrate
US7776746B2 (en) 2007-02-28 2010-08-17 Alpha And Omega Semiconductor Incorporated Method and apparatus for ultra thin wafer backside processing
US8846532B2 (en) 2007-02-28 2014-09-30 Alpha And Omega Semiconductor Incorporated Method and apparatus for ultra thin wafer backside processing
EP3573092B1 (en) 2008-05-02 2021-12-22 Applied Materials, Inc. System for non radial temperature control for rotating substrates
US20090278287A1 (en) * 2008-05-12 2009-11-12 Yun Wang Substrate processing with reduced warpage and/or controlled strain
US20100109060A1 (en) 2008-11-06 2010-05-06 Omnivision Technologies Inc. Image sensor with backside photodiode implant
JP2010225830A (ja) 2009-03-24 2010-10-07 Mitsumi Electric Co Ltd 半導体装置の製造方法
JP5801300B2 (ja) * 2009-07-15 2015-10-28 シランナ・セミコンダクター・ユー・エス・エイ・インコーポレイテッドSilanna Semiconductor U.S.A., Inc. 背面放熱を伴う絶縁体上半導体
SG169302A1 (en) * 2009-08-25 2011-03-30 Rohm & Haas Elect Mat Enhanced method of forming nickel silicides
JP2011119472A (ja) * 2009-12-03 2011-06-16 Panasonic Corp 半導体製造装置
CN102420176A (zh) * 2011-06-15 2012-04-18 上海华力微电子有限公司 一种改善半导体晶片翘曲的方法
US8466530B2 (en) 2011-06-30 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Co-implant for backside illumination sensor
KR102068186B1 (ko) * 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
CN103094098A (zh) * 2013-01-14 2013-05-08 陆伟 一种解决晶圆破片的方法
JP2015012241A (ja) * 2013-07-01 2015-01-19 ソニー株式会社 撮像素子およびその製造方法、ならびに電子機器
KR102133490B1 (ko) * 2013-11-11 2020-07-13 에스케이하이닉스 주식회사 트랜지스터, 트랜지스터의 제조 방법 및 트랜지스터를 포함하는 전자장치
US9824894B2 (en) * 2014-04-09 2017-11-21 Tokyo Electron Limited Method for correcting wafer bow from overlay
US9159621B1 (en) * 2014-04-29 2015-10-13 Applied Materials, Inc. Dicing tape protection for wafer dicing using laser scribe process
JP6510310B2 (ja) * 2014-05-12 2019-05-08 ローム株式会社 半導体装置
WO2015195272A1 (en) * 2014-06-20 2015-12-23 Applied Materials, Inc. Methods for reducing semiconductor substrate strain variation
US9613870B2 (en) * 2015-06-30 2017-04-04 International Business Machines Corporation Gate stack formed with interrupted deposition processes and laser annealing

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020098713A1 (en) * 1997-07-29 2002-07-25 Francois J. Henley Clustertool system software using plasma immersion ion implantation
US20050208776A1 (en) * 2004-03-22 2005-09-22 Texas Instruments Inc. Interface improvement by stress application during oxide growth through use of backside films
US20070173045A1 (en) * 2006-01-23 2007-07-26 Mitsubishi Electric Corporation Method of manufacturing semiconductor device
TW201237969A (en) * 2011-03-03 2012-09-16 Toshiba Kk Method of manufacturing semiconductor device
TW201434155A (zh) * 2013-02-27 2014-09-01 Taiwan Semiconductor Mfg 半導體裝置及其製造方法

Also Published As

Publication number Publication date
JP6971229B2 (ja) 2021-11-24
KR20230152092A (ko) 2023-11-02
TWI729498B (zh) 2021-06-01
DE112016005136T5 (de) 2018-07-26
CN116435172A (zh) 2023-07-14
JP2018536990A (ja) 2018-12-13
WO2017083037A1 (en) 2017-05-18
CN108352298A (zh) 2018-07-31
TW202015095A (zh) 2020-04-16
TW201727696A (zh) 2017-08-01
US10128197B2 (en) 2018-11-13
CN116435167A (zh) 2023-07-14
KR20180069920A (ko) 2018-06-25
US20170133328A1 (en) 2017-05-11
KR102584138B1 (ko) 2023-10-04
CN108352298B (zh) 2023-04-18

Similar Documents

Publication Publication Date Title
TWI675393B (zh) 用於處理基板的背側之方法及工具
US10020204B2 (en) Bottom processing
TWI692047B (zh) 用於epi製程之晶圓加熱的二極體雷射
US7109087B2 (en) Absorber layer for DSA processing
EP3329510B1 (en) Rotating substrate laser anneal
KR102126119B1 (ko) 열처리 방법
US7838431B2 (en) Method for surface treatment of semiconductor substrates
US7643736B2 (en) Apparatus and method for manufacturing semiconductor devices
US20110185971A1 (en) Laser doping
EP1676300B1 (en) Method for annealing a substrate comprising an absorber layer
TWI768238B (zh) 半導體形成裝置與半導體裝置的形成方法
US20070022623A1 (en) Laser surface drying
JP4391537B2 (ja) 半導体装置
JP4585027B2 (ja) 半導体装置
JPH0963980A (ja) 半導体集積回路装置の製造方法および製造装置
JP2004297077A (ja) 半導体装置の作製方法