KR20080034976A - 저온 증착되는 탄소 함유 하드 마스크를 이용하는 반도체기판 프로세스 - Google Patents

저온 증착되는 탄소 함유 하드 마스크를 이용하는 반도체기판 프로세스 Download PDF

Info

Publication number
KR20080034976A
KR20080034976A KR1020087005075A KR20087005075A KR20080034976A KR 20080034976 A KR20080034976 A KR 20080034976A KR 1020087005075 A KR1020087005075 A KR 1020087005075A KR 20087005075 A KR20087005075 A KR 20087005075A KR 20080034976 A KR20080034976 A KR 20080034976A
Authority
KR
South Korea
Prior art keywords
layer
wafer
gas
plasma
carbon
Prior art date
Application number
KR1020087005075A
Other languages
English (en)
Inventor
카르틱 라마스와미
히로지 하나와
비아지오 갈로
케니쓰 에스. 콜린스
카이 마
비제이 파리하르
딘 제닝스
아브힐아쉬 제이. 마유르
아미르 알-바야티
앤드류 구옌
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080034976A publication Critical patent/KR20080034976A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/151Matting or other surface reflectivity altering material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • High Energy & Nuclear Physics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Electromagnetism (AREA)
  • Optics & Photonics (AREA)
  • Toxicology (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

광학적 라이터블 마스크를 이용하여 반도체 기판 상에 박막 구조를 프로세싱하는 방법은 기판을 반응 챔버 내에 위치시키는 단계로서, 미리결정된 패턴에 따라 식각될 타겟 층을 기판의 표면 상에 가지는, 기판을 반응 챔버 내에 위치시키는 단계; 및 (a) 탄소 함유 프로세스 가스를 상기 챔버 내측으로 도입시키는 단계, (b) 플라즈마 RF 소오스 전력을 상기 재입구 통로의 외측 부분에 커플링함으로써 워크피스 위의 프로세스 존을 포함하는 재입구 통로 내에 재입구 환상형 RF 플라즈마 전류를 발생시키는 단계, (c) RF 플라즈마 바이어스 전력 또는 바이어스 전압을 워크피스에 커플링하는 단계,에 의해 기판 상에 탄소 함유 하드 마스크 층을 증착시키는 단계;를 포함한다. 상기 방법은 탄소 함유 하드 마스크 층 내에 미리결정된 패턴을 포토리소그래픽적으로 형성하는 단계; 및 하드 마스크 층의 존재하에 타겟 층을 식각시키는 단계를 더 포함한다.

Description

저온 증착되는 탄소 함유 하드 마스크를 이용하는 반도체 기판 프로세스 {SEMICONDUCTOR SUBSTRATE PROCESS USING A LOW TEMPERATURE-DEPOSITED CARBON-CONTAINING HARD MASK}
결정질 반도체 웨이퍼 상에 형성되는 고속 집적 회로는 이온 주입 도펀트 불순물에 의해 공급원 내측으로 형성되는 극히 얕은(ultra-shallow) 반도체 접합부 및 드레인 영역을 가진다. 주입된 도펀트 불순물은 주입원자를 결정질 반도체 격자 내에서 치환시키는 고온 어닐링 단계에 의해 활성화된다. 이러한 포스트 이온(post-ion) 주입 어닐링 단계는 동력 램프(powerful lamp)를 사용하는 신속한 열 프로세스(RPT)에 의해 수행되며, 상기 램프는 전체 웨이퍼 용적을 짧은 시간 동안 매우 높은 온도로 (예를 들어, 초당 약 100~200 ℃의 상승률 및 초당 50~100℃의 저하율) 가열한다. 가열 주기는 반도체 웨이퍼 내의 주입 위치로부터 도펀트 불순물의 열적 유도 확산에 의해 주입 접합 정의역을 퇴화(degrading)시키는 것을 방지해야만 한다. 이러한 RTP 접근은 긴 주기 동안 노 내의 웨이퍼를 가열하는 보다 초기의(older) 포스트-이온 주입 어닐링 기술 보다 양호한 개선점을 가진다. 램프를 이용하는 RTP는 열 공급원(램프 필라멘트)의 응답 시간이, 히터 응답 시간이 매우 느린 노 어닐링 단계에 비해 짧기 때문에 효과적이다. 고온의 짧은 가열 주기 의 RPT 방법은 열적 유도 확산을 최소화하면서 주입되는 불순물의 활성화를 촉진한다.
개선된 어닐링은 매우 짧은 시간(예를 들어, 수 밀리 초) 동안 매우 높은 온도에서 전체 웨이퍼의 표면(만)을 가열하는 동력 플래시 램프를 사용하는 플래시 램프 어닐링 프로세스에 의해 수행된다. 가열 주기는 반도체 웨이퍼 내의 주입되는 위치로부터 도펀트 불순물의 열적 유도 확산에 의한 주입 접합 정의역을 퇴화시키는 것을 방지하기 위해서 짧아야만 한다. 이러한 플래시 접근은 다수의 웨이퍼가 히트 싱크(heat sink)로서 작용하고 고온 웨이퍼 표면의 급속 냉각을 허용하기 때문에 RPT 접근 보다 개선된 접근이다. 플래시램프를 이용하는 고속 어닐링은 보다 효과적이며 이는 웨이퍼의 전체 용적이 대략 동일한 어닐링 온도에서 가열되는 RPT 어닐링 단계에 비해 가열이 웨이퍼의 표면에 한정되기 때문이다. 플래시 방법의 고온에서의 짧은 주기는 열적 유도 확산을 최소화시킨다. 그러나 전체 웨이퍼에 걸쳐서 열 균일성을 달성하기에 어렵다. 웨이퍼 내에서의 보다 큰 열적 불균일성은 상당한 양의 기계적 응력을 생성하여 웨이퍼 파손을 야기하여, 플래시 램프를 이용하는 어닐링을 위해 가장 높은 작동 온도를 약 1150℃로 제한한다. 플래시램프 어닐링 중의 표면 온도는 하나의 웨이퍼에서 다른 웨이퍼로 반복 방식으로 제어하기 어려운, 플래시 램프의 강도 및 펄스 주기에 의해 결정된다.
RTP가 가지는 하나의 문제점은 소자 크기가 65 나노미터(nm) 및 그 미만으로 감소함에 따라, RTP 또는 플래시 가열에 의해 야기되는 최소의 열 확산이 짧은 주기의 RTP 또는 플래시 가열에도, 소자 크기에 비해 상당해 진다는 점이다. 다른 문제점은 주입되는 도펀트 불순물의 활성 정도가 RTP 또는 플래시 프로세스의 최대 온도에 의해 제한되는 점이다. 최대 온도(예를 들어, 1100℃)보다 높게 RTP 프로세스로 전체 웨이퍼 용적을 가열하는 것은 극도의 경우에 웨이퍼 파손 및 격자 결함을 야기하는, 웨이퍼 내의 기계적 응력을 생성시킬 수 있다. 웨이퍼 온도를 최대 레벨(예를 들어, 1100℃)로 제한하는 것은 이러한 파손을 방지하지만, 공교롭게도 활성화되는(즉, 반도체 결정 격자 내에 대체되는) 주입되는 (도펀트) 원자 비율을 제한한다. 도펀트 활성을 제한하는 것은 시트 전도성을 제한하며 소자 속도를 제한하다. 이러한 문제는 소자 크기가 65nm 및 그 미만(예를 들어, 45 nm 미만)으로 감소함에 따라 더욱 현저해진다.
RTP 또는 플래시 어닐링에 의해 달성되는 도펀트 활성 레벨을 상승시키기 위해서, 레이저 어닐링이 RTP의 대체로서 도입되어왔다. 이용되는 레이저의 한 형태는 10.6 미크론의 방출 파장을 가지는 CO2 레이저이다. 이 레이저는 좁은 원통형 비임을 생성하며, 이는 전체 웨이퍼 표면을 따라 래스터-스캐닝(raster-scanned)되어야만 한다. 표면 반사력을 10.6 미크론으로 감소시키기 위해서, 비임은 웨이퍼 표면에 대해 예각으로 유지된다. 실리콘의 띠 간격보다 작은 광자 에너지에 대응하기 때문에, CO2 레이저 파장은 자유 캐리어 흡수를 통해 10.6 미크론 광자의 흡수를 용이하게 하기 위해서, 실리콘은 자유 캐리어를 이용하여 전도성 밴드를 분포(populate)시키도록 예열 되어야만 한다. 근본적인 문제점은 (그 중에서도 특히 국부적 자유 캐리어 농도를 결정하는) 도펀트 불순물에 의해 영향을 받기 때문에 10.6 미크론에서의 흡수가 패턴-의존적이어서, 웨이퍼 표면은 균일하게 가열되지 않는다. 또한, 웨이퍼 상의 전도성 또는 금속성 피쳐는 10.6 미크론 레이저 파장에서 고도로 반사적이어서 이러한 프로세스는 전도성 박막 피쳐의 존재에서 유용할 수 없다.
포스트-주입 어닐링 단계는 (실리콘의 밴드갭 보다 큰 광자 에너지에 대응하는 단 파장) 단 파장 펄스 레이저를 이용하여 수행되어 왔다. 표면 가열이 극도로 신속하고 얕으면서, 이러한 펄스 레이저는 반도체 결정을 녹는점에 이르게 하여, 이러한 접근의 유용함을 감소시키는, 극히 얕은 깊이로 제한되어야만 한다. 통상적으로 가열된 영역의 깊이는 극히 얕은 접합(약 200 Å)의 깊이 아래로 연장하지 않는다.
전술된 문제점은 다이오드 레이저 배열을 이용함으로써 극복될 수 있으며, 상기 다이오드 레이져의 다중 평형 비임은 웨이퍼 직경 또는 반경에 속하는 길이를 가지는 좁은 라인(예를 들어, 약 300 미크론 폭)을 따라 포커싱된다. 다이오드 레이저는 약 810 nm의 파장을 가진다. 이러한 파장은 반도체 결정(실리콘)의 밴드갭 에너지를 초과하여 광자 에너지에 대응하여 레이저 에너지는 가전자대와 전도대 사이의 전자 전이를 일으키며, 후속적으로 격자에 흡수된 에너지를 방출시키며 격자 온도를 상승시킨다. 좁은 레이저 비임 라인은 전체 웨이퍼 표면을 따라 (예를 들어, 약 300 mm/초의 속도로) 가로질러 스캐닝되어, 웨이퍼 표면 상의 각각의 포인트가 매우 짧은 시간(예를 들어, 약 1 밀리초) 동안 노출된다. 이러한 형태의 어닐링은 Dean C. Jennings 등에 의한 미국 특허 US 2003/0196996A1(2003년 10월 23일)에 기재되어 있다. 웨이퍼는 생산성이 더 커지며, RTP의 생산성에 접근하도록 단일 레이저 스폿의 펜슬형 비임에 의한 것보다 넓고 얇은 비임 라인에 의해 보다 더 신속하게 스캐닝된다. 그러나, RTP와는 달리 웨이퍼 표면의 적은 부분만이 가열되어, 응력이 웨이퍼의 나머지(벌크;bulk) 부분 내에 두드러지며, 최고 온도를 최대 RTP 온도(예를 들어 약 1250~1300℃) 보다 높게 증가시킨다. 전체 웨이퍼 부피는 어닐링의 특징을 개선하기 위해서 레이저 스캐닝 어닐링 중에 예열될 수도 있다. 최대 예열된 온도가 기술 노드, 프로세스 요건, 반도체 재료와의 양립성 등에 의해 지시된다. 결과적으로, 도펀트 활성은 보다 높아서, 시트 저항이 낮고 소자 속도가 보다 높다. 웨이퍼 표면의 각각의 영역은 약 50 마이크로초 동안 약 1250~1300℃의 온도 범위에 도달한다. 이러한 영역의 깊이는 약 10 내지 20 미크론이다. 이는 약 200Å의 극히 얕은 반도체 접합 깊이 아래로 잘 연장한다.
웨이퍼 표면은 주입된(도펀트) 원자의 원하는 활성을 달성하기 위해서 최소 온도(예를 들어, 1250℃)보다 높게 가열되어야만 한다. 상승된 온도는 전기 전도성 및 누출과 같은 접합의 전기적 특성을 개선하기 위해서 임의의 전술된 주입 또는 열적 단계에 의해 야기되는 결함 및 다른 격자 손상을 어닐링하기 위해서 요구되기도 한다. 웨이퍼 표면은 반도체 결정(예를 들어, 결정 또는 다결정 실리콘)의 융점을 방지하기 위해서 최대 온도(예를 들어, 1350℃) 미만으로 유지되어야만 한다. 이러한 원하는 온도 범위 내에서 전체 웨이퍼 표면을 균일하게 가열하기 위해서, 웨이퍼 표면의 광 흡수가 웨이퍼에 걸쳐서 균일해야만 하며, 웨이퍼 표면의 방사된 부분 내의 표면 온도는 레이저 비임 라인이 웨이퍼를 따라 스캐닝되는 동안 (정확한 온도 제어를 할 수 있게 하기 위해서) 정확하게 측정되어야만 한다. 이는 웨이퍼 표면의 가열된 부분에 의해 빛의 방출을 측정함으로써 달성되며, 측정은 균일하게 정확해야 한다. 본 명세서에 사용된 바와 같은, "광"이라는 용어는 가열된 웨이퍼 표면으로부터 방출되거나 적외선 또는 가시광선 또는 적외선인 (레이저와 같은) 광 소오스로부터 방출되는 전자기 방사선 또는 임의의 광 파장을 언급하는 것을 의미한다.
문제점은 웨이퍼 표면상에 형성된 하부 박막 구조물이 웨이퍼 표면상의 여러 위치에서 다른 광 방사율 및 다른 광 흡수 특징을 나타낸다는 점이다. 웨이퍼 표면을 따라 균일한 어닐링 온도를 달성하는 것이 불가능하고 웨이퍼 표면을 따라 균일하게 정확한 온도 측정을 달성하는 것이 불가능하다면 이를 어렵게 만든다. 이러한 문제점은 전체 웨이퍼 표면 위에 균일한 광 흡수 층을 증착함으로써 해결될 수 있으며, 상기 전체 웨이퍼 표면은 레이저 방사선을 균일하게 흡수하며 열을 하부 반도체 웨이퍼에 전한다. 이러한 필름은 레이저 어닐링 단계 중에 손상 또는 분리 없이 가열 응력에 저항해야 하며, 하부 층에 대해 레이저 어닐링 단계 후에 선택적으로 제거될 수 있어야만 하며 그리고 하부 반도체 웨이퍼 또는 박막 피쳐를 오염 또는 손상시키지 않아야 한다. 또한, 흡수 필름은 하부 박막 피쳐 위에 매우 양호한 스텝 커버리지(고도의 등각;high degree of conformality)를 달성해야만 한다. 이러한 필름의 하나의 이점은 필름 내의 측면 열 전도가 광선 비임 내의 불균일성을 감출 수 있다는 점이다. 이러한 접근이 시도되어왔지만 문제점들에 의해 문제가 되고 있다. 한 형태의 흡수 층은 무-반사적 코팅을 형성하는 유전체 층 및 교류 금속으로 구성된다. 이러한 형태의 흡수 재료 내의 여러 층은 광선 비임의 강한 열 하에서 서로 용해되는 경향이 있으며, 후속하는 레이저 어닐링 단계를 제거하거나 금속을 갖는 하부 층을 오염시키는 것을 어렵게 한다.
본 발명에 이용되는 보다 양호한 접근은 흡수 층을 이용하는 것이며, 상기 흡수 층은 플라즈마 강화 화학 증착(PECVD)에 의해 증착될 수 있다. 본 발명의 양수인에게 양도되며 루크 반 오트리비 등에 의해 "DSA 프로세스를 위한 흡수 층(Absorber Layer for DSA Processing)의 명칭으로 2003년 10월 3일 출원된 미국 특허 출원 제 10/679,189 호에 기재된 바와 같이, PECVD-증착된 흡수 층은 비정질 탄소일 수 있다. 비정질 탄소의 하나의 이점은 400℃ 미만의 웨이퍼 온도에서, 라디칼을 이용하는 하류부분의 산화 프로세스 또는 플라즈마 프로세스에서의 산화에 의해 용이하게 그리고 선택적으로(다른 재료의 하부 층에 대해) 제거된다는 점이다. 다른 이점은 탄소가 일반적으로 반도체 플라즈마 프로세스와 양립할 수 있으며, 과도한 주입이 발생하지 않는 한 따라서 오염되지 않는다는 점이다. 하나의 문제점은 층이 매우 놓은 온도(예를 들어, 550℃)에서 증착되지 않는다면, 레이저 어닐링 단계의 고온 하에서 크래킹(cracking) 또는 필링(peeling)에 취약성이 있는 점이다. (고온 구배 또는 고온에 응하는 하부 층으로부터 증착되는 층의 이러한 크래킹, 필링 또는 분리에 증착되는 층의 경향 또는 저항은 열적 또는 열적 기계식 특성으로서 본 명세서에서 일반적으로 언급된다.) PECVD 증착 프로세스와 관련된 열 소모 비용(시간 및 온도)으로는 도펀트가 야기되어 특히 65 nm 미만의 피쳐 크기(예를 들어, 약 45 nm의 피쳐 크기와 같은)에 있어서 후속적인 레이저 어닐링 단계를 이용하여, 용해시키기에 어려운 클러스터(cluster)를 형성한다. 흡수 층 재료의 PECVD 증착 중에 웨이퍼 온도를 (예를 들어 400℃로) 감소시킴으로써 이러한 문제점을 해결하려는 시도는 두 개의 문제점을 일으킨다. 첫 째, 증착 층의 열 특성은 레이저 어닐링 단계 중에(웨이퍼로부터 (크래킹, 필링 또는 분리에 의해) 실패할 것이다. 두 번째, 생성되는 증착 층은 투과성이 있거나 불충분한 광 흡수 상태가된다. 이러한 흡수 층과 충돌하는 다른 문제점은 양호하지 않은 스텝 커버리지를 가진다는 점이다. PECVD 550℃ 흡수 층은 하부 층 또는 65 nm 미만의 박막 필름 구조 크기 내에서 명백한 단계(pronounced step) 전후로 매우 큰 공극을 가질 수 있음이 관찰되었다.
흡수 층의 파손(failure) (예를 들어, 필링 또는 크래킹에 의함)은 레이저 어닐링 단계 중에 1300℃로 신속하게 가열되는 응력을 견딜 수 있는 (하부 층과 증착되는 재료 사이의) 우수한(high quality) 화학적 결합의 결여에서 비롯된다고 생각한다. 증착되는 층의 열적 특성을 개선하기 위해서, 저온에서 이러한 우수한 결합을 달성하는 것은 PECVD 프로세스 중에 높은 이온 에너지를 필요로 한다고 생각한다. 이러한 높은 이온 에너지는 통상의 PECVD 반응기에서 용이하게 달성될 수 없다. 흡수 층 또는 비정질 탄소 층에 의한 양호하지 않은 스텝 커버리지는 알맞은 레벨의 에너지 이온 충돌 상태의 이온화의 중간 범위(이온 대 라디칼 비율)를 제공하는 데 있어 통상의 PECVD 또는 HDPCVD 반응기의 불가능성(inability)의 결과이다. 이러한 결함(inadequacies)은 부분적으로 일어나며, 이는 이러한 통상의 PECVD 및 HDPCVD 반응기가 광범위한 중간 범위(wide intermediate range)의 소오스 전력 커플링(플라즈마 전자를 발생시킴), 챔버 압력 및 웨이퍼 전압 내에서 작동할 수 없기 때문이다. 실제로, 여러 형태의 통상의 PECVD 및 HDPCVD 반응기는 매우 높거나 매우 낮은 범위에서 소오스 전력 커플링(플라즈마 전자를 발생시킴), 챔버 압력 및 웨이퍼 전압을 작동시키는 경향이 있다. 통상의 PECVD 반응기는 상대적으로 높은 압력에서 용량성 연결식 RF 소오스 전력을 사용하며, 적합한 레벨의 에너지화된 이온 충돌(energetic ionbombardment) (및 전압 또는 에너지의 관련 제어(no separate control) 상태의 매우 낮은 범위의 이온화(이온 대 라디칼 비율)를 야기한다. 이는 비능률적인 소오스 전력 커플링(플라즈마 전자를 발생시킴) 및 고 압력에서 중성자와의 충돌에 의한 이온 에너지의 제동으로 인해서이다. 웨이퍼의 개별 RF 바이어싱이 추가될지라도, 고압에서 중성자의 충돌에 의한 이온 에너지의 제동은 전압 및 에너지 범위를 낮은 범위로 제한한다. 반대로, 통상의 HDPCVD 반응기는 통상적으로 매우 낮은 압력에서 유도 연결식 RF 소오스 전력을 이용한다. 이러한 형태의 플라즈마 소오스는 통상적으로 플라즈마를 전기 용량적으로 일으키며, 유도 연결식 전력 모드에 전이에 대한 높은 전력 한계치를 가진다. 연결된 전력이 이러한 한계치보다 높고 소오스가 유도 모드로 작동한다면, 소오스 전력 커플링이 매우 효과적이며, 최소의 가능한 플라즈마 밀도 및 이온화의 범위(이온 대 라디칼 비율)가 매우 높다. 개별 RF 웨이퍼 바이어스가 상대적으로 고밀도 플라즈마에 연결되며, 매우 낮은 전기적 임디던스 로드가 존재한다. 에너지화된 이온 충돌을 생성시키기 위해서 요구되는 최종 RF 바이어스 전력은 매우 높다(>2kV인 경우에 >>10 kW). 높은 에너지는 일반적으로 실제 RF 전달 시스템 제한(RF 발생기, 매칭 네트워크 및 공급 구조(feed structures))로 인해서 도달할 수 없다. 대부분의 바이어스 전력(예를 들어, 80% 이하)은 웨이퍼 상에 열로서 방산된다. 웨이퍼를 낮은 온도(<400 ℃ 또는 그 미만)에서 유지시키기 위해서 낮은 압력에서 적합한 비율로 열을 제거하는 것은 매우 어렵다. 최종적으로, 용량성 연결식 PECVD 및 유도 연결식 HDPCVD 반응기 모두는 흡수성 또는 반도체성 필름을 (RF 윈도우 또는 절연체 상에) 증착할 때 탄소 화학물질을 이용하는 경우 (작동 상태에서; with on time) 전력 커플링 드리프트 문제가 생길 수 있다. 광범위한 중간 범위의 소오스 전력 커플링 및 레벨, 웨이퍼 전압 및 챔버 압력으로 작동하는 역랴을 통해서 (하기에 상세히 설명되는 환상형 플라즈마 CVD 반응기에 의해 수행되는), 모든 경우에 적합한 레벨의 에너지화된 이온 충돌을 이용하여 서로 광범위한 중간 범위 내에서의 이온화 비율을 제공할 수 있는 반응기를 위해서 필요하다. 환상형 플라즈마 CVD 반응기는 흡수성 또는 반도체성 필름을 증착할 때 탄소 화학물질을 이용하는 경우에 전력 커플링 드리프트가 존재하지 않는다. 이는 환상형 플라즈마 CVD 반응기가 이미 전도성 (금속)이기 때문이며 초 박막의 절연되는 직류 차단(DC breaks)을 가지며, 증착물을 많이 축적하지 않고 용이하게 인-시츄(in-situ) 플라즈마 세정된다.
한 형태의 통상의 PECVD 반응기는 RF 플라즈마 소오스 전력이 인가되는 한 쌍의 근접하여 이격된(closely-spaced) 평행한 플레이트 전극을 가지는 용량성 결합식 플라즈마 반응기이다. 이러한 용량성 결합식 반응기는 통상적으로 고 압력 챔버(2~10 토르)에서 작동된다. 고압력 및 (전극 반경에 대한) 근접-이격은 웨이퍼 상의 증착 비율을 최대화하고 프로세스 영역 외측의 증착을 최소화하기 위해서 사용된다. 플라즈마 소오스 전력은 대량의 플라즈마 내의 전자 및 플라즈마 시스(plasma sheath) 내의 이온 모두에 연결된다. 전극에 걸친 전압은 통상적으로 상대적으로 낮으며(300 mm 웨이퍼에 있어서 수 kW의 소오스 전력에서 1KVpp 미만) 플라즈마 시스는 매우 충돌 적이어서 이온 에너지가 통상적으로 낮다. 이러한 형태의 반응기는 매우 낮은 이온-대-중성자 분포 비율 및 이온-대-라디칼 비율을 생성시켜서, 이온 플럭스가 낮으며, 증착되는 재료와 하부 재료 사이의 필요한 우수한 결합을 달성하는데 요구되는 웨이퍼 온도 또는 이온 에너지 레벨을 대개 증가시킨다. 그러나, 낮은 상호 전극 전압 및 충돌적 시스 내의 이온 에너지의 높은 손실로 인해서, 우수한 결합을 위해 필요한 이온 에너지 분포를 발생시키는 것이 어렵다.
다른 형태의 통상의 PECVD 반응기는 RF 소오스 전력이 유도 안테나에 인가되는 유도 결합식 고 플라즈마 밀도 CVD(HPDCVD) 반응기이다. 반응기는 높은 플라즈마 밀도를 차례로 생성시키는 유도 결합식 플라즈마 모드를 유지하기 위해서 필요한 극소 유도 전기 장으로 인해서 낮은 챔버 압력(예를 들어, 5~10 밀리토르) 및 높은 플라즈마 소오스 전력 레벨에서 작동해야만 한다. 이러한 반응기 내에 생성되는 이온화 정도(이온-대-중성자 밀도의 비율)는 매우 높은 값의 범위(전술된 용량성 반응기의 이온화 정도 보다 큰 10의 4승 또는 5승)로 한정되며, 이는 대량의 RF 소오스 전력이 유도 결합식 모드를 유지하기 위해서 요구되기 때문이며, RF 유도 전기장은 대량 플라즈마 내의 전자에 직접 연결된다. 이는 RF 전기장이 플라즈마 시스에 걸쳐서 또는 플라즈마 시스 진동을 통한 변위에 의해 직접 전자에 보다 덜 효율적으로 연결되는 용량성 연결식 플라즈마와 대립된다. 결과적으로, 플라즈마 밀도 및 전도성은 매우 높고, 실제 바이어스 전력 레벨에서 높은 웨이퍼 전압을 발생시키는 것을 어렵게 한다(이는 웨이퍼 전압이 고도의 전도성 플라즈마를 통해 로딩 다운되기 때문이다). 결과적으로, 높은 이온 에너지는 과도한 양의 RF 바이어스 전력을 웨이퍼에 인가하지 않은 채 달성될 수 없다. 이는 웨이퍼를 과열시킬 수 있으며 (열 확산에 의해서) 하부 반도체 결정 격자 내의 극히 얕은 접합 정의역을 아마 파괴할 수 있다. 통상적으로, 300 mm 웨이퍼에 있어서 1~2 kV 피크-투-피크(peak-to-peak)의 웨이퍼 전압은 약 100 kWatts의 RF 바이어스 전력을 필요로 한다. 극히 얕은 접합 정의역을 유지하기 위해서 웨이퍼를 냉각시키는 것이 높은 바이어스 전력, 및 (1~2 kV 보다)더 높은 바이어스 전압에서 어려우며, 따라서 보다 높이 전력이 최상의 필름 특성에 있어서 바람직하다. 10 kW < RF 전력 전달 시스템은 매우 비싸며 제한된 가능성을 갖는다.
HDPCVD 반응기가 갖는 다른 문제점은 큰 비 전도성 윈도우가 플라즈마 소오스 전력이 코일 안테나로부터 유도 연결될 수 있는 챔버 실링 내에 구비되어야만 한다는 점이다. 이는 웨이퍼를 직접 덮는 전도성 샤워헤드의 이용을 방지하며, 이는 웨이퍼에서의 가스 분포 균일성을 제한하며, 웨이퍼에 걸친 RF 바이어스 그라운드 기준 균일성을 제한한다. 더욱이, 반응기가 웨이퍼 상에 비 절연성 재료를 증착하는데 사용된다면, 동일한 재료가 프로세스 중에 유전체 윈도우 상에 축적될 수 있기 때문에 챔버 내측으로의 소오스 전력의 연결이 효과적으로 감소하거나 심지어 방지되며, RF 전력에 전도성 시스 또는 반도체 감쇠기를 생성한다. HDPCVD 반응기의 유전체 윈도우와 같은 비 전도성 표면의 온도는 효과적으로 제어될 수 없어서, 반응기 내부의 포스트 프로세스 세정 및 프로세스 중의 증착이 더 어렵다. 이러한 형태의 반응기와 관련된 문제점은 플라즈마 소오스 전력이 챔버 내의 임의의 이용가능한 전도성 표면으로부터 그라운드 리턴(ground return)을 추구하여, 프로세스 제어가 챔버 표면상의 부산물의 증착으로 인한 전기적 변화에 의해 제한된다. 유전체 및 금속성 재료를 이용하여 챔버 표면을 구성한 상태에서, 프로세스 후에 증착되는 플라즈마 부산물의 제거가 어려울 수 있거나 챔버의 일부분의 과도한 마모를 수반할 수 있다. 이는 1회용 시스 또는 프로세스 키트(process kit)를 사용함으로써 챔버 표면 상의 증착을 방지할 수 있다. 그러나, 이러한 1회용 시스는 양호한 RF 그라운드 기준을 제공할 수 없으며, 임의의 정밀함으로 열적 제어될 수 없다.
요컨대, 통상의 반응기는 좁고 낮은 챔버 압력 윈도우(HDPCVD 반응기의 경우) 또는 좁고 높은 챔버 압력 윈도우(용량성 결합식 반응기의 경우) 중 하나로 한정된다. 어떠한 챔버도 높은 이온 에너지를 달성할 수 없으며, 이는 (용량성 결합식 반응기 내에서) 고도로 충돌적이거나, 플라즈마가 (HDPCVD 반응기 내에서) 고도로 전도성이 있기 때문이다. 또한, 좁고 높은 정도의 이온화 영역(HDPCVD 반응기) 또는 좁고 낮은 정도의 이온화 영역(용량성 결합식 반응기)으로 한정된다. 더욱이, 이러한 형태의 반응기는 이들이 비-절연 재료의 증착을 위해 이용될 때는 언제나 성능면에서 광범위한 편차에 영향을 받기 쉬우며, 이는 유도 연결식 반응기의 유전체 윈도우 상 또는 용량성 결합식 반응기 내의 전극 경계에 걸쳐서 비 절연성 재료의 축적이 챔버 내측으로의 RF 소오스 전력의 커플링을 왜곡시키거나 억제할 것이기 때문이다. (반도체 격자를 포함하는) 하부 층을 갖는 이러한 우수한 결합 상태의 광 흡수층을 형성하기 위해서 매우 낮은 온도(예를 들어, 실온에서 수백 ℃)에서 증착 프로세스가 실행될 필요가 있다면, 레이저 어닐링 단계 중에 기계적 결합 또는 분리에 영향을 받지 않는다. 프로세스는 넓은 소오스 전력 윈도우를 가질 수 있으며, 중간 범위 내에서의 넓은 정도의 이온화 윈도우, 넓은 이온화 에너지 윈도우를 갖는 넓은 웨이퍼 전압(바이어스 전력) 윈도우, 및 넓은 웨이퍼 온도 윈도우를 가질 수 있다.
광학적 라이터블 마스크를 이용하여 반도체 기판 상에 박막 구조를 프로세싱하는 방법은 기판을 반응 챔버 내에 위치시키는 단계로서, 미리결정된 패턴에 따라 식각될 타겟 층을 기판의 표면 상에 가지는, 기판을 반응 챔버 내에 위치시키는 단계; 및 (a) 탄소 함유 프로세스 가스를 상기 챔버 내측으로 도입시키는 단계, (b) 플라즈마 RF 소오스 전력을 상기 재입구 통로의 외측 부분에 커플링함으로써 워크피스 위의 프로세스 존을 포함하는 재입구 통로 내에 재입구 환상형 RF 플라즈마 전류를 발생시키는 단계, (c) RF 플라즈마 바이어스 전력 또는 바이어스 전압을 워크피스에 커플링하는 단계,에 의해 기판 상에 탄소 함유 하드 마스크 층을 증착시키는 단계;를 포함한다. 상기 방법은 탄소 함유 하드 마스크 층 내에 미리결정된 패턴을 포토리소그래픽적으로 형성하는 단계; 및 하드 마스크 층의 존재하에 타겟 층을 식각시키는 단계를 더 포함한다.
도 1은 동적 표면 어닐링 장치를 도시하는 도면이며,
도 2는 도 1의 광학 장치의 평면도이며,
도 3은 도 2에 대응하는 정면도이며,
도 4는 도 1의 장치 내에 사용되는 레이저 어레이의 분리 단면도(broken sectional view)이며,
도 5는 도 1의 장치의 균일 광 파이프의 사시도이며,
도 6은 도 5의 광 파이프의 렌즈를 시준 및 포커싱한 상태인 사시 측면도이며,
도 7은 도 6에 대응하는 측면도이며,
도 8은 도 6에 대응하는 평면도이며,
도 9는 저온 CVD 프로세스를 실행하는데 사용되는 환상형 소오스 플라즈마 반응기를 도시하는 도면이며,
도 10은 도 9의 반응기 내에서 수행되는 일반적인 저온 CVD 프로세스를 도시하는 블록 다이어그램이며,
도 11a는 소오스 전력의 함수로서 도 10의 저온 프로세스에서 증착되는 층의 등각을 도시하는 그래프이며,
도 11b는 등각의 정의를 도시하는 증착되는 층 및 고 종횡비 개구의 단면도이며,
도 12는 플라즈마 소오스 전력의 함수로서 CVD 증착 비율을 도시하는 그래프이며,
도 13은 바이어스 전력 레벨의 함수로서 증착되는 층의 응력을 도시하는 그래프이며,
도 14는 도 1의 프로세스의 실시예를 도시하는 블록 다이어그램이며,
도 15는 도 10의 프로세스의 다른 실시예를 도시하는 블록 다이어그램이며,
도 16은 도 10의 프로세스의 또 다른 실시예의 블록 다이어그램이며,
도 17은 도 15 또는 도 16 중 하나의 프로세스에 의해 형성되는 박막 구조물의 단면도이며,
도 18은 도 15 또는 도 16의 프로세스에서의 웨이퍼 표면 아래 깊이 함수로서 주입된 이온 밀도를 도시하는 그래프이며,
도 19는 도 10의 프로세스의 또 다른 실시예를 도시하는 블록 다이어그램이며,
도 20은 극히 얕은 접합부를 형성하기 위한 프로세스의 블록 다이어그램이며,
도 21은 도 20의 프로세스의 대안 실시예의 블록 다이어그램이며,
도 22는 도 21의 프로세스로 형성되는 박막 구조물의 단면도이며,
도 23a는 도 21의 프로세스로 형성되는 박막 구조물의 단면도이며,
도 23b는 도 23a의 박막 구조물 내 깊이 함수로서 이온 주입 종 농도의 그래프이며,
도 24는 도 20의 프로세스의 대안 실시예의 블록 다이어그램이며,
도 25는 도 24의 프로세스의 시간 함수로서 첨가 가스 유동률의 그래프이며,
도 26은 도 24의 프로세스의 시간 함수로서 RF 웨이퍼 바이어스 전압의 그래프이며,
도 27은 도 24의 프로세스에 의해 형성되는 박막 필름 구조물의 황단면도이며,
도 28은 도 20의 프로세스의 다른 대안 실시예의 블록 다이어그램이며,
도 29a는 도 24의 프로세스의 시간 함수로서 두 개의 다른 첨가 가스의 비율을 도시하는 도면이며,
도 29b는 도 24의 프로세스의 다른 버젼으로 단일 첨가 가스의 비율을 도시하는 그래프이며,
도 29c는 도 24의 프로세스의 또 다른 버젼의 시간 함수로서 웨이퍼 RF 바이어스 전력을 도시하는 도면이며,
도 30은 도 24의 프로세스에 의해 형성되는 복합 층 증착되는 코팅을 가지는 박막 구조물을 도시하는 도면이며,
도 31은 반도체 웨이퍼 내의 극히 얕은 접합부를 어닐링하기 위한 작동을 도시하는 도면이며,
도 32는 본 발명에 따라 웨이퍼를 처리하기 위한 통합 시스템을 도시하는 도면이며,
도 33은 웨이퍼의 표면 내의 극히 얕은 접합부 형성을 수반하는 모든 단계를 수행하기 위한 통합 시스템을 도시하는 도면이며,
도 34a 내지 도 34c는 반도체 박막 구조상에 배리어, 시드 및 구리 전도체 층을 형성하기 위한 프로세스 흐름을 도시하는 도면이며,
도 35a 내지 도 35i는 도 34a 내지 도 34c의 프로세스 중에 박막 구조 내의 연속적인 변화를 도시하는 도면이며,
도 36은 구리 전도체 층을 형성하기 위한 대안적인 프로세스를 도시하는 도면이며,
도 37a 내지 도 37e는 도 36의 프로세스 중에 박막 필름 구조 내의 연속적인 변화를 도시하는 도면이며,
도 38a 및 도 38b는 광학적 라이터블 마스크를 형성하기 위한 프로세스 흐름을 도시하는 도면이며,
도 39a 내지 도 39g는 도 38a 및 도 38b의 프로세스 중에 박막 구조 내의 연속적인 변화를 도시하는 도면이며,
도 40은 신속한 열적 어닐링과 같은 광학 어닐 프로세스를 위한 광 마스크를 형성하기 위한 프로세스 흐름을 도시한 도면이며,
도 41a 내지 도 41d는 도 40의 프로세스 중에 박막 구조 내의 연속적인 변화를 도시하는도면이며,
도 42a 및 도 42b는 식각 프로세스를 위해 하드마스크를 형성하기 위한 프로세스 흐름을 도시하는 도면이며,
도 43a 내지 도 43h는 도 42a 및 도 42b의 프로세스 중에 박막 구조 내의 연 속적인 변화를 도시하는 도면이며,
도 44a 내지 도 44b는 식각 프로세스를 위해서 폴리실리콘 피쳐 위에 하드마스크를 형성하기 위한 프로세스 흐름을 도시하는 도면이며,
도 45a 내지 도 45h는 도 44a 내지 도 44b의 프로세스 중에 박막 구조 내의 연속적인 변화를 도시하는 도면이다.
개요:
전술된 모든 문제점들이 환상형 소오스 플라즈마 반응기를 사용하는 저온 PECVD 프로세스로 비정질 탄소 광 흡수 층을 증착함으로써 해결된다. 환상형 소오스는 HDPCVD 반응기 또는 용량성 결합식 PECVD 반응기와 달리, 광범위한 범위의 이온 에너지를 이용하여 작동될 수 있다. 따라서, 적당한 이온 플럭스가 적당한 (또는 높은) 이온 에너지와 함께 유지될 수 있어서, 증착되는 층과 하부 기판 사이의 우수한 결합 또는 박막이 상승된 웨이퍼 온도를 요구하지 않은 채 형성된다. 사실상, 웨이퍼 온도는 (주입 프로세스, 도펀트 클러스터 형성 또는 열 확산 중에 형성되는 비정질 층의 재결정화와 같은 주입되는 극히 얕은 접합부 상의 임의의 충돌을 최소화시키는) 실온만큼 서늘할 수 있다. 결과적으로, 이러한 프로세스에 의해 형성되는 흡수 층은 레이저 비임 노출을 견딜 수 있으며, 웨이퍼로부터 분리 없이 그리고 크래킹 없이 극도의 가열을 견딜 수 있다. 환상형 플라즈마 소오스 반응기가 작동될 수 있는 넓은 바이어스 전력 또는 바이어스 전압 범위는 증착되는 층의 응 력을 매우 넓은 범위, 즉 인장에서 압축 응력 레벨까지 선택할 수 있게 한다. 환상형 플라즈마 소오스 반응기가 작동될 수 있는 넓은 소오스 전력 범위는 증착되는 층의 등각이 정확하게 제어될 수 있게 하며, 예를 들어, 매우 양호한 스텝 커버리지를 위한 고도의 등각을 보장한다. 환상형 소오스 플라즈마 반응기는 보다 넓은 범위의 챔버 압력(예를 들어, 10~80 mT)에 걸쳐서 작동될 수 있어서, 이온 밀도 및 플라즈마 시스 충돌성이 보다 넓은 범위에 걸쳐서 제어될 수 있다. 높은 이온 밀도가 요구되지 않기 때문에, 높은 웨이퍼 전압 및 높은 이온 에너지가 상대적으로 작은 양의 바이어스 전력(예를 들어, 300 mm 웨이퍼에 대해 단지 7kW의 바이어스 전력을 갖는 7kV 웨이퍼 전압)으로 유지될 수 있다. 환상형 플라즈마 소오스 반응기는 유도 안테나에서 챔버로 RF 전력을 연결하기 위한 유전체 윈도우를 요구하지 않으며(박막 유전체 "DC-break"만을 요구한다), 따라서 전도성 샤워 헤드는 실링(ceiling)에 위치될 수 있다. 유도 커플링을 위한 유전체 윈도우에 대한 어떠한 요건도 없기 때문에, 실제로 전체 챔버가 금속일 수 있어서 열적으로 제어되어 프로세싱 중에 증착을 조절하며 챔버 표면의 포스트 프로세싱 높은 온도 세정을 촉진시킨다. 환상형 플라즈마 소오스는 낮은 전위를 갖는 플라즈마를 발생시키며, 환상형 플라즈마 전류는 챔버 표면을 통한 어떠한 그라운드 리턴을 요구하지 않으며, 상기 전위는 프로세스 영역으로부터의 표류 전류(drift current)를 낮게 야기하여, 프로세싱 존의 외측 챔버 표면상에는 증착이 거의 없거나 어떠한 증착도 없다. 환상형 플라즈마 반응기 내의 유전체 윈도우의 임의의 필요성 부족의 다른 이점은 반응기가 챔버 내부 표면상의 비-절연 재료의 축적으로부터 해로운 효과 없이 웨이퍼 상에 비-절연 재료를 증착시키는데 사용될 수 있다.
본 발명은 단일 나이프-에지 광 비임에 시준 및 포커싱된 연속 웨이브(CW) 다이오드 레이저의 어레이를 이용하는 반도체 웨이퍼 내의 극히 얕은 접합부의 동적 표면 어닐링에 관한 것이다. 나이프-에지 광 비임은 매우 강렬하며, 길이를 가로지르는 방향으로 웨이퍼에 걸쳐서 스캐닝된다. 온도는 비임 둘레에 이러한 매우 국부적인 영역 내에서 잠시 상승하며, 임의의 특정 순간에서 가열되는 작은 부피로 인해서 냉각은 극도로 신속하게 진행된다. 이러한 기술은 2003년 10월 23일 Dean C. Jennings 등에 의한 미국 특허 출원 제 2003/0196996 호(이후 공개 특허 A로 언급됨)에 기재되어 있다. 극도로 작은 피쳐 크기(예를 들어, 45nm)에서, 3차원 위상적 피처의 존재로 인해서 웨이퍼를 균일하게 가열하는 것이 어렵다. 이러한 피쳐는 다른 재료를 포함할 수 있거나 다른 광학 특성을 가질 수 있다. 이러한 피쳐는 열 흡수를 비 균일하게 한다. 이는 또한 표면 방사율을 비-균일하게 하여 표면 온도를 정확하게 측정하는 것을 불가능하게 한다.
이러한 문제점들은 전체 웨이퍼에 걸쳐서 광 흡수 층을 증착함으로써 이미 해결되었다(문제점들이 최근에 제거됨). 이러한 흡수 층은 복합 굴절률(n+ik의 "k" 값, 여기서 'n'은 굴절률이며, 'k'는 소광 계수(extinction coefficient)이다)의 높은 허수 성분을 가진다. 충분히 두꺼운 흡수 층은 웨이퍼 상의 하부 필름뿐만 아니라 치수 환상형 피쳐(dimensional topological features)로 인해 방사율 변동을 방해하여, 웨이퍼에 걸쳐서 열 흡수의 균일성 및 개선된 레이저 흡수(뿐만 아니라 표면 방사율의 균일성 및 규모(magniude))를 촉진시킨다. 문제는 하부 층으 로부터 필링 또는 분리 없이 광 흡수 층이 동적 표면 (레이저) 어닐링 중에 유지되는 융점에 근접한 온도를 견뎌야만 한다는 점이다. 이러한 필링 및 분리를 방지하기 위해서, 흡수 층과 하부 웨이퍼 피쳐 사이의 우수한 결합이 고온에서 흡수 층을 증착시킴으로써 달성된다. 높은 온도는 양호한 필름 구조, 광학 및 전기적 특성을 제공하는데 기여하기도 한다. 문제점은 웨이퍼 온도가 크래킹, 필링 또는 분리에 영향을 받지 않는 우수한 흡수 층을 달성하기에 충분히 높다면, 웨이퍼 온도는 이미 존재하는 비정질 실리콘 층을 재결정화하는 원하지 않는 효과를 야기하거나 극히 얕은 접합부를 확산시켜 양호하지 않게 한정하여, 웨이퍼 상의 회로 피쳐를 저하시킨다. 보다 낮은 온도의 통상의 CVD 흡수 층은 상당히 감소한 "k" 값을 가지며, 동일한 순 흡수량(net absorption)을 달성하기 위해서 보다 두꺼운 필름을 필요로 한다.
이러한 문제점은 2004년 10월 14일 공개된 히로지 하나와(Hiroji Hanawa) 등에 의한 미국 특허 출원 제 2004/0200417호(이후 공개 특허 B로 언급됨)의 환상형 플라즈마 소오스 저온 CVD 프로세스를 이용하는 저온 화학 증착 프로세스 내에 흡수 층을 증착시킴으로써 본 발명에 따라 극복된다. 이러한 프로세스는 공개 특허 B에 상세히 기재된 환상형 소오스 플라즈마 반응기를 사용한다. 이러한 프로세스는 300 ℃ 미만 또는 실온만큼 매우 낮은 온도에서 실행된다. 따라서, 웨이퍼상에 이미 형성된 극히 얕은 접합부 피쳐에 미치는 어떠한 나쁜 효과(예를 들어, 열 확산 또는 도펀트 이동, 또는 재 결정화)는 있어도 극히 적거나 없다. 흡수 층이 비정질 탄소가 된다면, 탄소 함유 프로세스 가스가 사용된다. 흡수 층 내에 레이저 비임으로부터의 열의 흡수를 강화시키기 위해서, 증착되는 비정질 탄소 층이 붕소, 인, 비소, 실리콘 또는 게르마늄과 같은 불순물로 도핑됨으로써 보다 불투명해진다. 이는 공개 특허 B에 기재되기도 하는 환상형 소오스 플라즈마 잠입 이온 주입(P3i) 프로세스를 이용하는 이온 주입 단계, 또는 (대안적으로) CVD 저온 증착 프로세스 중에 프로세스 가스 혼합물로 붕소를 도입시킴으로써 수행될 수 있다. 증착되는 비정질 탄소 흡수 층 내측으로의 (질소와 같은) 다른 불순물의 이온 주입이 흡수 층의 굴절률 또는 유전체 상수를 제어하거나 조절하기 위해서, 예를 들어, 높은 유전체 상수를 달성하기 위해서 사용될 수 있다. 이와 달리, (질소, 수소, 산소, 플루오르와 같은) 다른 불순물이 CVD 저온 증착 프로세스 중에 프로세스 가스 혼합물 내에 이들을 포함함으로써 도입될 수 있다.
열 특성, 즉, 동적 표면 레이저 어닐링 단계 중에 필링, 크래킹 또는 분리의 저온 증착되는 흡수 층으로부터의 면제는 증착되는 층을 압축적으로 응력이 형성되는 층으로 형성함으로써 강화된다. 이는 공개 특허 B에 기재된 바와 같은 저온 플라즈마 CVD 프로세스에서 RF 플라즈마 바이어스 전력 또는 바이어스 전압을 상대적으로 높은 레벨로 상승시킴으로써 달성된다. 웨이퍼 상에 이전에 형성된 모든 3-차원 마이크로-회로 피쳐에 걸친 매우 양호한 스텝 커버리지가 상대적으로 높은 등각을 갖추어 흡수 층을 증착시킴으로써 달성된다. 이는 공개 특허 B에 기재된 바와 같은 저온 플라즈마 CVD 프로세스 내에 플라즈마 RF 소오스 전력을 상대적으로 높은 레벨로 조절함으로써 달성된다. 증착되는 필름의 부착은 표면 산화 또는 다른 오염을 제거하기 위해서 세정 프로세스로 웨이퍼를 예비 처리함으로써 강화될 수 있다. 하나의 예비 처리 프로세스는 플라즈마 소오스 전력 또는 바이어스 전력에 의해 발생되는 수소 플라즈마를 이용한다. 바이어스 전압이 세정률을 강화하기 위해서 부가된다. 수소 이온 및/또는 라디칼은 산화물 또는 오염물 박막을 에칭하는 것으로 여기고 있다. 다른 예비 처리 프로세스는 플라즈마 소오스 전력 또는 바이어스 전력에 의해 발생하는 질소 및/또는 산소 플라즈마를 이용한다. 바이어스 전압이 세정률을 강화하기 위해서 부가된다. 질소 및/또는 산소 이온 및/또는 라디칼이 유기 오염물 박막을 에칭하는 것으로 믿고 있다. 이러한 예비 처리 프로레스가 산화를 제거하기 위해서 수소 플라즈마 예비 처리 프로세스에 수반될 수 있다. 다른 예비 처리 프로세스는 헬륨, 네온, 아르곤 또는 크세논과 같은 불활성 가스 플라즈마를 이용하여 표면 산화 또는 오염을 스퍼터 세정한다. 이와 달리, 습식 예비 처리 프로세스가 필름 증착 이전에 (결합을 강화하기 위해서) 웨이퍼 표면을 세정하는데 이용될 수 있다.
흡수 층 필름 광학 특성이 온도 측정 고온계의 파장 및 레이저 광선 비임의 방사 파장에서 복소 굴절률(complex refractive index)의 높은 흡수 또는 소광 계수 또는 허수부(imaginary part)를 가지기 위해서 프로세스 변수와 조정될 수 있다. 이러한 프로세스 변수는 흡수 층 내의 불순물(예를 들어, 질소) 농도, 흡수 층 내의 도펀트(예를 들어, 붕소), 웨이퍼 온도, 프로세스 가스 압력, (헬륨, 수소 또는 아르곤과 같은 희석 가스, 불순물 함유 가스, C-함유 가스의) 가스 유동률, RF 바이어스 전압 또는 전력, RF 플라즈마 소오스 전력, 프로세스 시간 및 층 두께를 포함할 수 있다. 흡수 층 특성의 부가적인 향상이 층 내의 깊이를 갖는 이러한 불순물의 농도의 등급을 정함으로써 달성될 수 있다. 이는 전술된 P3i 프로세스에 의해 주입되는 이온인 불순물의 주입 깊이 프로파일을 조절하거나, 프로세스 가스 내의 이러한 불순물농도를 램핑(ramping)시키거나, 공개 특허 B에 기재된 저온 CVD 프로세스 중에 RF 플라즈마 소오스 전력 또는 압력 또는 RF 바이어스 전압 또는 압력을 변경함으로써 달성될 수 있다. 흡수 층 특성의 부가적인 향상이 증착되는 흡수 층을 갖는 웨이퍼를 큐어링(curing)함으로써 달성될 수 있다. 큐어링은 열 (온도에서 시간; time at temperature) UV 노출 또는 이의 조합을 포함할 수 있다. 이는 복소 굴절률의 허수부 또는 흡수 또는 소광 계수를 더 증가 또는 안정화시킬 수 있다.
공개 특허 B의 동일한 환상형 소오스 플라즈마 챔버는 공개 특허 B의 저온 CVD 프로세스뿐만 아니라 (공개 특허 B에 기재된 바와 같은) 임의의 P3i 이온 주입 프로세스를 이용하는 흡수 층 증착을 수행하는데 사용될 수 있어서 웨이퍼는 여러 챔버들 사이에서 수송될 필요가 없다. 또한, (레이저 비임 동적 표면 어닐링 (DSA) 프로세스를 수행하는) 공개 특허 A의 프로세스 챔버는 공개 특허 B의 환상형 소오스 플라즈마 반응기를 이용하여 놓이거나 동일한 도구로 통합되는 것이 바람직하여, 웨이퍼는 흡수 층(예를 들어, 비정질 탄소)으로 코팅될 수 있으며, 흡수 층은 선택되는 불순물 및/또는 도펀트의 P3i 이온 주입에 의해 강화될 수 있으며, 웨이퍼는 동일한 도구 내에서 모두 공개 특허 A의 DSA 레이저 광 소오스를 이용하여 어닐링된다. 이는 웨이퍼의 오염 위험을 감소시킨다. 또한, 동일한 환상형 플라즈마 소오스 챔버 또는 (공개 특허 B에 기재된 동일한 형태의) 제 2 (전용) 환상형 소오스 플라즈마 챔버 또는 다른 형태의 플라즈마 챔버가 레이저 어닐링 DSA 프로세스의 완성에 따라 흡수 층을 제거하기 위한 플랫폼(platform) 또는 동일한 도구상에 통합될 수 있다.
완전히 통합된 프로세스는 다음 순서로 소정의 웨이퍼상에 이용되는 하기의 챔버: 극히 얕은 접합(USJ) 소오스/드레인 구조를 형성하기 위해서 도펀트를 주입하기 위한 플라즈마 잠입 이온 주입(P3i) 챔버; USJ 구조 한정 또는 패턴화된 포스트 레지스트 스트립(post resist strip)을 제거하기 위한 레지스트 스트립; 포스트 레지스트 스트립을 위한 습식 세정 챔버; 비정질 탄소 흡수 층이 형성되는 저온 CVD 프로세스를 수행하기 위한 환상형 소오스 또는 P3i 플라즈마 반응기; DSA 복합 레이저 광 소오스를 포함하는 챔버 및 스캐닝 장치; 흡수 층을 제거하기 위한 탄소 스트립 챔버; 및 웨이퍼의 포스트 스트립 세정을 위한 습식 세정 챔버를 필요로 한다. 두 개 이상 또는 그 보다 많은 전술된 챔버는 웨이퍼 취급을 감소시키고, 오염을 감소시키며 생산성을 증가시키기 위해서 공통의 플랫폼상에 통합될 수 있다.
흡수 층은 다른 적합한 재료가 대신에 선택될 수도 있지만 바람직하게는 비정질 탄소이다. 흡수 층의 레이저 광선 비임의 방사 파장에서 복소 굴절률의 허수부 또는 흡수 또는 소광 계수 및 제품의 필름 두께는 웨이퍼상에 마이크로 회로 구조물 또는 3차원 환상형 피쳐 모두 위에 증착되기에 충분해야만 하며, 하부 재료의 광학 특성이 흡수 층에 의해 요구되는 정도로 마스킹(mask)된다. 흡수 층 열 또는 열 기계식 특성이 선택되어 프로세스의 융점 근접 온도에도 불구하고 DSA 레이저 어닐링 중에 하부 웨이퍼로부터 필링, 크래킹 또는 분리로부터 흡수 층이 영향을 받지 않게 한다.
흡수 층은 웨이퍼 상의 명백한 3차원 표면 환상형 피쳐의 존재에도 레이저 비임으로부터 균일한 흡수를 최대화시킨다. 흡수 층은 양호한 열 전도체이며, 따라서 웨이퍼의 국부적으로 방사된 영역에 걸쳐서 균일한 열 분포를 제공한다. 흡수 층의 균일한 분포는 웨이퍼의 표면 방사율을 균일하게 하여, 웨이퍼 온도의 정확한 측정이 양호한 프로세스 제어를 위해 연속으로 수행될 수 있다.
전술된 바와 같은 흡수 층이 광 흡수의 균일성 또는 규모를 개선하고, 웨이퍼에 걸쳐서 그리고 웨이퍼-대-웨이퍼 온도 변화를 감소시키기 위해서 RTA(신속한 열 어닐링) 또는 "스파이크(spike)" 또는 플래시램프 어닐링과 같은 보다 통상의 어닐링 기술에 사용되는 것이 유리할 수도 있다. 이러한 층은 하부층의 3-D 기하학적 효과를 포함하는 광학 특성에서 변형을 마스킹하기 위해서 이용될 수 있다. 이러한 경우에, 흡수 층 증착/주입은 필라멘트 또는 아크(arc)/가스 방출 광 소오스가 생성시키는 파장의 스펙트럼에 걸쳐서 원하는 광학 특성을 위해 조절된다. 본 발명의 열 흡수 층은 3-차원 마이크로 회로 환상형 피쳐를 가지는 반도체 웨이퍼의 RTA 어닐링에 이용될 수도 있다. 이러한 경우에, 흡수 층 광학 특성이 RTA 광 소오스에 적합하다. 이러한 장치는 SOI(silicon on insulator) 또는 POD(polysilicon-on-dielectric)와 같은 고도로 높은 반사 구조를 포함할 수 있다.
레이저 열 플럭스 어닐링 소오스
전술된 동적 표면 어닐링 광 소오스는 얇고 긴 라인의 방사선처럼 웨이퍼에 충돌하는 매우 강한 광선 비임을 생성시키기 위해서 CW 다이오드 레이저를 이용한다. 라인은 긴 치수의 라인 비임에 수직인 방향으로 웨이퍼의 표면을 따라 스캐닝된다. 광 소오스의 일 실시예는 도 1에 개략적인 정사영(orthographic)으로 도시되어 있다. 2-차원 스캐닝을 위한 갠트리 구조(gantry structure; 110)는 한 쌍의 고정된 평형 레일(112, 114)상에 지지되며 규정된 간격(a set distance)으로 떨어져 서로 고정되며, 롤러, 소오스 또는 볼 베어링 상에 고정된 레일(112, 114)을 따라서 슬라이딩되도록 구동 메커니즘 및 도시되지 않은 모터에 의해 제어된다. 비임 소오스(120)는 갠트리 비임(116, 118)상에서 슬라이딩 가능하게 지지되며, 예를 들어 비임(116, 118) 아래에서 유지되며, 이들을 따라 슬라이딩하도록 도시되지 않은 모터 및 구동 메커니즘에 의해 제어된다. 실리콘 웨이퍼(40) 또는 다른 기판이 갠트리 구조(110) 아래에서 고정되어 지지된다. 비임 소오스(120)는 편리하게 느린 방향(slow direction)으로 지칭되는, 고정된 비임(112, 114)에 대체로 평행하게 연장하는 라인 비임(126)처럼 웨이퍼(40)에 충돌하는 하향 팬-형 비임(fan-shaped beam; 124)을 생성시키기 위해서 광학 및 레이저 광 소오스를 포함한다. 본 명세서에 도시되지 않았지만, 갠트리 구조는 팬형 비임(124)에 대체로 평행한 방향으로 광학 및 레이저 광 소오스를 이동시키기 위한 Z-축 스테이지를 포함하여 소오스(120)와 웨이퍼(40) 사이의 거리를 제어가능하게 변화시켜 웨이퍼(40)상에서의 라인 비임(126)의 포커싱을 제어한다. 라인 비임(126)의 예시적인 치수는 400 kW/㎠의 예시적 전력 밀도를 갖는 100 미크론의 폭과 1 ㎝의 길이를 가진다. 이와 달리, 비임 소오스 및 관련 광학은 웨이퍼가 2 차원으로 스캐닝되는 스테이지에서 지 지되는 동안 고정될 수 있다.
통상의 작동에서, 갠트리 비임(116, 118)은 고정된 레일(112, 114)을 따라 특정 위치에 설정되며, 비임 소오스(120)는 갠트리 비임(116, 118)을 따라 균일한 속도로 이동하여 라인 비임(126)을 편리하게 빠른 방향으로 지칭되는 방향으로 긴 치수에 수직으로 스캐닝한다. 따라서, 라인 비임(126)은 웨이퍼(40)의 1㎝ 스웨이쓰(swath)를 조사하기 위해서 웨이퍼(40)의 한 측면에서 다른 측면으로 스캐닝된다. 라인 비임(126)은 라인 비임(126)의 광학 방사선에 잠깐 노출되지만, 웨이퍼의 특정 영역이 라인 비임의 피크에서의 강도가 표면 영역을 매우 높은 온도로 가열되기에 충분하다. 그러나, 웨이퍼(40)의 가장 깊은 부분은 표면 영역을 신속하게 냉각시키기 위해서 현저하게 가열되지 않으며 히트 싱크(heat sink)로서 더 작용한다. 신속한 스캔이 완성되면, 갠트리 비임(116, 118)이 고정된 레일(112, 114)을 따라서 새로운 위치로 이동되어 라인 비임(126)은 느린 축선(slow axis)을 따라 연장하는 긴 방향을 따라서 이동한다. 빠른 스캐닝은 웨이퍼(40)의 인접 스웨이쓰를 조사하기 위해서 수행된다. 대체의 빠른 그리고 느린 스캐닝(alternating fast and slow scanning)은, 아마 비임 소오스(120)의 서펜타인 경로(serpentine path) 내에서 전체 웨이퍼(40)가 열적으로 프로세싱될 때까지 반복된다. 도 2 및 도 3에 정사영으로 도시된 한 예의 광학 비임 소오스(120)는 두 개의 레이저 바 스택(132)으로부터 약 810 nm에서 레이저 방사선을 수용하며, 상기 레이저 바 스택 중 하나가 도 4에 단면도(end plan view)로 도시되어 있다. 각각의 레이저 바 스택(laser bar stack; 132)은 약 0.9 mm로 분리되며 약 1 ㎝ 측면으 로 연정하는 GaAs 반도체 구조에서 수직 p-n 접합에 대체로 대응하는 14개의 평행한 바(134)를 포함한다. 통상적으로, 수 냉각 층이 바(134)들 사이에 배치된다. 각각의 바(134)에는 49개의 이미터(emitter; 136)가 형성되며, 각각 정사영 방향으로 분산각(divergence angle)을 가지는 별도의 GaAs 레이저 방사 개별 비임으로 구성된다. 도시된 바(134)는 느린 축선을 따라 정렬되며 복합 이미터(136)를 넘어 연장하는 긴 치수 및 빠른 축선(fast axis)을 따라 정렬되는 1 미크론 미만의 p-n 공핍 층에 대응하는 짧은 치수를 이용하여 위치된다. 빠른 축선에 따른 작은 소오스 크기는 빠른 축선에 따라 효과적인 시준(collimation)을 허용한다. 분산각은 빠른 축선을 따라서는 크며 느린 축선을 따라서는 상대적으로 작다.
도 2 및 도 3과 관련하여, 두 개의 열의 원통형 렌즈릿(lenslet; 140)이 레이저 바(134)를 따라서 위치되어 빠른 축선을 따라 좁은 비임으로 레이서 광을 시준한다. 이는 방출 영역(136)을 넘어 연장하도록 바(134)와 함께 정렬되며 레이저 스택(132)상에 부착제를 이용하여 결합될 수 있다. 두 개의 바 스택(132)으로부터 두 세트의 비임이 통상의 광학(142)으로 투입된다. 소오스 비임(158)은 느린 축선을 따라 한정된 수렴각(convergence angle)을 이용하여 1 차원 광 파이프(170)에 유입되기 전에 느린 축선을 따라 소오스 비임(158)을 포커싱하기 위해서 원통형 렌즈(162, 164, 166) 세트를 관통하며, 이는 실질적으로 빠른 축선을 따라 시준된다. 도 5에 더욱 명확히 정사영으로 도시된 광 파이프(170)가 느린 축선상에서 이격된 바 스택(132) 내의 복합 이미터(136)에 의해 도입되는 느린 축선에 따른 비임 구조를 감소시키기 위해서 비임 균일기로서 작용한다. 광 파이프(170)는 전체 내부 반 사를 생성시키기 위해서 충분히 높은 굴절률을 가지는 광학 유리의 직사각형 슬래브(172)로서 이행된다. 느린 축선을 따라 짧은 치수를 가지며, 빠른 축선을 따라 긴 치수를 가진다. 슬래브(172)는 슬래브의 최상부 및 바닥 표면으로부터 내부적으로 수시간 반사되는, 투입면(176)상의 느린 축선을 따라 수렴하는 소오스 비임(158)의 축선(174)을 따라 실질적인 거리로 연장하여, 출력면(178)상에서 종료되는 경우에 느린 축선을 따라 비임을 균일화하며 느린 축선에 따른 다수의 조직(texturing)을 제거한다. 그러나, 소오스 비임(158)은 빠른 축선을 따라 양호하게 시준되며, 슬래브는 소오스 비임(158)이 슬래브(172)의 측면상에 내부적으로 반사되지 않으며 빠른 축선을 따라 시준을 유지하기에 충분히 넓다. 광 파이프(170)는 비임 수렴 및 분산 및 입구 및 출구 개구를 제어하기 위해서 축선 방향을 따라서 경사져야만 한다. 1-차원 광선 파이프는 대안적으로 슬래브(172)의 상부면 및 하부면에 일반적으로 대응하며 이들 사이를 통과하는 소오스 비임을 이용하여 두 개의 평행한 반사 표면으로서 이행될 수 있다.
광 파이프(170)에 의한 소오스 비임 산출은 일반적으로 균일하다. 도 6의 개략적인 도면에 더 도시된 바와 같이, 다른 비균등 렌즈 세트(anamorphic lens set; 180, 182)는 느린 축선으로 산출 비임을 연장하며 웨이퍼(40)의 원하는 라인 비임(126)을 투영하기 위해서 일반적으로 구형 렌즈를 포함한다. 비균등 광학(180)은 제한된 길이의 좁은 라인 비임을 생성하기 위해서 두 개의 치수로 소오스 비임을 형성한다. 빠른 축선 방향에서, 산출 광학은 광 파이프(170)의 산출에서 소오스를 위한 무한 공액(infinite conjugates)을 가지며 (시스템은 유한 소오 스 공액으로 디자인될 수 있으며), 웨이퍼(40)의 상 평면에서 유한 공액을 가지지만, 느린 축선의 방향에서 산출 광학은 광 파이프(170)의 산출 소오스에서 유한 공액(finite conjugates)을 가지며 상 평면에서 유한 공액을 가진다. 또한, 느린 축선의 방향에서, 레이저 바의 복합 레이저 다이오드로부터의 방사선은 균일하며, 그렇지 않으면 비-균일하다. 강하게 균일화시키기 위한 광 파이프(170)의 역량은 광선이 광 파이프(170)를 가로질러 반사되는 횟수에 따라서 달라진다. 이러한 횟수는 광 파이프(170)의 길이, 테이퍼의 방향에 의해 결정되며, 만약 있다면, 입구 개구(176) 및 출구 개구(178) 뿐만 아니라 광 파이프(170)로의 런치 앵글(launch angle)에 의해 결정된다. 또한 비균등 광학은 소오스 비임을 웨이퍼(40)의 표면상에 원하는 치수의 라인 비임으로 포커싱한다.
도 7 및 도 8은 광 파이프(170) 및 일부 관련 광학을 개별적으로 도시하는 빠른 그리고 느린 축선들을 따라서 수직으로 배열된 측면도이다. 빠른 축선의 방향에서, 레이저 바(132)로부터의 비임은 광 파이프(170) 또는 비균등 광학에 의해 영향을 받지 않으며 잘 시준된다. 반면에, 느린 축선 방향에서, 입력 비균등 광학(162, 164, 166)은 광 파이프(170)의 입력 단부로 비임을 수렴하며 모은다. 비임은 느린 축선을 따라서 실질적으로 균일한 강도를 갖지만 실질적인 분산 상태로 광 파이프(170)를 이탈한다. 산출 비균등 광학(180, 182)은 느린 축선을 따라서 산출 비임을 시준하며 연장시킨다.
피크 웨이퍼 온도를 조절 및 제어하기 위해서, 웨이퍼(40)의 방사된 부분의 온도가 고온측정 시스템(pyrometry system)에 의해 빈번하게 측정된다. 고온측정 시스템은 웨이퍼 상의 레이저 소오스 광을, 도 3에 개략적으로 도시된 고온측정기(161)에 역 방향으로 라인 비임(126)의 근처에 웨이퍼(40)의 방사된 영역으로부터 방출되는 직접 열 방사선에 포커싱하기 위해서 이용되는 동일한 광학을 이용한다. 고온측정기(161)는 포토다이오드와 같은 광학 탐지기(163) 및, 레이저 광 소오스(예를 들어, 810 nm)의 파장을 막는 광학 필터(165)를 포함한다. 고온측정 필터(165)는 중요한 온도에서 신속하게 변하는 플랑크 흑체 방사선 곡선의 영역에서 중심이 형성된 좁은 통과대역 필터(passband filter)이다. 예를 들어, 고온측정 통과대역은 탐지기(163)가 실리콘 포토다이오드인 경우에, 950 nm에서 중심이 형성될 수 있다. 광학은 일반적으로, 호혜적이며 역 방향으로 라인 비임(126) 상에 또는 매우 인접한 작은 영역만 탐지하며, 보다 큰 영역에 상을 광학적으로 연장시킨다. 탐지기(163)의 산출이 레이저 어레이(132)의 전력을 제어하기 위해서 제어기(167)에 의해 이용된다. 필터(도시되지 않음)는 고온계에서 파장(예를 들어, 950 nm)을 가질 수 있는 임의의 방출을 방지하기 위해서 레이저 어레이(132)의 정면에 위치될 수 있다.
하기에 기재되는 본 발명의 피쳐가 다른 레이저 형태; CO2 가스-레이저; 선택적으로 두 배 주파수일 수 있는 네오듐 YAG 레이저(네오듐: 이트륨-알루미늄-가닛); E-beam 또는 전자 방출에 의한 여기 상태의 (분자의 전자 전이에 작용하는 자외선(126 내지 558 nm)으로 방출하는 희 가스 할로겐화물 또는 희 가스 금속 증기 레이저, 이의 바닥 상태가 본질적으로 반발력을 가질 수 있음)엑시머 레이저; 다이오드 레이저(간섭성 광 산출을 형성하기 위해서 방출을 고무시키도록 이용하기 위 해서 디자인된 광 방출 다이오드)를 이용할 수 있다.
환상형 소오스 플라즈마 반응기의 저온 CVD 프로세스
도 9는 저온 CVD 프로세스가 실행되는 환상형 소오스 플라즈마 반응기를 도시하고 있다. 플라즈마 반응기는 원통형 측벽(10), 실링(12) 및 웨이퍼 접촉 냉각 정전 척(14)을 가진다. 펌핑 고리(16)는 척(14)과 측벽(10) 사이에 형성된다. 프로세스 가스는 실링(12)의 대부분을 형성하는 가스 분배 판(18)(또는 "샤워헤드")를 통해 도입된다. 선택적으로, 프로세스 가스는 측면 주입 노즐(20) 또는 다른 수단을 통해 유입될 수도 있다. 도 9의 반응기는 측벽(10)의 대향 측면(또는, 도 1에 도시되지 않은 실링(12) 내의 개구)을 통해 반응기의 내부에 연결되는 외부 재입구 관(reentrant tube; 22)으로 구성되는 재입구 RF 환상형 플라즈마 소오스를 가진다. 절연 링(23)은 재입구 관(22)을 따라 직류 차단(D.C break)을 제공한다. 환상형 플라즈마 소오스는 재입구 관(22)의 환형 부분을 에워싸는 자기적으로 투과성 환상형 코어(26)를 포함할 수 있는 RF 전력 어플리케이터(24)를 더 포함하며, 전도성 코일(28)은 선택적 임피던스 부합 회로(32)를 통해 전도성 코일에 연결되는 RF 플라즈마 소오스 전력 발생기(30) 및 코어(26)의 일부분 둘레를 감는다. 제 1 관(22)을 가로지르는 제 2 외부 재입구 관(22')은 측벽(10)의 대향 측면(또는 도 1에 도시되지 않은 실링(12) 내의 개구)을 통해 반응기의 내부에 연결된다. 절연 링(23')은 제 2 재입구 관(22')을 따라 직류 차단을 제공한다. 제 2 RF 전력 어플리케이터(24')는 재입구 관(22')의 환형 부분을 에워싸는 자기적으로 투과성 환상형 코어(26')를 포함하며, 전도성 코일(28')은 선택적 임피던스 부합 회로(32)를 통해 전도성 코일에 연결되는 RF 플라즈마 소오스 전력 발생기(30') 및 코어(26')의 일부분 둘레를 감는다. 프로세스 가스 공급원(34)이 가스 분배 판(18)(또는 가스 주입기(20))에 연결된다. 반도체 웨이퍼 또는 워크피스(40)가 척(14)의 최상부상에 위치된다. 프로세싱 영역(42)이 (가스 분배 판(18)을 포함하는) 실링(12)과 웨이퍼(40) 사이에 한정된다. 환상형 플라즈마 전류는 재입구 관(22) 및 프로세싱 영역(42)을 통해 연장하는 폐쇄된 환상형 경로를 따라 RF 플라즈마 소오스 전력 발생기(30)의 주파수에서 진동한다.
RF 바이어스 전력 또는 전압이 임피던스 부합 회로(46)를 통해 RF 바이어스 전력 발생기(44)에 의해 척(14)에 인가된다. D.C 척 전압이 격리 캐패시터(50)에 의해 RF 바이어스 전력 발생기(44)로부터 격리되는 척 전압 소오스(48)로부터 척(14)에 인가된다. RF 바이어스 전력 발생기(44)로부터 웨이퍼(40)에 전달되는 RF 전력은 웨이퍼를 400℃ 보다 높은 온도로 가열할 수 있으며, 이는 발생기(44)로부터 인가된 RF 플라즈마 바이어스 전력의 레벨 및 지속기간에 따라 달라지며, 냉각되는 웨이퍼가 사용되지 않는 경우에 그러하다. 바이어스 전력 발생기(44)로부터 약 80% 또는 그 이상의 RF 전력이 웨이퍼(40) 내에서 열로서 분사되는 것으로 믿고 있다. 웨이퍼 지지 받침대(14)가 단열 또는 반-단열 최상부 층 또는 퍽(puck; 60)을 가지는 정전 척이다. 퍽(60)의 내부의 금속 층(62) 또는 금속(예를 들어, 몰리브덴) 철망은 D.C. 척 전압 및 RF 바이어스 전압이 가해지는 캐소드(또는 전극)을 형성한다. 퍽(60)은 고도로 단열 층(66)상에 놓이는 금속 층(64)상에 지지된다. 금속 베이스 층(68)은 바닥에 연결될 수도 있다. 웨이퍼(40)는 척 전압 소오스(48)로부터 전극(62)까지 D.C. 전압을 인가함으로써 척(14)상에서 정전형으로 유지된다. 이는 웨이퍼(40)의 바닥 표면 내에 대향(opposite)(인력; attractive)상 전하를 유도한다. 두 개의 대향 전하들 사이의 효과적인 갭은 반 절연체 층(60) 내의 사향 전하 이동의 결과로서 최소이며, 웨이퍼(40)와 척 사이의 인력은 상대적으로 작게 인가된 척 전압에 대해서 매우 크다. 따라서, 퍽 반 절연체 층(60)은 원하는 전하 이동도를 가지는 재료로 형성되어 재료가 완벽한 졀연체는 아니다. RF 바이어스 전력 발생기(44)로부터의 전압 또는 RF 바이어스 전력이 전극(62)에 가해질 수 있거나, 또는 대안적으로 반 절연체 퍽 층(60)을 통해 RF 커플링을 위한 금속 층(64)에 가해질 수 있다. 금속 층(64)을 냉각시킴으로써 퍽(60)으로부터 열이 제거된다. 이러한 이유로 내부 냉각제 통로(70)가 히트 싱크 또는 냉각 소오스(74) 및 냉각제 펌프(72)에 연결되는 금속 층(64) 내에 제공된다. 웨이퍼(40)와 퍽(60) 사이의 매우 높은 열 전달 계수가 매우 높은 척력을 유지함으로써 실현된다. 상기 척력은 연마된 표면(60a)을 제공함으로써 강화될 수 있다.
저온 화학 기상 증착 프로세스는 웨이퍼 온도를 원하는 레벨로 또는 한계치 미만으로 유지하기 위해서 열을 제거(또는 제공)하며 웨이퍼에 RF 바이어스 전력 또는 전압을 연결시키는 작용을 하는 정전 웨이퍼 척을 사용하는 것이 바람직하다. 보다 바람직하게, 정전 척은 도 9를 참조하여 이미 기재된 형태이며, "GASLESS HIGH VOLTAGE HIGH CONTACT-COOLING ELECTROSTATIC CHUCK"의 명칭으로 Douglas A. Buchberger, jr 등에 의해 2004년 8월 26일 출원된 미국 특허 제 10/929,104 호에 보다 상세히 기재되어 있다. (높은 열 전달 계수를 갖는) 전술된 정전 척의 이용 은 보다 높은 레벨(즉, 환상형 소오스 당 5kW)에서 소오스 전력을 작동시키며, 웨이퍼 온도를 200℃ 미만, 또는 심지어 원하면 100℃ 미만으로 유지하면서 보다 높은 레벨(즉, 10 kW)에서 바이어스 전력을 작동시킨다. 게다가, 챔버 압력은 높은 웨이퍼 온도를 필요로 하지 않은 채 결함이 있는(예를 들어, 조각나는(flaky)) 것을 방지하기 위해서 충분히 낮은 약 5 내지 200 mtorr의 범위로 유지된다. 낮은 챔버 압력은 워크피스를 가열하지 않은 채 우수한 필름을 증착하기 위해서 요구되는 것 미만의 플라즈마 이온 밀도 및/또는 이온 에너지를 저하시킬 수 있는 과도한 이온 재결합을 방지한다. 프로세스 영역 내의 적당한 플라즈마 이온 밀도의 유지는 웨이퍼의 임의의 가열을 위한 필요성을 제거하여 우수한 CVD 필름은 PECVD 반응기와 달리 (100℃ 미만의) 매우 낮은 저온에서 증착될 수 있다. 플라즈마 밀도가 매우 높지 않고 플라즈마 소오스 전력 레벨이 높을 필요가 없는 사실은 HDPCVD 반응기와 달리 과도한 바이어스 전력 레벨을 필요로 하지 않은 채 바이어스 전력의 광범위한 작동 범위을 허용한다.
CVD 반응은 낮은 소오스 전력 레벨에서 환상형 소오스 반응기 내에서 실행될 수 있으며, 원하면 소오스 전력이 최소 레벨에서 최대 레벨(예를 들어, 환상형 소오스당 약 5 kW)까지 변할 수 있는 넓은 윈도우를 포함한다. 이러한 윈도우는 비등각(0.1 등각 비율)과 등각(>0.5 등각 비율) 사이의 CVD 증착된 층의 등각을 변형하기 위해서 충분히 크다. 동시에, CVD 증착되는 층의 응력 레벨은 증착되는 층의 압축 응력에 대한 높은 레벨(예를 들어, 3 kWatts 또는 그 보다 높음) 및 증착되는 층에서의 인장 응력에 대한 낮은 레벨(예를 들어, 500 Watts) 사이의 웨이퍼에 인 가되는 플라즈마 바이어스 전력 또는 전압을 변형함으로써 변할 수 있다. 결과적으로, 각각의 플라즈마 CVD 증착되는 층의 등각 및 응력은 인장 또는 압축 응력 중 하나를 가지며 등각 또는 비등각 중 하나인 여러 층에 소오스 및 바이어스 전력 레벨을 조절함으로써 독립적으로 조절된다. 비등각 필름은 포토레지스트 위에 제거가능한 층을 생성하기 위해서 그리고 깊은 트렌치 충전을 위해서 유용하다. 등각 층이 식각 정지 층 및 패시베이션 층(passivation layer)을 위해 유용하다. 압축 응력을 갖는 층은 하부 또는 인접한 P-채널 MOSFETs 내의 캐리어 이동도를 강화시키지만, 인장 응력을 갖는 층은 하부 또는 인접 N-채널 MOSFETs 내의 캐리어 이동도를 강화시킨다. 상기 반응기가 소오스 전력으로서 제공하는 고도로 제거가능한 플라즈마 이온 밀도 및 도 9의 환상형 소오스 플라즈마 반응기의 낮은 최소 플라즈마 소오스 전력은 유일한 반응기 구조의 환상형 소오스 플라즈마 반응기로부터 증가한다. 플라즈마 소오스 전력은 환상형 RF 플라즈마 전류가 순환(진동)하여 전력 어플리케이터를 재입구 외부 도관에 인가된다. 이러한 특징은 (유도 커플링에서 전이가 발생하는 경우) HDPCVD 플라즈마 반응기에 비해서 웨이퍼 표면에서 플라즈마 이온 밀도를 고도로 제어가능하게 하며, 플라즈마 소오스 전력과 함게 과도하게 증가하는데 영향을 받지 않는다. 또한, 외부 재입구 도관 내에 공저 가스에 대한 RF 소오스 전력 어플리케이터의 고도로 효율적인 커플링은 (HDPCVD 반응기와 같은) 통상의 반응기보다 훨씬 작은 플라즈마 점화를 위해 최소 플라즈마 소오스 전력을 형성한다. 낮은 온도 CVD 프로세스는 소자 구조를 파괴하지 않고 임의의 상당한 시간 동안 소자 온도가 400℃를 초과하는 (예를 들어) 65 nm 또는 45 nm 또는 그보 다 작은 소자를 위한 플라즈마 CVD 프로세스를 제공하는 문제를 해결한다. 하부 포토레지스트를 분열 또는 파괴하지 않고 포토레지스트 층위에 플라즈마 CVD 증착을 허용하기도 한다. 이는 소자상의 포토레지스트 마스킹을 파괴하지 않은 채 실행될 수 있으며 nm 크기 디자인 규칙에 특히 적합한 이후 기재되는 완전히 새로운 종류의 프로세스를 개시한다.
포스트 CVD 이온 주입 프로세스가 저온 CVD 프로세스를 수행하는데 이용되는 동일한 환상형 소오스 반응기에서 실행될 수 있다. 포스트 CVD 이온 주입 프로세스는 화학양론적 비율을 넘어 CVD 층 내에 종의 비율을 증가시키고, 플라즈마 CVD 프로세스와 양립할 수 없는 종을 CVD 층 내측으로 주입시키거나 또는 유전체 상수 또는 응력과 같은, 층의 특정 재료 질을 변경하는 종을 CVD 층 내측으로 주입시키기 위해서 비정질 또는 다결정 CVD 증착되는 층과 이의 베이스 층 사이에 부착성을 강화시키기 위한 프로세스를 포함한다.
저온 플라즈마 CVD 프로세스는 실리콘 필름, 실리콘 질화물 필름, 실리콘 수소 필름, 실리콘-질소-수소 필름, 및 산소 또는 플루오르를 더 포함하는 전술된 필름의 버전의 CVD 형성을 위해 유용하다. 필름은 CVD 프로세스가 실행되는 매우 낮은 온도에도 불구하고 크래킹, 필링, 플레이킹(flaking) 등이 없는 매우 양호한 품질 및 열적 특성을 나타낸다. CMOS 소자에 대한 응용예에 있어서, 패시베이션 층은 선택적인 식각을 할 수 있는 높은 비등각 및 포토레지스트 마스킹 및 제거를 이용하여 압축 및 인장 응력을 개별적으로 갖는 P-및 N 채널 소자위에 증착되며, 제로(중립) 응려을 갖는 식각 정지 층은 높은 등각을 갖는 모든 소자 위에 증착될 수 있다. 저온 플라즈마 CVD 프로세스가 탄소 필름의 CVD 형성에 유용할 수도 있다.
도 9의 환상형 반응기를 이용하는 저온 플라즈마 CVD 프로세스가 도 10에 도시되어 있다. 이러한 프로세스에서, 탄소 또는 탄소 함유 층이 환상형 플라즈마 화학 기상 증착 프로세스에서 증착된다. 증착되는 층은 비정질 탄소 재료, 폴리머 탄소 재료, 또는 흑연 탄소 재료의 일부 특성, 예를 들어, 프로세스가 어떻게 실행되는지에 따라서 좌우되는 광범위한 범위의 전기 및 광학 특성들을 가진다. 본 명세서의 후반 부분에서, 증착되는 재료 특성의 프로세스 제어가 기재될 것이다. 선택적인 제 1 단계(도 10의 블록 6105)는 웨이퍼 상의 금속 오염을 방지 또는 최소화하기 위해서 패시베이션 층을 이용하여 챔버의 내부 표면을 코팅하는 것이다. 패시베이션 층은 예를 들어, 증착될 CVD 필름과 동일한 재료(예를 들어, 탄소 함유 재료)로 형성된다. 챔버 내부 표면상의 패시베이션 코팅은 전술된 실시예에서와 같이, 적합한 프로세스 가스 혼합물(예를 들어, 프로필렌과 같은 탄소 함유 가스)을 도입하고, 환상형 RF 전류를 발생시키기 위해서 플라즈마 소오스 전력을 인가함에 따라서 실행된다. 이러한 단계는 재료 두께의 패시베이션 재료가 챔버 표면 내부에 증착될 때까지 실행된다. 생산 워크피스 및 반도체 웨이퍼는 (도 10의 블록 6107) 웨이퍼 지지 받침대상에 위치된다. 프로세스 가스가 탄소 및 (선택적으로) 예를 들어, 수소, 또는 질소와 같은 다른 종을 함유하여 도입된다(블록 6109). 챔버 압려이 약 5 내지 약 200 mTorr의 낮거나 적합한 레벨에서 유지된다(도 10의 블록 6111). 재입구 환상형 플라즈마 전류가 환상형 소오스 반응기 내에서 발생한 다(블록 6113). 환상형 플라즈마 전류가 각각의 재 입구 외부 도관(22, 22') 내측으로 RF 플라즈마 소오스 전력을 커플링함으로써(예를 들어, 100 Watts 내지 5 kW)(도 10의 블록 6113-1) 그리고 0 내지 10 kWatts 사이의 RF 플라즈마 바이어스 전력을 인가함으로써(도 10의 블록 6113-2) 생성된다. 소오스 전력은 플라즈마 이온을 생성시키기에 매우 효율적인, (예를 들어, 13.56 ㎒와 같은) 약 10㎒의 HF 주파수에서 바람직하다. 바이어스 전력은 소정의 양의 바이어스 전력을 위한 상대적으로 큰 플라즈마 시스 전압을 생성하기 위해서 매우 효과적인 (예를 들어, 2 MHz와 같은)MHz에 속하는 LF 주파수에서 바람직하다. RF 발생기(180)에 의해 전달되는 소오스 전력의 규모는 원하는 등각을 이용하여 웨이퍼상에 필름을 화학 기상 증착에 의해 증착시키도록 조절된다(블록 6115). RF 발생기(162)에 의해 전달되는 바이어스 전력 또는 전압의 규모는 증착되는 필름이 원하는 응력, 압축 또는 인장을 갖도록 조절된다(도 10의 블록 6117). 전술된 프로세스는 원하는 증착된 필름 두께에 도달할 때까지 실행된다. 이 후, 특정 선택적 포스트-CVD 이온 주입 프로세스가 수행될 수 있다(도 10의 블록 6119).
도 11a는 인가된 RF 소오스 전력(수평 축)의 함수로서 증착되는 층의 등각 비율(수직 축)의 그래프이다. 도 11b에 도시된 바와 같이, (베이스 층(6123)의 수직면(6123a)상에 증착되는) 기판(6123)상 또는 베이스 층상에 CVD 프로세스에 의해 층(6121)의 (베이스 층(6123)의 수평 섹션(6123b)상에 증착되는) 수평 섹션(6121b)의 두께(D)로 증착되는 층(6121)의 등각 비율이 층(6121)의 수직 섹션(6121a)의 두께(C)의 비율(C/D)이다. 0.5를 초과하는 등각 비율은 고도의 등각 CVD 증착되는 필름을 나타낸다. 약 0.1의 등각 비율은 비등각 CVD 증착되는 필름을 나타낸다. 도 11a는 도 9의 환상형 소오스 반응기의 광범위한 소오스 전력 윈도우가 (약 100 Watts 소오스 전력에서의) 등각에서 (약 1 kW 소오스 전력에서의) 고도의 등각의 등각 비율 범위를 어떻게 걸치는지를 나타낸다. 도 11a는 등각 및 비등각 필름 모두의 플라즈마 CVD 증착을 위해 이용될 수 있음을 보여주고 있다. 도 12는 인가된 소오스 전력(수평 축)의 함수로서 CVD 증착률(수직 축)을 나타내는 그래프이다. 제로에서 100 Watts의 RF 전력 소오스에서, 어떠한 플라즈마도 도 9의 환상형 소오스 반응기 내에서 점화되지 않으며, 증착률은 제로이다. 약 2 MHz에서 약 5 kV의 일정한 바이어스 전압을 이용하여 약 13.56 MHz에서 약 100 Watts의 소오스 전력을 개시하여, 증착율이 (100 Watts 소오스 전력에서) 분당 약 500Å에서 시작하여 (약 2 kW의 소오스 전력에서) 분당 약 1000 Å에 도달한다. 증착률이 충분히 낮아서 우수하며 결점이 없는 CVD 필름이 형성되며 이는 임의의 가열 또는 어닐링이 없이 결점을 큐어링할 수 있으며, 그렇지 않으면 높은 증착률(예를 들어 분당 5,000Å)을 형성할 수 있다. 따라서, 환상형 플라즈마 반응기(도 9)의 소오스 전력이 웨이퍼의 가열을 필요로 하지 않은 채 비등각과 등각 사이의 등각 비율을 전환하는데 필요한 범위 내(예를 들어, 200 Watts 에서 2 kW) 어디서든지 변할 수 있어서, 웨이퍼는 낮은 프로세싱 온도, 즉 200 미만 심지어 100℃에서 유지될 수 있다. 환상형 플라즈마 반응기 소오스 전력이 과도한 CVD 증착률을 야기하지 않고(고도의 등각을 달성하기 위해서) 매우 증가될 수 있다는 사실이 웨이퍼(120)에 걸친 프로세스 영역 내의 이온 밀도의 과도한 증가를 방지하는 환상형 소오스 반응기 구조로부 터 수반된다. 이러한 과도한 이온 밀도가 일부분 방지되며, 이는 각각의 플라즈마 소오스 전력 어플리케이터(즉, 개별적 재입구 관(22, 22')을 에워싸는 각각의 코어 26, 26' 및 이에 대응하는 주요 와인딩(winding; 28, 28'))가 측벽(10) 및 실링(12)에 의해 규정되는 반응기 챔버의 외부이며, 웨이퍼(40)에 걸친 프로세스 영역(42)로부터 멀리 떨어진 재입구 관(22, 22')의 섹션에 플라즈마 소오스 전력을 인가하기 때문이다. 다행히, 도 9의 환상형 플라즈마 반응기의 전력 소오스를 이용하는 플라즈마 이온 밀도의 고도로 제어가능한 증가는 전체 등각 범위를 전체 등각 범위에 걸치는 넓은 소오스 전력 윈도우를 야기하는 플라즈마 점화(예를 들어, 100 watts)를 위해 매우 낮은 최소 소오스 전력에 의해 수반된다. 플라즈마 점화를 위한 이러한 최소 소오소 전력 레벨은 도 9의 환상형 소오스 반응기가 13.65 ㎒와 같은 HF 주파수에서 환상형 RF 플라즈마 전류를 발생시키는 효율적인 방식의 결과이다.
도 9의 환상형 플라즈마 반응기의 다른 특징은 반응기가 (예를 들어, 0에서 10 kV까지) 작동될 수 있는 광범위한 범위의 RF 플라즈마 바이어스(시스; sheath) 전압이다. 특징의 일 양상은 도 13의 그래프에 도시되며: 바이어스 전압 작동 범위(도 13의 수평 축)는 인장 응력(+ 1 기가파스칼)에서 압축 응력(-1 기가 파스칼)까지 CVD 증착되는 필름 내의 응력의 범위(도 13의 그래프의 수직 축)에 이른다. 이러한 포스트 CVD 이온 주입 처리가 본 명세서 후반에 기재될 것이다. 넓은 범위의 RF 바이어스(시스) 전압이 2 ㎒ RF 소오스와 같은 저 주파수(LF) 플라즈마 바이어스 소오스를 이용함으로써 달성된다. 이러한 저 주파수는 균형잡인 보다 높은 시스 전압을 이용하여 웨이퍼의 표면 위에서 플라즈마 시스에 걸쳐 높은 임피던스로 변한다. 따라서, 상대적으로 소량의 플라즈마 바이어스 전력(5 kW)은 웨이퍼 표면에서 매우 큰 시스 전압(10 kV)을 생성할 수 있다. 이러한 상대적으로 낮은 바이어스 전력 레벨은 웨이퍼상의 열 부하(heating load)를 감소시키며, 웨이퍼 지지 받침대상의 열 부하 및 전기장 부하를 감소시킨다. 물론, 도 9의 환상형 소오스 반응기는 플라즈마를 점화 또는 유지하기 위해서 이러한 큰 시스 전압을 필요로하지 않지만, 바이어스 전력은 5kW 미만 0까지, 원하면 플라즈마를 소멸시키지 않고 매우 양호하게 감소될 수 있다. 도 11a에 도시된 (비등각과 고도의 등각 사이의) 등각 선택 및 (인장과 압축 사이의) 응력 선택이 도 9의 환상형 소오스 반응기의 매우 넓은 소오스 전력 및 바이어스 전력 작동 윈도우를 독립적으로 이용하여 수행된다. 결과적으로, 도 9의 환상형 소오스 반응기는 다른 층들이 응력의 다른 선택(인장, 0, 또는 압축) 및 등각 비율의 다른 선택(비등각 또는 고도의 등각)을 이용하여 증착될 수 있는 도 10의 저온 CVD 프로세스를 수행한다.
도 14는 첨가 종이 프로세스 가스 내의 전구체 가스를 포함함으로써 증착되는 층 내에 포함되는 도 10의 프로세스의 변형을 도시하고 있다. 제 1 단계는 탄소 재료 전구체 가스(예를 들어, 탄화수소, 플루오르화탄소 또는 플루오르-탄화수소 또는 다른 탄소 함유 가스)를 챔버 내측으로 도입시키는 것이다_(도 14의 블록 6132). 이러한 프로세스 가스는 환상형 플라즈마 CVD 프로세스가 반드시 예를 들어, 불활성 가스와 같은, 증착되는 (탄소) 층으로 첨가되지 않고 개선되는 종을 포함할 수도 있다. (CVD 증착되는 탄소 층 내에 포함될) 원하는 첨가 종의 전구체 가스가 챔버 내측으로 도입된다(도 14의 블록 6133). 예를 들어, 첨가 종은 붕소(B2H6) 전구체, 또는 질소 또는 수소 또는 황(H2S) 또는 다른 원하는 종일 수 있다. 또한 첨가 종 전구체 가스는 CVD 증착되는 탄소 층 내의 함유물을 위해 두 개(또는 그 이상)의 다른 첨가 종을 위해 전구체 가스를 포함할 수 있다. 그 다음, 환상형 플라즈마 CVD 프로세스가 도 10의 단계(6111, 6113), 및 (선택적으로)(6115, 6117)를 수행함으로써 챔버 내에서 실행된다(블록 6134). 탄소 전구체 가스 및 첨가(예를 들어, 붕소) 전구체 가스의 비교 가스 유동률이 CVD 증착되는 탄소 층 내의 첨가 종의 비율을 결정할 것이다. 도 15는 환상형 플라즈마 CVD 프로세스가 시작되기 전에 탄소 재료 전구체 가스만 처음 도입되는(블록 6132) 도 14의 프로세스의 변형을 도시하고 있다(블록 6135). 환상형 플라즈마 CVD 프로세스가 원하는 한계 두께로 첨가 종이 결여된 탄소 층을 증착하기 위해서 충분한 시간 동안 첨가 종 전구체 가스 없이 실행된다(블록 6135). 프로세스의 이러한 시점에서, 첨가 종 전구체 가스는 증착되는 탄소 함유 층의 잔여(상부) 부분이 첨가 종을 포함하도록 환상형 CVD 프로세스를 지속하면서, 챔버 내측으로 도입된다(6136).
도 16은 블록(6119)의 포스트 CVD 웨이퍼 처리 단계가 이온 주입 단계인 도 10 프로세스의 다른 변형을 도시하고 있다. 도 16의 프로세스에서, 탄소 재료 전구체 프로세스 가스가 챔버 내측으로 도입되며(블록 6132), 환상형 플라즈마 CVD 프로세스가 웨이퍼 상에서 실행된다. 그 후, 원하는 종이 CVD 증착되는 탄소 함유 층 내측으로 주입되는 웨이퍼상에서 수행된다(블록 6137). 원하는 종이 CVD 증착되는 탄소 함유 층 내의 특정 원하는 특성을 생성시키기 위해서 화학적으로 작용하 는 (붕소와 같은) (하나 또는 그 이상의) 첨가 종일 수 있다. 원하는 종은 (불활성 종과 같은) 이온 충돌 종일 수 있으며, 이는 이온 충돌 손상에 의해 CVD 증착되는 탄소 함유 층의 특성을 변형시킨다. 임의의 경우에, 주입되는 종의 이온 주입 깊이 프로파일이 CVD 증착되는 탄소 함유 층 내의 주입되는 종을 제한하도록 설정된다. 예를 들어, 이온 주입 깊이 프로파일 또는 분포는 CVD 증착되는 탄소 함유 층 내의 중간(예를 들어, 중앙)에 또는 인접하게 설정된 피크 값을 가질 수 있다. 또는 첨가 종을 함유하는 맨위 탄소 층(overlying carbon layer)을 갖는 베이스 층(또는 실리콘 웨이퍼 표면)과 접촉하는 첨가제 없는 탄소 층을 가지는 것이 바람직하며, 이온 주입 프로파일은 CVD 탄소 함유 층 내의 상부 깊이에서 중심에 있을 수 있어서 이온 주입은 한계치 깊이보다 낮게 발생하지 않거나 거의 발생하지 않는다. 이러한 후반 선택의 결과가 도 17에 도시되어 있으며, 한계치 두께를 가지며, 첨가 종이 없는 바닥 탄소 함유 층(6139), 하부 층(6140), 및 첨가 종을 포함하는 맨위 탄소 함유 층(6138)을 도시하고 있다. 도 17의 층형 구조물은 도 15의 2-단계 환상형 플라즈마 CVD 프로세스로 실현되기도 한다. 도 18은 도 16의 블록 단계(6137)를 위한 이온 주입 깊이 프로파일을 도시하고 있다. 본질적으로 이온 주입이 하부 표면(예를 들어, 웨이퍼) 위를 훨씬 넘는 깊이로 제한된다. 이는 도 18에 도시된 바와 같이, 바닥 표면으로부터 떨어진 이온 분포 피크를 이동시킴으로써 바닥 탄소 함유 층(도 17의 층(6139))을 비 주입되게 함으로써 (선택적으로) 달성될 수 있다.
도 19는 도 14, 15 또는 16의 환상형 플라즈마 CVD 프로세스 전 또는 후에 수행될 수 있는, 챔버 스트립(strip) 또는 세정 단계(6141), 및 챔버 시즈닝(chamber seasoning) CVD 증착 단계(6142)를 도입함으로써 변경될 수 있다. 도 19에서, 스트립 및 시즈닝 단계가 환상형 플라즈마 CVD 프로세스 이전에 수행되는 것으로 도시되어 있다. 첫 째, 도 9의 반응 챔버 내측으로의 웨이퍼의 도입 이전에 프로세스 가스가 노출되는 챔버 내부 표면으로부터 증착되는 필름을 제거할 수 있는 종을 포함하는 챔버 내측으로 도입된다(도 19의 블록 6141). 도 14, 15 및 도 16의 프로세스에서, 내부 챔버 표면상에 증착되는 재료는 주로 탄소로 구성되어, 블록(6141) 단계에서 이용되는 세정 또는 스트립 프로세스 가스가 예를 들어, 주로 산소로 구성될 수 있다. 다른 또는 첨가 세정 가스 종은 예를 들어 플루오르를 포함할 수 있다. 그 후, 스트립 또는 세정 프로세스 가스가 챔버로부터 제거되며, 시즈닝 층이 도 9의 반응기의 노출되는 내부 챔버 표면상에 증착된다(도 19의 블록 6142). 블록(6142) 단계가 전술된 동일한 환상형 플라즈마 CVD 프로세스를 이용하여 실행된다. 특히, 탄소 전구체 가스가 챔버 내측으로 시즈닝 층 전구체로서 도입된다. 이는 노출되는 챔버 내부 표면상에 CVD 증착되는 탄소 함유 시즈닝 층을 생성시킨다. 시즈닝 층의 경도 및 내구성을 강화시키는 것이 바람직하다면, 플루오르가 시즈닝 층 전구체 가스의 종으로서 포함될 수 있다. 예를 들어, 시즈닝 층 전구체 가스는 플루오르탄소 가스 또는 플루오로-탄화수소 가스를 포함할 수 있다. 시즈닝 층 전구체 가스의 주요 성분은 탄화수소 가스일 수 있다. 시즈닝 층이 내부 챔버 표면 상에 원하는 두께에 도달한 후에, 웨이퍼가 챔버 내측으로 도입되며(도 19의 블록(6143), 도 10, 14, 15 또는 도 16의 환상형 플라즈마 CVD 프 로세스가 실행된다(도 19의 블록 6144).
환상형 소오스 CVD 프로세스에 의한 탄소 필름의 증착
본 발명은 전도성 또는 복합 유전율과 같은 특정 전기적 특성(예를 들어, 광학 특성이 특히 중요하지 않은 응용예에서) 또는 특정 광학 특성(적외선 및 가시광선 파장, 즉 "광" 파장)의 탄소계 필름과 같은 필름을 증착하는데 유용할 수 있다. 이러한 필름의 전기 및 광 특성들이 특정 요구를 만족시키기 위해서 조절된다. 본 발명은 증착되는 탄소계 필름 층의 후속적인 제거시 편의성(strippability)이 실리콘 또는 다른 하부 층에 대한 선택성을 요구하는 탄소계 필름과 같은 필름을 증착하기 위해서 유용할 수도 있다. 본 발명은 등각 제어가 공백없는 갭 충진 응용예를 위해 필요한 탄소계 필름과 같은 필름을 증착하는데 유용할 수도 있다. 본 발명은 응력 제어가 필요한 탄소계 필름과 같은 필름을 증착하는데 유용할 수도 있다.
탄화-수소 필름:
여러 전기 및 광 특성의 탄소 필름은 도 1의 환상형 플라즈마 소오스 반응기를 이용하여 웨이퍼상에 증착될 수 있다. 프로세스 가스가 도 1의 가스 분배 판(18)을 통해 (또는 측면 노즐(20)을 통해) 도입된다. 프로세스 가스는 본 명세서 도입부에 열거된 하나(또는 그 이상)의 탄화 수소 가스로부터 선택된 탄화수소 가스일 수 있다. 이러한 가스로부터 챔버 내에서 발생되는 RF 환상형 플라즈마 전류가 웨이퍼의 표면상에 증착될 수소 함유 탄소 재료를 야기한다. 필름은 미량의 수소 원자만을 갖는 본질적으로 순수한 탄소일 수 있다. 그러나 일반적으로 결합 되는 수소 원자의 비율이 상당하여 증착되는 재료가 수소화된 탄소이다. 증착되는 필름의 전기적 전도성은 절연과 반도체 사이의 범위 내에서 설정될 수 있다. 선택되는 파장 대역에 대한 증착되는 층의 광 특성이 고도의 흡수 또는 투과성 사이의 범위 내에서 설정될 수 있다. 유전율은 낮은 범위에서 높은 범위 내의 규모를 갖는 "실수(real)"(즉 "실수" 성분에 대해 소량의 "허수(imaginary)" 성분을 가지는)이도록 선택될 수 있다. 유전율은 낮은 범위에서 높은 범위 내의 규모를 가지는 "실수" 성분에 대해 상당한 "허수" 성분을 가지도록 선택될 수 있다. 이러한 전기 및 광 특성들이 다음 작용
(1) 웨이퍼 표면에서 이온 충돌 에너지를 조절하는 작용,
(2) 웨이퍼 온도를 조절하는 작용,
(3) 프로세스 가스의 탄화-수소 가스 종을 선택하는 작용(가스의 탄화-수소 가스 비율을 선택하는 작용),
(4) 수소를 이용하여 프로세스 가스를 희석시키는 작용,
(5) 헬륨, 네온, 아르곤 또는 크세논과 같은 불활성 가스를 이용하여 프로세스 가스를 희석시키는 작용,
(6) 웨이퍼 표면에 대해 탄소 함유 라디칼 종의 플럭스 대한 웨이퍼 표면에서 에너지 이온(탄소 함유 또른 다른 이온)의 플럭스를 조절하는 작용,
(7) (a) 반-도체성-강화 종, (b) 저항성-강화 종 중 하나의 프로세스 가스 전구체 첨가 가스를 부가하는 작용;
(8) (a) 반-도체성 강화 종, (b) 저항성-강화 종 중 하나를 증착되는 탄소 층 내에 주입시키는 작용의 일부 또는 모두의 조합 또는 임의의 하나의 작용에 의해 제어될 수 있다.
웨이퍼 표면에서 이온 충돌 에너지의 조절이 RF 바이어스 전력, RF 바이어스 전압 또는 웨이퍼 전압, 및/또는 챔버 압력에 의해 수행될 수 있지만, 웨이퍼 표면에서 에너지화된 이온(energetic ion)의 플럭스 조절은 RF 플라즈마 소오스 전력 및/또는 챔버 압력 및/또는 희석 가스 유동에 의해 수행될 수 있다.
에너지화된 이온 플럭스 조절: 일정한 바이어스 전압 및 일정한 압력에서, RF 플라즈마 소오스 전력을 증가시키면 웨이퍼 표면에서 에너지화된 이온의 플럭스가 증가한다. 또한, 웨이퍼 표면에서 라디칼 플럭스가 소오스 전력으로 증가한다. 그러나, 적당한 압력(즉, 수백 mtorr에 이르는 mtorr) 보다 낮은 압력에서, 웨이퍼에서 라디칼 플럭스에 대한 에너지화된 이온 플럭스의 비율이 통상적으로 증가할 것이다(그러나 이는 1(unity)보다 훨씬 적다). 일정한 바이어스 전압에서 RF 플라즈마 소오스 전력을 증가시키면서, 압력을 감소시키는 것은 웨이퍼에서 라디칼 플럭스에 대한 고 이온 플럭스의 비율을 더 증가시킨다. 일정한 소오스 전력 및 바이어스 전압에서, 아르곤 또는 크세논을 이용하여 프로세스 가스를 희석시키는 것은 웨이퍼 표면에서 에너지화된 이온의 플럭스를 증가시키는 경향이 있지만, 헬륨 또는 네온을 이용하여 희석시키는 것은 웨이퍼 표면에서 에너지화된 이온의 플럭스를 감소시키는 경향이 있다. 프로세스 가스 유동률에 대한 희석 가스 유동률의 비율이 증가함에 따라 효과가 강화된다. 적당한 압력(즉, 수백 mtorr에 이르는 mtorr 압력)보다 낮은 압력에서, 일정한 RF 플라즈마 소오스 전력 및 바이어스 전 압을 증가시키면 웨이퍼 표면에서 에너지화된 이온의 플럭스가 증가한다.
이온 에너지 조절: 일정한 RF 플라즈마 소오스 전력에서, RF 바이어스 전력 또는 전압을 증가시키면, 웨이퍼 표면에서 이온 충돌 에너지가 증가한다. 일정한 RF 플라즈마 소오스 전력 및 RF 바이어스 전압에서, 적당한 압력(즉, 수백 mtorr에 이르는 mtorr 압력)보다 낮은 압력에서, 압력이 증가하면, 이온 에너지가 감소하며, 끝까지 이러한 효과가 반드시 큰 것만은 아니다. 일정한 RF 플라즈마 소오스 전력 및 RF 바이어스 전력에서 그리고 적당한 압력(즉, 수백 mtorr에 이르는 mtorr 압력) 보다 낮은 압력에서, 압력을 증가시키면 이온 에너지가 보다 큰 효과를 갖추어 감소하며, 이는 (일정한 바이어스 전력에서) 바이어스 전압이 보다 높은 플라즈마 이온 및 전자 밀도의 로딩 효과로 인해 감소하기 때문이다.
(가스의 탄화수소 비율을 선택하는) 프로세스 가스의 탄화수소 가스 종을 선택하는 것은 증착되는 재료의 광학 및 전기적 특성에 영향을 미친다. 가스의 탄화수소 비율을 감소시키는 것은 통상적으로 C:H 결합을 감소시키며, C:C 결합을 증가시키며, 이는 광 흡수를 증가시키며(투과성를 감소시키며) 그리고 전기 전도성을 증가시킨다. 또한, "실수" 성분에 대한 유전율의 "허수" 성분을 증가시키는 경향이 있다. 예를 들어, C3H6은 CH4보다 큰 광 흡수 및/또는 전기 전도성을 갖는 증착되는 층을 생성할 수 있으며, C4H6은 C3H6보다 큰 광 흡수 및/또는 전기 전도성을 갖는 증착되는 층을 제공할 수 있다. 수소를 이용하여 프로세스 가스(들)를 희석하는 것은 증착되는 재료의 강 특성 및 전기적 특성에 영향을 미칠 수 있다. 수소를 희석하는 것은 통상적으로 C:H 결합을 감소시키며 C:C 결합을 증가시키며, 이는 광 흡수를 증가시키며(투과성을 감소시키며) 그리고 전기 전도성을 증가시킨다. "실수" 성분에 대한 유전율의 "허수" 성분을 증가시키는 경향도 있다. 증착되는 탄소 재료의 강 흡수를 조절하기 위한 전술된 단계 이외에도, 광 흡수는 붕소, 질소 또는 황과 같은 증착되는 재료 내에 특정 첨가 재료를 포함함으로써 강화될 수 있다. 임의의 이러한 재료들이 B2H6, N2 또는 H2S와 같은 전구체 가스들을 프로세스 가스 내에 각각 포함함으로써 첨가될 수 있다. 붕소, 질소 또는 황과 같은 재료를 프로세스 가스에 첨가하는 것은 실질적으로, 증착되는 탄소 재료의 열 안정성을 개선하여 실패 없이 고온(>1400℃)으로 신속하게 가열되게 한다.
재료 첨가는 증착되는 재료의 광 흡수, 열적 안정성, 및/또는 전기 전도성 및/또는 유전율을 강화시킬 수 있다. 첨가 가스 내의 붕소, 질소 또는 황에 대한 수소의 비율은 증착되는 층의 특성에 영향을 미친다. 통상적으로, 가스의 수소 대 다른 원소 비율을 감소시키는 것은 통상적으로 C:H 결합을 감소시키며 C:C 결합을 증가시키며, 이는 광 흡수를 증가시키며 (투과성을 감소시키며) 그리고 전기 전도성을 증가시킨다. "실수" 성분에 대한 유전율의 "허수" 성분을 증가시키는 경향도 있다. 보다 높은 광 흡수 또는 전기 전도성을 위해서, (B2H6과 비교해서) B5H9 또는 (NH3와 비교해서) N2는 고도로 흡수 또는 전도성을 증가시킬 수 있다. B2H6은 통상적으로 안전성 이유에 대한 보다 높은 반응으로 인해 (가스 보틀 내에서) 희석되어야만 하며, 헬륨, 아르곤, 수소 또는 질소를 이용하여 상용으로 입수가능하게 희석된다. 수소 희석된 B2H6은 통상적으로 헬륨 희석되는 B2H6보다 광 흡수 및 전기 전도성의 보다 큰 향상을 제공한다. 아르곤 희석되는 B2H6는 헬륨 또는 수소 희석되는 B2H6보다 훨씬 큰 광 흡수 및 전기 전도성을 제공할 수 있다. 질소 희석되는 B2H6는 헬륨 또는 수소 희석되는 B2H6보다 큰 광 흡수 및 전기 전도성의 향상을 제공할 수도 있으며, 전술된 바와 같은 상승적인 이익을 제공할 수 있다. B5H9는 희석물을 요구하지 않으며, B2H6 보다 높은 B 대 H 비율을 가져서 헬륨 또는 수소 희석되는 B2H6보다 큰 광 흡수 및 전기 전도성의 향상을 제공할 수 있다. 전도성을 증가시키는 전술된 인자들은 "실수" 성분에 대한 유전율의 "허수" 성분을 증가시키는 경향도 있다. 이와 달리, 전술된 포스트 CVD 이온 주입 단계는 증착되는 탄소 층으로 종을 주입시킴으로써 흡수 향상 종(B,N 또는 S)중 어느 하나로 수행될 수 있다. 포스트 CVD 주입 단계가 예를 들어, 도 1의 환상형 플라즈마 소오스 반응기를 이용하여 플라즈마 잠입 이온 주입에 의해 실행된다면, 동일한 프로세스 가스가 (B2H6, N2 또는 H2S)로서 사용될 수 있다.
염기성의 비정질 탄소 전구체 탄화수소 가스(즉, C3H6)에 (a) 붕소(즉, B2H6)에 (b) N2 또는 다른 형태의 질소를 첨가하는 상승 이익이 있다. 증착되는 탄소 층의 열적 안정성(즉, 열 특성)이 450℃에서 개선됨 특히 보다 높은 온도에서 개선된다. 특히 증착되는 비정질 탄소 층은 증착되는 층의 박리(delamination), 또는 필링 등 없이 실리콘의 적어도 융점에서 레이저 가열될 수 있다. (붕소 및 질소를 첨가하는) 이러한 특징은 박리 또는 필링을 방지하기 위해서 통상적으로 필요한 한계 이온 에너지 또는 한계 웨이퍼 전압을 실제로 감소시킨다. 탄화수소 가스 내의 붕소 및 질소 첨가제를 결합하는 열적 특성, 증착되는 층을 개선하기 위한 전술된 특징들이 전술된 방식으로 제어되는 특정 전기적 특성을 가지는 비정질 탄 소 층을 증착하는 경우에 사용될 수 있다. 광 흡수재가 아니며 탄소 층을 증착하기 위해서 사용될 수도 있다. 증착되는 탄소 층 특성의 조절이: (1) 탄소 층 내의 결합 수소 원자의 비율, 즉 증착되는 탄소 층 내의 총 원자 결합으로부터의 C:H 결합의 비율 및 (2) C:C 사슬(chains)의 길이 및 (3) 탄소 원자의 결합 혼성화 및 상이한 결합의 상대적인 농도 즉, sp3:sp2:sp1를 기본으로 하는 것으로 믿고 있다. 웨이퍼 표면에서 이온 에너지를 증가시키고 웨이퍼 표면에서 에너지화된 이온 플럭스를 증가시키고 웨이퍼 온도를 증가시키는 것은 (1)(보다 짧은 사슬을 생성시키도록) 보다 많은 C:C 사슬을 끊는 단계 및 (2) (이의 존재를 감소시키도록) 보다 많은 C:H 결합을 끊고 C:C 결합을 형성하는 단계 및 (3) 탄소 원자의 결합 혼성화 및 상이한 결합의 상대적인 농도 즉, sp3:sp2:sp1를 변경하는 단계의 효과를 가질 수 있는 것으로 더 믿고 있다. 반응 챔버 내의 프로세스 가스 내 수소 함량을 감소시킴으로써, 증착되는 탄소 층 내에 형성되는 C:H 결합의 수가 감소한다.
C:C 사슬의 길이 감소는 연질 폴리머(soft polymer)로부터 경질의 비정질 탄소(hard amorphous carbon)로 증착되는 재료의 상태를 변경시킨다. 증착되는 탄소 층 내의 C:H 결합의 수의 감소로, 전기 전도성이 상대적으로 절연성에서 반도체성으로 변하면서, 광학 특성은 상대적으로 투과성에서 불투과성으로 변한다. 따라서, 환상형 플라즈마 CVD 프로세스에서, 증착되는 탄소 층의 전기 전도성은 절연성과 반도체성 사이의 범위 어디에서든 설정될 수 있으면서, 광학 특성은 투과성과 불투과성 사이의 범위 어디에서든 설정될 수 있다.
이온 충돌에 의한 C:C 결합 및/또는 C:H 결합 분리(breaking)의 감소는 매우 높은 이온 에너지(예를 들어, 약 100 eV에서 1 keV)를 필요로 할 수 있다. (긴 폴리머 사슬을 갖는) 폴리머 탄소는 낮은 (100℃ 미만) 웨이퍼 온도에서 형성되려는 경향이 있다. 폴리머 사슬의 길이는 낮은 웨이퍼 온도에서 조차 이온 충돌에 의해 감소한다. 이와 달리, 웨이퍼 온도는 C:C 사슬 길이를 짧게 유지하기 위해서 (예를 들어, 400℃에서) 환상형 플라즈마 CVD 프로세스 중에 증가할 수 있다. (높은 RF 바이어스 전력을 필요로 하는) 증착되는 탄소 층의 광학 및 전기적 특성을 변경하는데 필요한 매우 높은 이온 에너지는 증착되는 탄소 층과 하부 재료 사이의 우수한 원자 결합을 형성함으로써, 웨이퍼 상에 이전에 형성되는 박막 구조 또는 하부 웨이퍼에 탄소 층의 부착을 강화하는 효과를 가진다. 증착되는 탄소 층 내에 압축 응려을 발생시킴으로써, 열 응력(예를 들어, 매우 높은 온도)에 의해 유도되는 기계적 고장 또는 분리에 대한 증착되는 필름의 저항을 강화시키기도 한다. 필름의 기계 강도를 강화시키기도 한다. 높은 바이어스 전압(즉, >1 kV)을 인가하는 단계는 실질적으로, 증착되는 탄소 재료의 열 안정성을 개선시켜, 고장 없이 높은 온도(>1400℃)로 신속하게 가열된다. 환상형 플라즈마 CVD 프로세스 중에 웨이퍼를 가열시키는 것 이외에, 증착되는 층의 광 흡수를 강화하기 위한 부가적인 방법은 CVD 프로세스의 완성 후에 약 400℃로 웨이퍼를 가열하는 것이다. 이러한 단계가 증착되는 탄소 층 내의 C:C 결합을 더 형성하며 C:H 결합을 분리하며, 탄소 원자의 결합 혼성화 및 상이한 결합의 상대적인 농도 즉, sp3:sp2:sp1를 변경하는 동 일한 메카니즘에 의해 광 흡수를 강화시키는 것으로 믿고 있다.
탄화 수소 전구체 가스에 불활성 희석 가스를 첨가하는 것은 필름의 전기 및 광학 특성을 변경시킬 수 있다. 예를 들어, 헬륨 또는 네온을 첨가하는 것은 필름을 보다 투과성 (및 보다 절연성)있게 형성하지만, 아르곤 및 크세논은 필름을 보다 불투과성 (및 보다 반도체성)있게 형성한다. 일정한 RF 소오스 전력 및 RF 바이어스 전압에 있어서, 헬륨 첨가는 이온 플럭스를 감소시키지만, 아르곤 또는 크세논 첨가는 이온 플럭스를 증가시키는 것으로 믿고 있다. 에너지화된 이온 플럭스를 증가시키는 것은 필름의 전기 저항 및 광 투과성을 감소시키는 경향이 있다. 전도성을 증가시키는 전술된 요소는 "실수" 성분에 대한 유전율의 "허수" 성분을 증가시키는 경향이 있다. 일정한 RF 소오스 전력에서, RF 바이어스 전압을 증가시키는 것은 웨이퍼 상의 이온 충돌의 이온 에너지를 증가시키며, 필름의 광 투과성 및 전기 저항을 감소시키는 경향이 있다. "실수" 성분에 대한 유전율의 "허수" 성분을 증가시키는 경향도 있다. 일정한 RF 바이어스 전압에서 RF 소오스 전력을 증가시키는 것은 웨이퍼 표면의 에너지화된 이온 플럭스를 증가시키며, 필름의 고아 투과성 및 전기 저항을 감소시키는 경향이 있다. "실수" 성분에 대한 유전율의 "허수" 성분을 증가시키는 경향도 있다. 일정한 RF 소오스 전력 및 RF 바이어스 전압에서 가스 압력을 증가시키는 것은 웨이퍼 표면에서 에너지화된 이온 플럭스를 증가시키며, 필름의 광 투과성 및 전기 저항을 감소시키는 경향이 있다, "실수" 성분에 대한 유전율의 "허수" 성분을 증가시키는 경향도 있다.
증착되는 탄소 층의 등각이 RF 플라즈마 소오스 전력을 조절함으로써 조절된 다. 소오스 전력을 조절함으로써 증착되는 층 등각을 조절하는 것은 본 명세서에 전술되어 있다. 증착되는 탄소 층의 응력은 RF 플라즈마 바이어스 전력을 조절함으로써 조절된다. 바이어스 전력을 조절함으로써 증착되는 층 응력을 조절하는 것은 본 명세서에 전술되어 있다.
플루오르 탄소 필름
본 명세서 서두에 언급되는 플루오르 탄소 가스들 중 어느 하나로부터 선택되는 플루오르 탄소 프로세스 가스가 웨이퍼 상에 플루오르 함유 탄소 층을 증착시키기 위해서, 탄화 수소 가스 대신에 CVD 프로세스 가스로서 사용될 수 있다. 이러한 층은 넓은 대역의 파장에 걸쳐서 투과성이 있을 수 있다. 플루오르 함유 탄소 층은 매우 낮은 유전체 상수가 증착되는 탄소 층 내에서 바람직한 경우에 유용하다. 투과성 탄소 층이 바람직한 경우에도 유용하다. 고도로 절연성 탄소 필름이 바람직한 경우에도 유용하다. "실수" 성분에 대한 작은 "허수" 성분을 가지는 보다 낮은 유전율이 바람직한 경우에도 유용하다. 플루오르 탄소 필름을 위해서, 바람직한 플루오르탄소 가스는 C4F6 및 C3F6이다. 다른 플루오르탄소 가스는 C2F4, C2F6, C3F8, C4F8 및 C5F8이다. 프로세스는 플르오르 탄화수소 필름을 증착하는데 이용될 수 있다. 플루오르 탄화수소 필름을 위해서, CH2F2와 같은 플루오르 탄화수소 가스가 이용될 수 있다. 이와 달리, 프로세스는 적합한 탄화수소 및 플루오르 탄화수소 가스의 조합이 프로세스 가스로서 사용되는 경우에, 탄화수소 및 플루오르탄소 재료의 조합인 필름을 증착하는데 이용될 수 있다. 이러한 플루오르 함유 필름은 비정질 또는 폴리머일 수 있다. 이러한 플루오르 함유 필름은 플루오르 함량에 따라 달라지는 투과성의 경향이 있다. 이러한 필름은 플루오르 함량에 따라 달라지는 매우 낮은 유전체 상수를 가질 수 있다. 플루오르탄소(또는 플루오르 탄화수소) 및 탄화수소를 함유하는 필름은 상대적인 수소 및 플루오르 함량에 따라 달라지는 투과성 및 흡수성 사이에서 변할 수 있다.
플루오르 함유 탄소 층의 특성은 탄소 필름 내의 F:C 결합의 형태 및 특성을 제어하고, 탄소-탄소 사슬의 길이를 제어함으로써, 수소 함유 탄소 층을 위해 전술된 바와 유사한 방식으로 제어될 수 있다. 특성은 다음의 작용:
(1) 웨이퍼 표면에서 이온 충돌 에너지를 조절하는 작용,
(2) 웨이퍼 온도를 조절하는 작용,
(3) 프로세스 가스의 플루오르 탄소 가스 종을 선택하는 작용,
(4) 플루오르를 이용하여 프로세스 가스를 희석시키는 작용,
(5) 헬륨, 네온, 아르곤 또는 크세논과 같은 불활성 가스를 이용하여 프로세스 가스를 희석시키는 작용,
(6) 웨이퍼 표면에 대해 탄소 함유 라디칼 종의 플럭스에 대한 웨이퍼 표면에서 에너지화된 이온(탄소 함유 또른 다른 이온)의 플럭스를 조절하는 작용,
(7) (a) 반-도체성-강화 종, (b) 저항성-강화 종 중 어느 하나의 프로세스 가스 전구체 첨가 가스를 부가하는 작용;
(8) (a) 반-도체성 강화 종, (b) 저항성-강화 종 중 어느 하나를 증착되는 탄소 층 내에 주입시키는 작용의 일부 또는 모두의 조합 또는 임의의 하나의 작용에 의해 제어될 수 있다.
웨이퍼 표면에서 이온 충돌 에너지의 조절이 RF 바이어스 전력, RF 바이어스 전압 또는 웨이퍼 전압, 및/또는 챔버 압력에 의해 수행될 수 있지만, 웨이퍼 표면에서 에너지화된 이온의 플럭스 조절은 RF 플라즈마 소오스 전력 및/또는 챔버 압력 및/또는 희석 가스 유동에 의해 수행될 수 있다.
에너지화된 이온 플럭스 조절: 일정한 바이어스 전압 및 일정한 압력에서, RF 플라즈마 소오스 전력을 증가시키면 웨이퍼 표면에서 에너지화된 이온의 플럭스가 증가한다. 웨이퍼 표면에서 라디칼 플럭스가 소오스 전력을 이용하여 증가하기도 한다. 그러나, 적당한 압력(즉, 수백 mtorr에 이르는 mtorr 압력) 보다 낮은 압력에서, 웨이퍼에서 라디칼 플럭스에 대한 에너지화된 이온 플럭스의 비율이 통상적으로 증가한다(그러나 , 일정한 바이어스 전압에서 RF 플라즈마 소오스 전력을 증가시키면서, 압력을 감소시키는 것은 웨이퍼에서 라디칼 플럭스에 대한 고 이온 플럭스의 비율을 더 증가시킨다. 일정한 소오스 전력 및 바이어스 전압에서, 아르곤 또는 크세논을 이용하여 프로세스 가스를 희석시키는 것은 웨이퍼 표면에서 에너지화된 이온의 플럭스를 증가시키는 경향이 있지만, 헬륨 또는 네온을 이용하여 희석시키는 것은 웨이퍼 표면에서 에너지화된 이온의 플럭스를 감소시키는 경향이 있다. 프로세스 가스 유동률에 대한 희석 가스 유동률의 비율이 증가함에 따라 효과가 강화된다. 적당한 압력(즉, 수백 mtorr에 이르는 mtorr 압력)보다 낮은 압력에서, 일정한 RF 플라즈마 소오스 전력 및 바이어스 전압을 증가시키면 웨이퍼 표면에서 에너지화된 이온의 플럭스가 증가한다.
이온 에너지 조절: 일정한 RF 플라즈마 소오스 전력에서, RF 바이어스 전력 또는 전압을 증가시키면, 웨이퍼 표면에서 이온 충돌 에너지가 증가한다. 일정한 RF 플라즈마 소오스 전력 및 RF 바이어스 전압 및 적당한 압력(즉, 수백 mtorr에 이르는 mtorr 압력)보다 낮은 압력에서 압력이 증가하면 이온 에너지가 감소하며, 끝까지 이러한 효과가 반드시 큰 것만은 아니다. 일정한 RF 플라즈마 소오스 전력 및 RF 바이어스 전력에서 그리고 적당한 압력(즉, 수백 mtorr에 이르는 mtorr 압력) 보다 낮은 압력에서, 압력을 증가시키면 이온 에너지가 보다 큰 효과를 갖추어 감소하며, 이는 (일정한 바이어스 전력에서) 바이어스 전압이 보다 높은 플라즈마 이온 및 전자 밀도의 로딩 효과로 인해 감소하기 때문이다. 증착되는 플루오르 탄소 층의 등각은 RF 플라즈마 소오스 전력을 조절함으로써 조절된다. 소오스 전력을 조절함으로써 증착되는 층 등각을 조절하는 것은 본 명세서에 전술되어 있다. 증착되는 플루오르 탄소 층의 응력은 RF 플라즈마 바이어스 전력을 조절함으로써 조절된다. 바이어스 전력을 조절함으로써 증착되는 층 응력을 조절하는 것은 본 명세서에 전술되어 있다.
플루오르 탄소 가스 및 탄화 수소 가스의 조합은 플루오르 및 수소를 바람직한 비율로 모두 함유하는 탄소 층을 형성하도록 프로세스 가스로서 이용될 수 있다. 이러한 비율이 증착되는 탄소 층 내의 원하는 흡수 또는 전도성을 달성하기 위해서 이용될 수 있다. 개별 C:F 및 C:H 증착되는 재료 내의 C:H 및 C:F 결합의 비율을 조절하기 위해 직접 전술된 동일한 방법이 C:F + C:H 조합 증착되는 탄소 재료 내의 C:H 및 C:F 결합의 비율을 제어하는데 이용될 수 있다. 수소 및 플루오르를 모두 함유하는 탄소 층은 환상형 소오스를 이용하는 플라즈마 프로세스 내의 탄화수소 가스에 플루오르를 함유하는 비 플르오르탄소 가스를 첨가함으로써 형성될 수도 있다. 예를 들어, F2 또는 BF3 또는 SiF4 또는 NF3는 탄화수소 가스에 첨가될 수 있다. 반대로, 수소 및 플루오르를 모두 함유하는 탄소 층은 환상형 소오스를 이용하는 플라즈마 프로세스에서 플루오르탄소 가스에 수소를 함유하는 비 탄화수소 가스를 첨가함으로써 형성될 수도 있다. 예를 들어, H2 또는 B2H6 또는 SiH4 또는 NH3가 플루오르탄소 가스에 첨가될 수 있다.
흡수 층의 저온 증착:
비정질 탄소 층(ACL)일 수 있는 광 흡수 층(OAL)이 전술된 환상형 플라즈마 소오스 저온 CVD 프로세스를 이용하여 증착된다. 챔버에 도입되는 프로세스 가스는 탄소 전구체 가스이며, OAL이 ACL인 경우에 그러하다. 중요한 파장(예를 들어, 810 nm)에서 비정질 탄소 재료 내의 흡수가 탄소에 불순물 재료를 첨가함으로써 강화될 수 있음을 발견하였다. 비정질 탄소를 810 nm에서 불투명하게 하는 이러한 불순물 재료의 한 예가 붕소이다. 이러한 경우에, 프로세스 가스는 (예를 들어) 프로필렌과 같은 탄소 전구체 가스 및 (B2H6와 같은) 붕소 전구체 가스 및 수소와 같은, B2H6을 위한 희석 가스로 구성된다. 헬륨이 희석가스로서 이용될 수 있지만, 비정질 탄소 층의 광 본질이 수소의 존재하에서 최적으로 강화될 수 있음을 발견하였다.
도 20은 도 1~8 광 소오스의 동적 표면 어닐(DSA) 프로세스와 같은 고속 광학 어닐링 단계에 의해 수반되는 광 흡수 층(OAL)을 형성하기 위해서 도 9의 환상형 플라즈마 소오스 반응기의 저온 CVD 단계를 포함하는 접합 형성 프로세스의 블 록선도이다. 제 1 단계(도 20의 블록(205))는 결정질 실리콘과 같은 반도체 재료로 도펀트 불순물을 주입시키는 것이다. 65 nm보다 작은 소자 기하학 구조를 위해서, 이러한 도펀트 이온 주입 단계는 극히 얕은 접합을 형성하며, 상기 접합에서 도펀트 주입되는 영역은 몇백 Å보다 더 연장되지는 않는다. 도펀트 주입 단계(205)는 통상의 비임 라인 주입장치(implanter)로 실행될 수 있으며, 또는 보다 바람직하게, 2004년 10월 14일 공보된 히로지 하나와(Hiroji Hanawa) 등에 의한 미국 특허 출원 번호 제 2004/0200417 호에 기재된 바와 같은 환상형 소오스 반응기의 형태를 이용하여 실행될 수 있다. 다음 단계(도 20의 블록(210)가 웨이퍼 위에 광 흡수 층을 형성하기 위해서 도 9의 환상형 플라즈마 소오스 반응기 내에 저온 화학 기상 증착 프로세스로 실행된다. 블록(201)의 CVD 프로세스는 다음 단계로 구성된다. 첫 째, 웨이퍼가 도 9의 반응기의 정전 척상에 위치된다(블록(211). 프로세스 가스가 반응기 챔버 내측으로 도입된다(블록 212). 프로세스 가스가 OAL의 재료를 위한 전구체로 구성된다. 예를 들어, OAL이 비정질 탄소이면, 프로세스 가스는 탄소를 위한 전구체( 또는 이를 포함할 수 있다)이다. 이러한 탄소 전구체 가스는 본 명세서의 서두에 전술되어 있으며, 메탄, 아세틸렌, 에틸렌, 에탄, 프로필렌, 프로판, 에틸-아세틸렌, 1,3-부타디엔, 1-부텐, n-부탄, 펜탄, 헥산, 톨루엔, 메틸 벤젠 또는 1-부틴, 또는 다른 적합한 탄소 전구체를 포함하는, 본 명세서의 서두에 기재된 탄소 함유 가스 중 어느 하나(또는 조합)일 수 있다. 다음 단계(블록 213)에서, RF 플라즈마 소오스 전력이 도 9의 재입구 관(22, 22') 내에 환상형 플라즈마 전류를 발생시키기 위해서 RF 발생기(30, 30')에 의해 인가된다. 척 전압이 웨이퍼를 클램핑시키기 위해서 정전 척에 인가되어 웨이퍼와 정전 척 사이의 열적 커플링(thermal coupling)을 제공한다. 발전기(30, 30')의 RF 소오스 전력 레벨은 증착되는 필름의 원하는 등각을 달성하도록 설정된다 (블록 214). RF 바이어스 전압이 발전기에 의해 RF 발전기(44)에 의해 인가되며, 이의 전압 또는 전압 레벨이 증착되는 층 내에 원하는 응력을 달성하기 위해서 조절된다(도 20의 블록 215). 이러한 단계에서, 증착되는 층의 밀도가 증착되는 층 내의 압력 응력을 증가시킴으로써 증가될 수 있다. 이는 도 13과 관련한 본 명세서의 서두에 기재된 바와 같은, 바이어스 전력 도는 전압에서의 증가를 필요로 한다. 바람직하게, 부가적인 가스가 챔버 내측으로 도입되며, 증착되는 OAL 내에 포함되는 경우에 OAL의 광 특성을 강화시키는, 종을 위한 전구체이다(블록 216). 통상적으로, 이러한 광 특성은 DSA 광 소오스의 파장(예를 들어, 810 nm)에서 흡수 또는 불투과성을 가진다. OAL이 비정질 탄소라면, 종을 강화시키는 것은 붕소, 예를 들어, 질소, 수소 또는 본 명세서 서두에 언급된 다른 예이다. 증착 프로세스 단계가 완성된 후에, 통상적으로 척 전압을 제로(zero) 또는 디척 전압(dechucking voltage)으로 설정함으로써 웨이퍼가 디척킹되며(dechucked), 리프트 핀이 정전 척으로부터 웨이퍼를 상승시키며, 그 후 RF 소오스 및/또는 바이어스 전력이 차단된다.
흡수 강화 단계 블록(216)은 적당히 고온(예를 들어, 450℃)에서 (약 몇 초 또는 1분의 몇 분의 일 동안) 매우 잠시 동안 웨이퍼를 가열하는 것으로 구성될 수 있다(블록 216a). OAL의 증착 후에 별도의 반응기 내에서 실행될 수 있는 이러한 가열 단계는 일부 프로세스 예에서 약 .3 내지 .36으로 광학 k 값(소광 계수)을 증 가시킬 수 있다. OAL은 약 0.25 미크론 내지 약 1 미크론 사이의 두께로 증착될 수 있다. 블록(210)의 OAL 증착 프로세스가 완성되자마자, 동적 표면 어닐링(DSA) 프로세스가 수행된다(도 20의 블록(230)). 웨이퍼가 DSA 챔버에 위치되며(블록 232), CW 다이오드 레이저의 배열로부터의 광이 특정 파장(예를 들어, 810 nm)에서 도 1~9의 광 소오스에 의해 웨이퍼 상에 얇은 라인(thin line)으로 포커싱된다(블록 234). 이러한 라인의 광은 전체 웨이퍼에 걸쳐 가로질러 스캐닝된다(236). 이러한 단계에서의 웨이퍼의 신속한 가열은 본 명세서에 이미 기재되어 있다. 블록(230)이 완성되자마자 OAL은 웨이퍼로부터 제거된다(블록 240). 이러한 단계는 가열된 웨이퍼 지지부 및 산소 가스(라디칼) 소오스로 구성되는 통상의 스트립 챔버를 사용할 수 있다. 그러나, 바람직하게, 스트립 챔버가 도 9에 도시된 형태의 환상형 소오스 플라즈마 반응기이며, 상기 반응기 내에서 프로세스 가스가 산소로 구성되며 그리고/또는 질소 가스가 도입되며, 플라즈마 소오스 전력을 이용하여 플라즈마가 발생한다. 웨이퍼가 가열될 수도 있으며 (가열된 웨이퍼 척으로 가열되거나 플라즈마 가열될 수도 있으며) 그리고/또는 OAL 또는 비정질 탄소 층의 제거를 개선하도록 바이어싱된다.
광 흡수 강화 종들은 상기 종들이 프로세스 가스 내에 포함됨으로써 CVD 증착 프로세스 중에 OAL 내측으로 주입되는 블록(216) 단계와 구별되는 바와 같은 포스트 CVD 이온 주입 단계에 의해 OAL 내측으로 주입될 수 있다. 이러한 경우에, 도 20의 프로세스가 도 21에 도시된 바와 같이 변경되며, 이러한 프로세스에서, 블록(210)의 저온 OAL CVD 단계의 완성 후 그리고 블록(230)의 DSA 단계 전에, 포스 트 CVD 이온 주입 단계(220)가 수행되며, 여기서 (붕소와 같은) 광 흡수 강화 종이 OAL 내측으로 도입된다. 이러한 목적을 위해서, 통상의 비임 라인 이온 주입기가 이용될 수 있지만, 바람직하게, P3i 환상형 소오스 플라즈마 반응기(도 9)가 하나와 등에 의한 전술된 공개 특허에 기재된 방식으로 사용된다. 이러한 단계는 도 22에 도시되며, 여기서 웨이퍼(251)가 도펀트 주입되는 영역을 포함하는 맨위의 박막 구조(252)를 가진다. 웨이퍼(251) 및 박막 구조(252)가 블록(210)의 단계에서 형성되는 비정질 탄소 OAL(253)에 의해 덮인다. 블록(220)의 포스트 CVD 이온 주입 단계가 도 22에 도시된 바와 같이 OAL(253) 내측으로 이온(예를 들어, 붕소 이온)을 가속화함으로써 실행된다. 붕소를 이전에 형성된 극히 얕은 접합으로 도입하는 것을 방지하기 위해서, 붕소의 이온 주입 깊이 프로파일이 OAL(253)의 바닥보다 훨씬 위에 있을 필요가 있다. 도 23a는 도펀트 주입되는 영역(251a), 박막 구조(252) 및 OAL(253)을 가지는 웨이퍼(251) 또는 반도체 (실리콘) 층을 도시하고 있다. 도 23b는 OAL(253) 내에 광 흡수 강화 종의 이온 주입 농도 깊이 프로파일을 도시하고 있다. 주입되는 이온(붕소) 농도는 깊이와 함께 하향으로 램핑(ramp)되며 OAL(253)의 바닥 위의 제로에 근접하게 도달하여 바닥 OAL 층(253a)은 비주입 상태가 된다. 이러한 특징은 두 개의 이점을 가질 수 있다. 하나의 이점은 이온 주입되는 흡수 강화 종에 의한 하부 반도체 층(51)의 오염이 비 주입되는 바닥 OAL 층(253a)이 존재에 의해 방지된다는 점이다. 다른 하나의 이점은 바닥 OAL(253a)을 순수한 상태가 되게 하면 OAL과 하부 재료 사이의 결합 또는 부착의 강도 또는 질을 강화시킬 수 있다. 도 23b는 주입 프로파일이 기울거나 램핑된 주입 프로파 일을 도시하고 있으며, 이온 주입 프로파일은 급각을 형성하게 될 수 있어서, OAL(253)의 전체 주입되는 (상부) 영역은 깊이 함수로서 주입되는 종의 (경사지는 것보다는) 거의 균일한 분포를 가질 수 있다.
굴절률의 허수부 또는 소광 계수가 흡수 강화 종의 이온 주입에 의지하지 않고 경사질 수 있다. 예를 들어, CVD 증착 단계 중에, OAL에 첨가되는 흡수제 강화 종의 농도 깊이 프로파일이 경사질 수 있다. 이는 블록(216)의 단계에 첨가되는 습수제 강화 종의 비율이 CVD 증착 단계 중에 시간에 따라 경사지거나 계단형이 되는 단계를 포함하도록 도 20의 프로세스를 변경함으로써 수행된다. 이와 달리, 특정 프로세스 매개변수(예를 들어, 바이어스 전력)가 CVD 증착 단계 중에 시간에 따라 경사지거나 계단형이 될 수 있다. 이러한 변형이 도 24에 도시되며, 여기서 블록(210)의 CVD 증착 프로세스가 두 개의 단계 중 하나(또는 모두)를 완결한다. 제 1 단계(도 24의 블록(261))은 블록(210)의 CVD 증착 단계 중에 흡수 강화 가스 전구체 종(예를 들어, B2H6)의 챔버 내측으로의 가스 유동률이 시간에 따라 경사지는 것이다. 다른 단계(도 24의 블록(262))는 블록(210)의 CVD 증착 단계 중에 (바이어스 전력 또는 전압과 같은) 특정 프로세스 매개변수를 시간에 따라 경사지는 것이다. 바이어스 전력 또는 전압의 램핑(ramping)은 압축 응력의 경사진 깊이 분포 및 OAL(253) 내의 밀도를 생성시킬 것이다. 밀도는 흡수에 영향을 미치며, 바이어스 전압을 램핑하는 것은 OAL 내의 깊이의 함수로서 OAL의 흡수 특성을 경사지게 하는 경향이 있을 것이다. 도 25는 프로세스 가스 내의 흡수 강화 종 전구체의 일부(또는 CVD 층두께)가 바닥 OAL 층의 최소 두께(T)에서 시작하여 시간에 따라 어 떻게 상향으로 램핑되는지 도시하는 그래프이다. 도 26은 블록(210)의 CVD 증착 프로세스 중에 시간에 따라 어떻게 증가하는지 도시하는 그래프이다. 바이어스 전압은 최소 층 두께(T)가 도달될 때까지 인가되지 않는다. 이러한 후자의 특징은 두 개의 이점을 가진다. 첫 째, 하부 반도체 층 내측으로의 불순물의 의도되지 않은 주입이 하부 층이 노출되고 보호되지 않는 경우에 증착의초기에 웨이퍼 바이어스 전압을 제거함으로써 방지된다. 두 번째, 바닥 OAL 층상의 바이어스 전압의 부족은 OAL/웨이퍼에서 응력을 최소화하며, 이러한 경계면에 걸친 결합에 기여할 수 있으며 OAL의 제거 후 하부 층 상의 응력의 내력(history of stress)을 방치하는 것을 방지할 수 있다. 도 27은 하부 층(251, 252) 및 OAL(253)의 정면도이다. OAL(253)은 순수하고 응력이 가해지지 않은 하부 층(253a)을 포함하며, 상부 부분은 높이와 함께 증가하는 불순물 농도 및 압축 응력을 가진다.
도 20의 프로세스는 OAL(253) 내의 무반사 코팅을 형성함으로써 광 흡수를 강화시키기 위해서 변경될 수 있다. 이러한 특징은 임의의 전술된 흡수 강화 프로세스 단계 이외에 또는 이와 조합하여 사용될 수 있다. 이러한 변경은 도 28에 도시되어 있으며, CVD 프로세스(210)가 낮은 k (투과성) 값 및 높은 k (불투과성) 값을 교대식으로 OAL 내의 연속 하부 층(successive sub-layer)을 형성하는 블록(217)의 단계를 포함한다. "k"라는 용어는 소광 계수, DSA 광 소오스의 파장(예를 들어, 810 nm)에서 굴절율의 허수 부분을 언급하는 것이다. 도 28에서, 블록(210)의 OAL 증착 단계는 도 1~8의 DSA 광 소오스의 파장에서 높고 낮은 k 값을 교대식으로 OAL의 연속 하부 층을 형성하는 블록(217)의 단계를 포함한다. 이러한 단계는: (a) 챔버에 흡수 강화 첨가 가스 유동(을 작동 및 차단하는) 단계(도 28의 블록 (217a)), (b) 흡수 강화 첨가 가스 종(예를 들어, 붕소 함유 가스)과 투과성 강화 첨가 가스 종(예를 들어, 플루오르-함유 첨가 가스) 사이의 첨가 가스 함량을 교대하는 단계(도 28의 블록 217b)(c) 낮은 k 재료의 형성을 촉진시키는 값 및 높은 k 재료의 형성을 촉진하는 값들 사이의 CVD 프로세스 매개변수를 교대하는 단계(도 28의 블록 217c) 중 임의의 어느 하나의 단계를 포함할 수 있다.
도 29a는 시간 함수로서 반응 챔버 내의 총 프로세스 가스의 (첨가) 흡수-강화 종 전구체 가스 분율 조성을 도시하는 그래프이며, 이는 도 28의 블록(217a)이 단계에 따라서 시간에 따라 계단형 또는 상하 펄스형이다. 이는 불투과성 층(들)의 두께를 규정하는 "온(on)" 시간 주기 및 덜 불투과성 (또는 투과성에 가까운) 층(들)의 두께를 규정하는 "오프(off)" 시간을 이용하여, 첨가 가스 유동률을 펄스화(pulsing)함으로써 수행된다. 펄스(pulse)의 수는 무반사 코팅 내에 불투과성 및 투과성(non-opaque) 층을 교대하는 수를 결정한다. 이러한 광 두께는 DSA 광 소오스의 1/4 파장에 일반적으로 대응할 수 있다. 도 29b는 시간의 함수로서 반응 챔버 내의 총 프로세스 가스의 첨가 가스 분율 조성을 도시하는 그래프이며, 도 28의 블록 단계(217b)의 단계에 따라 투과성 강하 종 전구체(예를 들어, 플루오르 함유 가스)와 흡수 강화 종 전구체(예를 들어, 붕소 함유 가스) 사이에서 대체한다. 흡수 강화 첨가 가스 유동의 온-시간은 OAL의 무반사 섹션의 불투과성 층의 두께를 결정하지만, 투과성 강화 첨가 가스 유동의 온-시간은 OAL의 무반사 섹션 내의 투과성 층의 두께를 결정한다. 도 29c는 시간 함수로서 증착되는 재료의 흡수에 영 향을 미치는 (RF 바이어스 전력과 같은) 선택되는 프로세스 매개변수의 값을 도시하는 그래프이다. 도 29c에서, 프로세스 매개변수 값은 도 28의 블록(217c) 단계에 따라 낮고 높은 값 사이에서 펄스화된다. 이러한 단계는 블록(217a) 또는 블록(217b)중 어느 하나의 단계와 결합할 수 있다. 바이어스 전력의 경우에, 높은 값은 증착되는 재료 내에 보다 압축적인 응력을 생성시키며, 보다 조밀하게 형성하여 흡수 또는 소광 계수 k를 강화시키지만, 낮은 k 값은 보다 작은 k 값을 갖는 하부 층을 형성한다. 증착되는 재료의 광 흡수 특성에 영향을 미치는 경향이 있는 다른 프로세스 매개변수는 이러한 효과를 강화시키기 위해서 유사한 방식으로 펄스화될 수 있다. 이러한 부가적인 프로세스 매개변수는 염기 증착 재료 전구체 가스의 가스(예를 들어, 비정질 탄소 OAL의 경우에 탄소 함유 가스)의 유동률, 소오스 전력, 웨이퍼 온도, 챔버 압력을 포함할 수 있다.
전술된 단계 중 임의의 어느 한 단계에 의해 형성되는 무반사 섹션을 포함하는 OAL은 도 30에 도시되어 있다. 비정질 탄소 층일 수 있는 OAL은 저온 CVD 프로세스에 의해 웨이퍼(251) 및 이의 박막 구조(252) 상에 형성된다. 도 28의 블록(217) 단계는 OAL(253)의 섹션(253a)는 불투과성 및 투과성 층(253a-1, 253a-2, 253a-3, 253a-4)을 교대하는 단계로 구성되도록 CVD 프로세스의 적어도 일부분 중에 실행된다. 교체 층(253a-1) 내지 교체 층(253a-4)이 적합한 두께(예를 들어, DSA 광 소오스의 1/4 파장)로 이루어진다면, 섹션(253)은 OAL 내의 무반사 코팅이다. 이와 달리, OAL(253)의 내부 성분으로서 도 30에 도시된 무반사 섹션(253a)은 대신, OAL(253)의 잔류물의 최상부상에 코팅일 수 있다.
전술된 예들은 광 흡수가 최소화되는 OAL과 관련되지만, 전술된 저온 CVD 프로세스는 광 소오스의 파장에서 낮은 광 흡수를 가지는 비정질 탄소 층 또는 OAL을 형성하도록 이용될 수 있다. 이는 예를 들어, OAL 내에 다른 흡수 강화 불순물을 포함 또는 첨가하는 단계를 자제함으로써 달성될 수 있다. 순수한 비정질 탄소 OAL의 경우에, 저온 CVD 프로세스는 도 3의 GaAs 다이오드 레이저 어레이의 파장(810 nm)에서 상대적으로 투명한 층을 형성한다. 보다 큰 투과성(또는 불투과성이 거의 없는/흡수성)이 바람직하다면, (플루오르와 같은) 투과성 강화 불순물이 포스트 CVD 이온 주입 단계에 의해 또는 CVD 프로세스 내의 적합한 전구체(예를 들어, 플루오르 함유) 가스를 포함함으로써 OAL에 첨가될 수 있다.
도 31은 도 20, 21, 24 또는 28 중 임의의 어느 하나의 블록(230) 단계를 실행하기 위해서 웨이퍼 상에서 DSA 프로세스를 수행하는 (도 1~8의) DSA 광 소오스(260) 및 반도체 웨이퍼(40)를 도시하고 있다. 도 31에 도시된 바와 같이, 웨이퍼(40)가 전술된 저온 CVD 프로세스 내에 증착되는 OAL 층(253)으로 코팅된다. OAL(253)은 CVD 프로세스 중에 또는 포스트 CVD 이온 주입 프로세스 중에 도입되는 흡수 강화 불순물, 무반사 섹션 또느ㅌ 코팅, 및/또는 강화된 밀도와 같은 예를 들어, 비정질 탄소 기본 재료 및 흡수 강화 특징과 같은 전술된 특징들 중 임의의 어느 하나 또는 다수 또는 모두를 가진다. 도 31의 DSA 광 소오스는 레이저 바(132)의 배열, 마이크로-렌즈릿(140)의 배열, 선택적 인터리버(interleaver; 142), 선택적 분극 멀티플렉서(polarization multiplexer; 152), 일련의 렌즈(162, 164, 166), 균일 광 파이프(170), 빠른 축 포커싱 광학(180, 182), 및 고온계(161)를 포 함하며, 모두 도 1~8을 참조하여 서두에 기재된다. 도 31은 광 소오스 빠른 축선(light source fast axis)을 따라 도시된다. 비임은 (빠른 축에 수직 또는 가로 지르는) 광 소오스 느린 축선(light source slow axis)을 따라 웨이퍼(40)에 대해 이동한다.
도 32는 웨이퍼 내의 반도체 접합 (극히 얕은 접합)을 어닐링하기 위한 통합 시스템의 일 실시예를 도시하고 있다. 도 32의 통합된 시스템은 쌍을 이루는 다른 도구들이 통합되는 메카니즘(310) 또는 공통의 웨이퍼 핸들링 로봇을 가지는 단일 플랫폼 상의 "트윈(twin)" 구성 내에서 이루어진다. 특히, 로봇 웨이퍼 핸들러(310)는 한 쌍의 입력/출력(input/output) 웨이퍼 포트(315a, 315b), 도 9를 참조하여 전술된 형태의 한 쌍의 환상형 플라즈마 소오스 저온 CVD 반응 챔버(320a, 320b), 도 1~8을 참조하여 전술된 형태의 완전한 광 소오스를 각각 포함하는 한 쌍의 DSA 챔버(325a, 325b), 및 한 쌍의 강 흡수 층 스트립 챔버(330a, 330b)와 조화된다. 도 33은 도 20~29를 참조하여 전술된 모는 단계 및 프로세스를 수행할 수 있으며 모든 단계 및 프로세스를 수행할 수 있으며 반도체 접합을 어닐링하며 형성하기 위한 통합 시스템의 다른 실시예를 도시하고 있다. 도 33의 통합 시스템은 웨이퍼 입력/출력 포트 또는 팩토리 인터페이스(factory interface; 355. 355')를 갖는 웨이퍼 핸들러(350)를 가진다. 다음의 도구 또는 반응 챔버는 웨이퍼 핸들러(350)에 연결되며, 광 흡수 층의 저온 CVD 형성을 실행하기 위한, 프리 이온 주입 웨이퍼 세정 챔버(pre-ion implant wafer cleaning chamber; 360), 극히 얕은 접합 도펀트 이온 주입 반응기(365), 포스트 이온 주입 저항 스트립 챔버(367), 도 9에 도시된 형태의 환상형 플라즈마 소오스 반응기(370), 도 31의 포스트 DSA 광 소오스(260)를 포함하는 DSA 챔버(380), 반응기(370) 내의 웨이퍼 상에 증착되는 OAL 내측으로 광 흡수 강화 불순물 또는 첨가제를 주입하기 위한 포스트 CVD 이온 주입 반응기(375), 및 포스트 DSA OAL 제거 프로세스를 형성하기 위한 OAL 스트립 챔버(385)를 포함한다. 습식 세정 챔버는 포스트 이온 주입이 스트립 챔버(367) 또는 OAL 스트립 챔버(385)에 저항한 후에 이용될 수 있다.
프리 주입 웨이퍼 세정 반응기(360)가 통상의 세정 반응기일 수 있지만, 도 9에 도시된 형태의 다른 환상형 소오스 플라즈마 반응기일 수 있으며, 여기서 세정 가스(예를 들어, 수소-함유 또는 산소 함유 또는 플루오르 함유 가스 또는 질소 함유 가스, 또는 헬륨, 네온, 아르곤 또는 크세논과 같은 불활성 가스)가 플라즈마가 발생되는 동안 도입된다. 도펀트 이온 주입 반응기(365)가 통상의 이온 비임 주입기일 수 있으며 P3i 반응기일 수 있다. 이러한 P3i 반응기가 본 명세서 서두에 언급된 히로지 하나와(Hiroji Hanawa)에 의한 공개 출원을 참조하여 본 명세서의 서두에 전술된 P3i 접합 형성 프로세스를 실행하기 위한 도 9에 도시된 형태의 환상형 소오스 반응기일 수 있다. 포스트 CVD 이온 주입 반응기(375)가 통상의 이온 비임 주입기일 수 있으며 P3i일 수 있다. 이러한 P3i 반응기는 본 명세서에 언급된 히로지 하나와 등에 의해 공개된 출원을 참조하여 본 명세서 서두에 전술된 P3i 프로세스를 실행하기 위한 도 9에 도시된 형태의 환상형 소오스 반응기일 수 있다. 그러나, 이러한 경우에 주입되는 종은 예를 들어, 붕소 함유 가스와 같은 광 강화 종 전구체 가스이다. OAL 스트립 반응기(385)는 웨이퍼로부터 OAL 재료를 제거하 기 위한 통상의 반응기일 수 있다. OAL이 비정질 탄소인 경우에, 스트립 챔버(385)는 산소 및/또는 질소 가스를 사용할 수 있으며, 제거 프로세스를 진척시키기 위해서 웨이퍼를 가열 및/또는 바이어싱 처리할 수 있다. 그러나, OAL 스트립 반응기(385)가 도 9에 도시된 형태의 환상형 플라즈마 소오스 반응기일 수 있으며, 여기서 산소 및/도는 질소 함유 가스 수소 함유 가스, 또는 플루오르 함유 가스가 도입되며 플라즈마가 플라즈마 소오스 전력을 이용하여 발생된다. 웨이퍼는 가열될 수도 있으며 (가열된 웨이퍼 척으로 가열될 수 있거나 플라즈마 가열될 수도 있음) 그리고/또는 비정질 탄소 층 또는 OAL의 제거를 개선하기 위해서 바이어싱 처리될 수 있다. 예를 들어, 환상형 플라즈마 소오스 스트립 반응기 내에서, 웨이퍼가 250℃에서 가열된 정전 척상에 위치된다. 제 1 단계에서, O2, H2, N2 및 NF3의 가스 혼합물이 환상형 플라즈마 소오스 반응기 내측으로 유동한다. 2 kW의 RF 환상형 소오스 전력이 두 개의 환상형 플라즈마 소오스 각각에 인가된다. 500 V의 RF 바이어스 전압이 정전 척에 인가된다. 제 2 단계에서, 비정질 탄소 층을 부분적으로 제거한 후에, O2, H2, N2의 가스 혼합물이 환상형 플라즈마 소오스 반응기 내측으로 유동한다. 1 kW의 RF 환상형 소오스 전력이 두 개의 환상형 플라즈마 소오스 각각에 인가된다. 50 V의 RF 바이어스 전압이 정전 척에 인가된다. 제 2 단계는 비정질 탄소 층이 제거될 때까지 실행된다. 선택적으로, 탄소 (또는 하부 재료)의 존재 또는 부재에 대응하는 강 방출 라인 종료점 신호가 측정될 수 있으며, 선택적으로 스트립 프로세스를 종료시킬 수 있다. 예를 들어, 여기된 CO의 방출 라인이 플라즈마 내의 탄소 부산물의 존재를 나타내기 위해서 이용될 수 있다. CO 방출 라인 신호가 사라지는 경우에, 탄소 층이 제거된다. OAL 층을 제거하기 위한 전술된 스트립 프로세스는 웨이퍼가 제거된 후 또는 웨이퍼가 챔버 내측으로 도입되기 전 챔버 표면 상에 증착되는 다른 재료 및 탄소를 제거하기 위해서 (탄소 OAL 층을 증착하는데 사용되는 반응기) OAL 증착 반응기 내의 챔버 세정 프로세스로서 사용될 수도 있다. 보다 일반적으로, (특정 광학 또는 전기적 특성이 있든지 아니든지 간에) 임의의 탄소 함유 층을 증착하는데 이용되는 환상형 플라즈마 반응기에 있어서, 전술된 두 개의 단계 탄소 스트립 프로세스가 웨이퍼 도입 전 또는 웨이퍼가 챔버로부터 제거된 후 챔버 세정 프로세스로서 사용될 수 있다. 예를 들어, 이러한 탄소 스트립 프로세스가 전술된 도 19의 블록(6141)의 챔버 세정 단계로서 사용될 수 있다.
프로세스 실시예 :
다음은 광 흡수 층 증착을 위한 탄소 전구체의 부분 목록이다.
Figure 112008015138011-PCT00001
Figure 112008015138011-PCT00002
플루오르탄소와 같은 다른 전구체가 이용될 수 있지만, 탄화수소에 비해 레이저 광 비임의 방사선의 파장에서 보다 열악한 흡수(즉, 복합 굴절률의 허수부 또는 소광 계수)를 가지는 경향이 있다. 따라서, 플루오르탄소는 유용할 수 있으며, 여기서 보다 투과성이 있거나 흡수/불투과성이 덜한 층의 일부분 또는 층을 증착시키는데 바람직하다. 바람직한 플루오르탄소 가스는 C4F6 또는 C3F6이다. 다른 플루오르탄소 가스는 C2F4, C2F6, C3F8, C4F8 및 C5F8을 포함한다. 광 특성을 더 강화하기 위한 불순물 실시예는 B2H6, BF3, B5H9, PH3, PF3, AsH3, AsF5, SiH4, SiF4, GeH4, GeF4이며, 일반적으로 수소화물은 도펀트 플루오르화물보다 양호한 흡수성을 제공한다. 일 실시예에서, 300 mm 실리콘 웨이퍼 상에서, C3H6는 600 sccm의 유동률에서 C-전구체 가스로서 이용되며, B 전구체 가스가 20 sccm의 유동률에서 B2H6로 이용되며, 180 sccm에서 H2가 이용되며, 15 mtorr의 프로세스 챔버 압력에서 희석 가스 Ar이 200 sccm에서 이용된다. 교차되는 환상형 구성 내의 두 개의 재입구 관 각각에 있어서 (약 12~14 ㎒에서) 2 KW의 RF 환상형 소오스 전력이 인가 된다. (1~3㎒의 주파수에서) RF 바이어스 전압이 약 8 KW RF 바이어스 전력을 필요로하며, 몇 초 후 제로부터 최고 7 KV 피크-투-피크(peak-to-peak)까지 경사진다. 정전 웨이퍼 척이 -20에서 +40C 범위로 유지되며, 웨이퍼 온도는 약 80 도℃내지 140℃이다. 1분의 프로세스 시간 동안, 필름 두께가 약 0.25 미크론이며, "k"값은 약 800 nm의 레이저 파장에서 약 0.36이다. 필름 두께가 증착 시간과 일직선이며, 3분 이내에 약 0.75 미크론을 산출한다. B 전구체 B2H6(최대 10~20%)는 H2, He, Ar 또는 N2를 이용하며, 이들의 높은 반응성이 100% 입수가능성을 방해하기 때문에 일반적으로 입수가능하게 희석된다. H2 또는 He 희석이 가장 바람직하지만, Ar 또는 N2 희석이 이용될 수도 있다. 다른 붕소 전구체가 이용될 수도 있다. 붕소 없이 전술된 실시예 조건들은 약 800 nm의 레이저 파장에서 약 0.18의 "k" 값을 갖는 필름을 산출한다. N2는 붕소 대신에 첨가될 수 있으며, N2를 가지고 붕소 없이, 전술된 실시예 조건들은 약 800 nm의 레이저 파장에서 약 0.25의 "k" 값을 갖는 필름을 산출한다. 보다 낮은 "k" 값 필름이 일부 다른 응용예를 위해 바람직하다면, H2가 첨가될 수 있다. 200~400 sccm이 H2에 첨가되고 붕소 또는 N2 없이, 전술된 실시예 조건들은 약 800 nm의 레이저 파장에서 약 0.04의 "k" 값을 갖는 필름을 산출한다. 이와 달리 또는 부가적으로, 플루오르 함유 가스가 낮은 "k" 필름을 산출하기 위해서 첨가될 수 있다.
비정질 탄소 필름이 넓은 범위에 걸쳐서 (복합 굴절률의 허수부 또는 흡수 또는 소광 계수) "k" 값의 제어와 함께 증착될 수 있지만, 공극이 없는 지형도(topography)에 걸쳐 양호한 스텝 커버리지를 제공하며, 레이저 어닐링 또는 통 상의 어닐링에 영향을 받는 경우에, 열적 특성을 개선하고 크래킹 및 필링을 방지하기 위해서 필름의 응력을 제어한다. 척 또는 웨이퍼 온도가 다른 필름 특성 또는 "k" 값을 희생하지 않고 증착률을 증가시키기 위해서 보다 낮아질 수 있다. 수 초 동안 450℃에서의 큐어링(curing)은 "k" 값을 약 0.36으로 증가시킨다. 층은 레이저의 효율적인 흡수를 허용하며, 도핑된 실리콘이 흡수 층의 본래 상태가 유지되는 동안 활성화되게 한다. 웨이퍼 표면은 흡수 층의 고장(failure) 없이 융점을 취할 수 있다. 어닐링 후에, 흡수 층이 제거될 수 있으며, (포토레지스트 스트립/세정 프로세스와 같은) 통상적인 방법으로 세정될 수 있다. 이와 달리, 스트립 프로세스는 산소 또는 산소/질소 혼합물을 이용하여, 전술된 환상형 플라즈마 소오스를 가지는 동일한 또는 다른 플라즈마 챔버 내에서 역 실행될 수도 있다.
증착 프로세스는 (도 24 및 도 28을 참조하여 전술된 바와 같이) 복합 단계일 수 있다. 전술된 단락의 실시예에서, 붕소 전구체가 웨이퍼를 도핑하는 것을 잠재적으로 방지하기 위해서 초기 붕소가 없이 층이 증착된 후에 의도적으로 지연될 수 있다. 예를 들어, 3초의 지연은 약 100-150Å 두께의 붕소가 없는 층을 산출한다. 바이어스 전압은 웨이퍼 표면 내측으로 증착 전구체의 주입을 방지하는데 이용될 수 있다. 이는 개별적으로 또는 함께 이용될 수 있다. 일 실시예에서, 붕소 전구체 도입 및 바이어스 전압-온이 3 초 지연되며, 그 후 붕소 전구체가 첨가되고 그 후 추가 3초가 지연되며 바이어스 전압이 램핑 업(ramp up) 또는 가속(step on)된다. 이는 증착되거나 주입되는 붕소 또는 탄소의 확률을 감소시킨다. 이와 달리, N2가 초기 3초의 지연 후에 (붕소 대신에) 첨가되며, 바이어스 전 압이 추가의 3초 지연 후에 가속된다. 또 다른 실시예에서, N2가 초기 3초의 지연 후에 (붕소 대신에), 바이어스 전압이 추가의 3 초 지연 후에 가속되며, 그리고 나서 60 초 후, 붕소 전구체가 프로세스의 잔여물을 위해(N2를 이용하여 또는 N2 없이) 작용한다. 일부 중요한 파장(예를 들어, 810 nm)에서, 광 흡수제로서 비정질 탄소 피름을 증착하기 위한 저온 환상형 플라즈마 CVD 프로세스에서, (1) 붕소(즉, B2H6) + (2) N2 또는 다른 형태의 질소를 기본 비정질 탄소 전구체 탄화수소 가스(즉, C3H6)에 첨가하는 상승 이점이 있다. 증착되는 탄소 층의 열적 안정성이 450℃, 특히 보다 높은 온도에서 개선된다. 특히, 증착되는 비정질 탄소 층이 레이저 가열되거나, 증착되는 층의 박리(delamination), 또는 필링 등이 없이 실리콘의 융점 보다 높은 온도에서 가열된다. 이러한 특징을 실제로 박리 또는 필링을 방지하는데 통상적으로 필요한 한계 이온 에너지 또는 한계 웨이퍼 전압을 감소시킨다. 수소 가스 내에 붕소 및 질소 첨가제를 결합하는 전술된 특징이 광학적으로 흡수 비정질 탄소 층을 증착하는 경우에 사용될 수 있으며, 광 흡수제가 아닌 탄소 층을 증착하기 위해 사용될 수도 있다. 다른 실시예에서, 300 mm 실리콘 웨이퍼 상에서, Ar이 800 sccm의 유동률 및 30 mtorr의 압력에서 자체적으로 도입되어 교차되는 환상형 구성의 두 개의 재입구 관 각각을 위해 (약 12~14㎒의 주파수에서) 1 KW의 RF 환상형 소오스 전력의 응용예를 이용하여 플라즈마를 시작한다. 플라즈마 초기화 단계 다음에, 드로틀 밸브가 조절되어 챔버 압력을 15 mtorr로 감소시키며, 이는 증착 프로세스의 나머지 동안 유지된다. 그 후 Ar 유동이 200 sccm으로 감소되며, C3H6이 600 sccm의 유동률에서 C-전구체 가스로서 도입되며, 환상형 소오스 전력 레벨은 초기 인터페이스 층을 증착하기 위해서 3 초의 간격에 있어서 관 하나당 2kW로 증가된다.(환상형 소오스 전력 레벨은 증착 프로세스의 나머지 동안 관 하나당 2 kW로 유지된다). 그 후, N2가 333 sccm의 유동률로 도입되며, (1-3 ㎒의 주파수에서) RF 바이어스 전압이 약 8 KW RF 바이어스 전력을 필요로하며, 몇 초 후 제로부터 최고 7 KV 피크-투-피크(peak-to-peak)까지 램핑 업된다. 약 40 초 후에, B2H6이 180 sccm의 유동률에서 수소 희석 가스를 이용하여 20 sccm의 유동률로 도입되며, N2 유동은 (선택적으로) 중단된다. 이러한 단계는 140초 동안 실행된다. 전체 구동 중에, 정전 웨이퍼 척이 -20에서 +40C 범위로 유지되며, 웨이퍼 온도는 약 80 ℃내지 140℃이다. 약 3분의 총 프로세스 시간 동안, 필름 두께가 약 0.75 미크론이며, "k"값은 약 800 nm의 레이저 파장에서 약 0.36이다. 그리고 10 % 미만의 CF4를 이용하여 질소와 산소의 혼합물을 이용하여, 이미 전술된 환상형 스트립 챔버 내에서 또는 통상의 하류 부분 라디칼 스트립 프로세스 챔버 내에서 250℃의 웨이퍼 온도에서 (어닐링을 이용하여 또는 어닐링 없이) 제거가능하다. CF4 또는 대안적인 플루오르 소오스가 초기 최상부 붕소 함유 층이 제거된 후 정지될 수 있으며(플루오르 또는 이와달리 수소가 붕소를 제거하는데 기여), 그 후 통상의 질소 및 산소가 하부 웨이퍼 표면에 최소의 손상 상태로 잔여 필름 두께를 제거하는데 효과적이다.
구리 어닐링
본 발명은 고종횡비 개구 내에서의 구리 전도체 증착에서의 문제점을 해결하는데 사용될 수 있다. 이러한 문제점은 고종횡비 개구 내에서의 열악한 수직 측벽 커버리지를 포함한다.
제 1 문제점은 구리 증착 이전에 TaN/Ta 배리어 층의 증착에서 발생할 수 있으며, 상기 증착 내의 고 종횡비 개구 측벽 내부의 배리어 층 커버리지가 평탄하지 않다. 배리어 층의 금속(Ta) 부분의 최상부 코너 엣지가 이의 플라즈마 증착 중에 스퍼터링되기 쉬우며, 최상부 코너 엣지로부터 재료를 이용하여 측벽의 페이싱 표면(facing surface) 상에 이를 증착시키며, 매우 얇은 커버리지에 개구의 바닥 내의 넥 돌출부(neck protrusion) 아래 증착을 제한할 수 있는 측벽 상에 넥 돌출부를 형성한다. 이러한 제 1 문제점은 도 1 내지 도 8의 동적 표면 어닐(DSA) 레이저 광 소오스를 이용하는 베어리 층의 금속 (Ta) 부분을 어닐링함으로써 해결된다. 이러한 어닐 단계는 고종횡비 개구 측벽 상의 금속 (Ta) 재료를 리플로우시키도록 야기하여, 이에 따라 Ta 재료의 측벽 넥 돌출부와 같은 비 균일성을 적어도 거의 제거하며 넥 돌출부 아래의 박막 Ta 증착을 보충한다. 리플로우 프로세스 중에 표면 인장은 Ta 재료를 측벽 표면에 걸쳐서 더 균일하게 자체 재분배시키는 경향이 있다.
제 1 문제와 유사한 제 2 문제는 고 종횡비 개구 내의 배리어 층에 걸친 구리 시트 층의 증착 중에 발생하며 상기 개구 내에서 고 종횡비 구리 측벽 내부의 구리 시트 층이 평탄하지 않다. 구리 시드 층의 최상부 코너 엣지가 이의 플라즈마 증착 중에 스퍼터링되기 쉬우며 최상부 코너 엣지로부터 구리 재료를 이용하여 측벽의 페이싱 표면 상에 이를 증착하며, 매우 얇은 커버리지에 개구의 바닥 내의 넥 돌출부 아래 구리 증착을 제한할 수 있는 측벽 상에 구리 넥 돌출부를 형성한 다. 이러한 제 2 문제점은 도 1 내지 도 8의 동적 표면 어닐링(DSA) 레이저 광 소오스를 이용하여 구리 시드 층을 어닐링함으로써 해결된다. 이러한 어닐 단계는 고종횡비 개구 측벽 상의 구리 재료를 리플로우시키도록 야기하여, 이에 따라 구리의 측벽 넥 돌출부와 같은 비 균일성을 적어도 제거하며 넥 돌출부 아래의 구리 증착을 보충한다. 리플로우 프로세스 중에 표면 인장은 구리 재료를 측벽 표면에 걸쳐서 더 균일하게 자체 재분배시키는 경향이 있으며 보다 더 균일한 구리 시드 층을 생성시킨다.
제 3 문제는 고 종횡비 개구의 나머지를 충진하는 전기도금된 구리 전도체 층이 이의 부피까지 구리 결정 그레인 크기에서 극히 넓은 변화를 가지도록 하는 경향이 있으며, 이는 5 nm 만큼 작은 그레인 크기에서 200 nm 만큼 큰 그레인 크기를 가질 수 있다. 구리 전도체 층 내의 그레인 크기에서의 이러한 큰 변화는 그레인 경계에서 쌓이는 첨가제 및 공극의 응집을 포함하는 다수의 문제점을 발생시킨다. 이러한 문제점은 구리 전도체를 통해 전류 유동 중에 구리 전자이동(electromigration)을 야기할 수 있으며, 이는 구리 전도체를 브레이크 다운시키는 경향이 있다. 이러한 문제점은 도 9 내지 도 19와 관련하여 전술된 특징을 포함하는 환상형 소오스 CVD 프로세스 및 도 1 내지 도 8의 DSA 레이저 광 소오스를 사용하는 단계와 같은 광학 어닐 단계에 의해 증착되는 비정질 탄소 흡수 층을 이용하여 전기도금된 구리 전도체 층을 어닐링함으로써 해결된다. 구리 전도체 다마신 구조물을 형성하기 위해서 화학 기계식 연마 단계가 비정질 탄소 층 증착의 증착을 착수시킬 수 있거나 그렇지 않을 수 있다. 어닐 프로세스는 그레인 크기 분 포를 예를 들어 약 100nm의 라인 폭을 통해 약 100 nm에서 매우 좁고 중심이 형성되게 한다. 전기도금된 구리 전도체 층에 걸친 이러한 균일한 그레인 크기는 구리 금속 인터커넥트 라인의 단면 내의 전류 밀도를 전자이동 파손 한계 전류 밀도 보다 낮게 유지시킴으로써 구리 전자이동 문제점을 해결한다.
도 34a, 34b, 34c는 본 발명의 여러 양상에 따라서 배리어 층, 구리 시드 층 및 구리 벌크 전도체 층을 형성하기 위한 프로세스의 블록 흐름도를 나타내고 있다. 도 34a 내지 도 34c의 프로세스는 도 35a에 도시된 박막 구조물을 이용하여 시작되며, 도 35a에서 유전체 층(400)은 내부에 (비아와 같은) 박막 구조물을 형성하는 저종횡비 개구(401)를 가지며, 이에 걸쳐서 배리어 층의 유전체(TaN) 부분(402)이 증착된다. 금속(Ta) 증착 단계(도 34a의 블록(404))는 배리어 층의 상부 금속 부분(406)(도 35a에 도시됨)을 형성하기 위해서 실행된다. TaN 하부 부분(402) 및 Ta 상부 부분(406)은 서로 유전체 층(400) 내측으로 구리 이동을 방지할 수 있는 완전한 배리어 층을 형성한다. 블록(404) 단계의 플라즈마 강화 물리 기상 증착 프로세스의 스퍼터링 특징은 Ta 금속 층(406)의 최상부 코너 엣지로부터 재료를 제거하며, (이를 상대적으로 얇게 하며), 넥 돌출부(408)를 형성하기 위해서 개구(401)의 측벽의 페이싱 부분에 재료를 수송한다. 돌출부(408)는 측벽 부분 상의 Ta 증착물을 측벽 부분 미만으로 제거하며, 개구(401)의 바닥 근처의 Ta 커버리지가 상대적으로 얇게 한다. Ta 두께의 고도의 비균일 분포가 도 1 내지 도 8(도 34a의 블록(410))의 DSA 광 소오스와 같은 광학 소오스를 사용하는 어닐 단계에 의해서 균일한 두께로 변형된다. 블록(410)의 어닐 단계는 Ta 층(406)을 탄탈 의 융점으로 가열하여, Ta 층(406) 내의 Ta 재료를 보다 균일한 분포의 두께로 리플로우시킬 수 있어서, 이에 따라 돌출부(408)를 감소 또는 제거시킬 수 있으며, 도 35c에 도시된 바와 같이 층(406)의 바닥 부분의 두께를 증착시킨다.
대안적인 실시예에서, 블록(410)의 광학 어닐 단계는 비정질 탄소 함유 광학 흡수 층을 우선 증착시키는 예비 단계를 포함한다. 이러한 목적을 위해서, 비정질 탄소 흡수 층은 Ta 금속 층(406) 위에 우선 증착된다. 이는 도 9의 환상형 플라즈마 소오스 반응기를 이용하여 도 10의 환상형 소오스 화학 기상 증착 프로세스를 실행함으로써 달성된다. 이러한 단계는 Ta 금속 층(406)에 걸쳐 비정질 탄소 광학 흡수 층을 형성한다. 광학 흡수 층의 광학 및 기계식 특성은 도 11 내지 도 28과 관련하여 전술된 바와 같이 조절될 수 있다.
다음 단계(도 34a의 블록(412))는 구리를 증착시키며, 바람직하게는 플라즈마 강화 물리 기상 증착 프로세스에서, 도 35d에 도시된 구리 시드 층(414)을 형성한다. 플라즈마 강화 PVD 프로세스의 스퍼터링 특징은 구리 시드 층 내에 넥 돌출부(416)를 생성시킨다. 이러한 문제는 구리 시드 층(414)을 구리의 융점(예를 들어, 약 1082 ℃)으로 가열하기 위해서 도 1 내지 도 8의 DSA 레이저 광 소오스와 같은 광학 소오스를 이용하는 어닐 단계(도 34b의 블록(418))를 실행함으로써 해결된다. 시드 층(414)의 구리 재료는 이러한 단계 중에 리플로우되며, 이에 따라 구리 넥 돌출부(416)를 제거하며 도 35e에 도시된 바와 같이 균일한 두께의 구리 시드 층을 형성한다.
대안적인 실시예에서, 블록(418)의 광학 어닐 단계는 비정질 탄소 함유 광학 흡수 층을 우선 증착하는 예비 단계를 포함한다. 이러한 목적을 위해서, 비정질 탄소 층은 구리 시드 층(414) 위에 우선 증착된다. 이는 도 9의 환상형 플라즈마 소오스 반응기를 이용하여 도 10의 환상형 소오스 화학 기상 증착 프로세스를 실행함으로써 달성된다. 이러한 단계는 구리 시드 층(414) 위에 비정질 탄소 광학 흡수 층을 형성한다. 광학 흡수 층의 광학 및 기계식 특성은 도 11 내지 도 28과 관련하여 전술된 바와 가같이 조절될 수 있다.
도 1 내지 도 8의 DSA 레이저 광 소오스를 이용함에 따라, 블록(418)의 단계가 아래와 같이 실행된다. 선형으로 배열된 복수의(예를 들어, 49) 레이저 에미터(도 4)로 각각 구성된 복수의 (예를 들어, 14) 평행한 레이저 바(134)는 도 1의 스캐닝 장치의 느린 축선을 따라서 정렬된다. 바람직하게, 레이저는 예를 들어, GaAs 레이저와 같은 CW 레이저이다. 레이저 바(134)로부터의 광은 각각의 레이저 바(134)(도 34B의 블록(418a)) 상에 놓이는(또는 결합되는) 원통형 렌즈릿(140)에 의해 도 1의 장치의 빠른 스캔 축선을 따라 시준된다. 원통형 렌즈릿(140)으로부터의 빠른 축선 시준된 비임은 빠른 축선(도 34b의 블록(418b))에 따른 어떠한 반사도 없으며, 느린 축선에 따른 복합 반사를 제공하는 도 5의 광 파이프 균질기 (170) 내의 느린 축선을 따라 균질화된다. 균질기(170)로부터의 광선 비임 출력이 느린 축선을 따라 연장하는 얇은 직선 라인으로 포커싱된다(도 34b의 블록(418c)). 이러한 라인 비임은 빠른 축선의 방향으로 웨이퍼에 걸쳐 스캐닝된다(도 34의 블록(418d)).
구리 전도체를 형성하기 위한 프로세스의 다음 단계가 도 35f에 도시되어 있 으며, 비아(401)를 충진하기 위해서 구리 시드 층 위에 구리를 증착시키는 단계로 구성된다(도 34b의 블록(420)). 이러한 단계는 구리를 전기도금시킴으로써 실행될 수 있다. 이러한 결과는 도 35g에 도시되어 있으며, 여기서 전기도금 단계가 시드 층(414) 위의 비아(410)의 일부분을 충진시키는 벌크 구리 층(422)을 형성한다. 도 35g에 부호로 표시된 바와 같이, 구리 층(422)은 5 nm의 가장 작은 그레인에서 200 nm의 가장 큰 그레인으로 연장하는, 광범위한 그레인 크기를 가지는 결정 구리 그레인으로 구성된다. 전술된 바와 같이, 그레인 크기에서의 이러한 광범위한 밀도는 구리 전도체 층(422)에서의 전자이동을 야기한다. 이러한 문제는 비정질 탄소 흡수 층을 이용하는 구리 층(422)을 광학적으로 어닐링함으로써 해결된다. 어닐링 단계로부터의 열은 재료가 융점(1070℃)에 밀접하게 간단히 발생하여, 구리 층(422)의 구조가 균일하게 크기가 형성된 구리 그레인으로 구성되는 구조로 개선시킨다. 이러한 목적을 위해서, 비정질 탄소 흡수 층이 도 35g(도 34c의 블록(424))의 구리 층(422) 위에 우선 증착된다. 이는 도 9의 환상형 플라즈마 소오스 반응기를 이용하는 도 10의 환상형 소오스 화학 기상 프로세스를 실행함으로써 달성된다. 이러한 단계는 도 35h에 도시된 바와 같이, 구리 전도체 층(422) 위에 비정질 탄소 광 흡수 층을 형성한다. 광 흡수 층(426)의 광학 및 기계식 특성은 도 11 내지 도 28과 관련하여 전술된 바와 같이 조절될 수 있다. 광학 어닐링 단게(도 34c의 블록(428))가 수행된다. 이러한 단계는 도 1 내지 도 8의 DSA 레이저 광 소오스를 이용함으로써 전술된 광학 어닐 단계의 블록(418)으로서 동일한 방식으로 실행될 수 있다. 이러한 경우에, 광학 어닐 단계의 블록(428)은 다음 단계에 의해 실행된다.
선형으로 배열된 복수의(예를 들어, 49) 레이저 에미터(도 4)로 각각 구성된 복수의 (예를 들어, 14) 평행한 레이저 바(134)는 도 1의 스캐닝 장치의 느린 축선을 따라서 정렬된다. 바람직하게, 레이저는 예를 들어, GaAs 레이저와 같은 CW 레이저이다. 레이저 바(134)로부터의 광은 각각의 레이저 바(134)(도 34b의 블록(428a)) 상에 놓이는(또는 결합되는) 원통형 렌즈릿(140)에 의해 도 1의 장치의 빠른 스캔 축선을 따라 시준된다. 원통형 렌즈릿(140)으로부터의 빠른 축선 시준된 비임은 빠른 축선(도 34c의 블록(428b))에 따른 어떠한 반사도 없으며, 느린 축선에 따른 복합 반사를 제공하는 도 5의 광 파이프 균질기(170) 내의 느린 축선을 따라 균질화된다. 균질기(170)로부터의 광선 비임 출력이 느린 축선을 따라 연장하는 얇은 직선 라인으로 포커싱된다(도 34c의 블록(428c)). 이러한 라인 비임은 빠른 축선의 방향으로 웨이퍼에 걸쳐 스캐닝된다(도 34c의 블록(428d)).
구리 층(422)의 광학 어닐링 후, 비정질 탄소 강 흡수 층(426)이 스트립되며(도 34c의 블록(430)), 바람직하게 본 명세서에 전술된 광 흡수 층 스트립 프로세스를 사용한다. 그 다음, 웨이퍼가 도 35i에 도시된 평면 구조를 생성시키기 위해서 화학 기계식으로 연마된다(도 34c의 블록(432)).
도 36과 관련하여, 화학 기계식 연마 단계의 블록(432)은 구리 전기도금 단계의 블록(420) 이후 그리고 비정질 탄소 광 흡수 층 증착 단계의 블록(424) 전에 즉시 수행될 수 있다. 이러한 경우에, 화학 기계식 연마 단계의 블록(432)은 비아(401)의 최상부 위로 연장하는 배리어 층 및 구리 층을 가지는, 도 37a의 구조를 도 37b에 도시된 평면 구조로 변형시킨다. 블록(424)의 단계에서 형성되는 비정질 탄소 층은 도 37c에 도시된 바와 같은 평면 표면 상에 놓인다. 광 어닐 단계의 블록(428)은 도 37d에 도시된 바와 같이 구리 재료의 그레인 구조를 변형시킨다. 탄소 층 제거 단계의 블록(430)은 도 37e의 노출된 전도체 구조를 생성시키며, 제거 화학약품이 노출된 구리 표면을 손상시키기 않기 위해서 선택된다.
레이저 라이터블 탄소 마스크(Laser-Writable Carbon Mask):
비정질 탄소 층의 광 특성을 제어하기 위한 특징이 예를 들어, 도 14 내지 도 19와 관련하여 본 명세서에 전술되어 있다. 이러한 특징은 광학 어닐링 단계에서의 광에 포토레지스트의 노출을 제어하거나 웨이퍼의 노출을 제어하기 위해서 광학적 라이터블 비정질 탄소 마스크를 생성시키도록 사용될 수 있다.
도 38a 및 도 38b는 포토레지스트 위에 광학적 라이터블 비정질 탄소 마스크를 사용하기 위한 프로세스 순서를 나타내고 있다. 박막 구조(436)는 원하는 패턴에 따라서 식각될 상부층(436b) 및 하부층(436a)을 가지는 도 39A에 도시되어 있다. 상부층(436b)은 예를 들어, 폴리실리콘, 금속 또는 유전체 재료일 수 있다. 포토레지스트 층(438)은 도 39b에 도시된 바와 같은 상부층(436b) 상에 증착된다(도 38a의 블록(440)). 도 10과 관련하여 전술된 형태의 환상형 플라즈마 화학 기상 증착 프로세스가 원하는 광 특성의 비정질 탄소 층(444)(도 39c)을 증착시키기 위해서 실행된다(도 38b의 블록(442)). 예를 들어, 탄소 층(444)은 투명할 수 있으며, 예를 들어, 증착 프로세스에서 플루오르의 이용을 필요로 한다.
광학 패턴은 예를 들어, 레이저 비임을 이용하여 탄소 층으로 새겨진다(도 38a의 블록(446)). 레이저의 출력(power) 및/또는 파장은 비정질 탄소 층(444)이 도 39c에 도시된 바와 같이, 레이저 비임에 의해 조명되는 영역 내의 불투명체(opaque)를 변화시킴으로써 응답한다. 예를 들어, 파장은 810nm일 수 있으며, 이는 탄소 층(444)에 부가되는 종 및 탄소 층(444)의 화학 기상 증착에 포함되는 종(수소, 플루오르, 붕소, 등)에 따라 달라진다. 일 실시예에서, 레이저 비임은 원하는 포토마스크 패턴에 따라 래스터 스캐닝(raster-scanned)된다. 이는 비정질 탄소 층(444)을 포토마스크로 변형시킨다. 그 다음 도 39C의 박막 구조가 광 파장(예를 들어, U.V.)에 노출되며, 여기서 포토레지스트가 감광성이다(도 38B의 블록(448)). 도 39d에 도시된 바와 같이, 탄소 층(444) 내의 불투명한 영역은 포토레지스트(444)로부터 광을 방해하지만, 탄소 층(444)의 투명한 영역은 포토레지스트에 광을 허용한다. 비정질 탄소 층은 도 39e의 박막 구조를 생성시키기 위해서 제거된다(도 38b의 블록(450)). 포토레지스트 층(438)은 U.V. 광에 노출되지 않는 영역(438a)을 포함한다. 포토레지스트(438)는 디벨로퍼 화학약품(developer chemical) 및 용매로 처리되며(도 38b의 블록(452)), 이는 도 39f에 도시된 바와 같이, 본 발명의 실시예에 음성 감광제의 경우 비노출된 영역(438a)만 남기고 포토레지스트의 노출된 영역을 선택적으로 제거한다. (이와 달리, 양성 감광제는 반대의 결과를 달성하기 위해서 사용될 수 있다.) 도 39f의 구조는 식각 프로세스에 영향을 받으며(도 38b의 블록(454)), 상기 식각 프로세스에서, 포토레지스트 영역(438a)은 식각액(etchant)으로부터 상부층(436b)의 일부분을 가리며, 이는 도 39g의 박막 구조를 야기한다. 레지스트(438a)가 제거되고, 프로세스를 완성한다.
레이저 라이터블 비정질 탄소 마스크가 신속한 열적 프로세싱 어닐과 같은 광 어닐링 프로세스에서 광에 반도체 층 또는 박막의 구조의 다른 영역의 선택적인 노출을 형성하기 위해서 사용될 수 있다. 이는 박막 층 또는 반도체 웨이퍼의 선택된 영역만이 특정 시간에 어닐링되는 선택적인 어닐링을 용이하게 한다. 제 1 단계(도 40의 블록(460))는 도 41a에 도시된 반도체 베이스(464) 또는 하부 층 상에 투명한 비정질 탄소 층(462)을 증착시키기 위해서 도 10의 환상형 플라즈마 소오스 CVD 프로세스를 실행한다. 바람직한 실시예에서, 비정질 탄소 층은 비정질 탄소 층이 투명하게 되는 비율로 탄소 이외의 종(예를 들어, 플루오르, 수소, 등)을 포함한다. 본 명세서에 전술된 바와 같이, 이러한 종은 비정질 탄소 층에 포함될 수 있으며, 이는 이들이 플라즈마 CVD 프로세스를 위해 또는 포스트 이온 주입에 의해 프로세스 가스의 일부분을 구성하기 때문이다. 그 다음, 레이저 비임은 비정질 탄소 층(462)에 걸쳐서 래스터 스캐닝된다(도 40의 블록(466)). 레이저 비임의 출력 및 파장은 비임에 노출되는 비정질 탄소 층의 일부분이 도 41b에 도시된 바와 같이 투명한 재료를 불투명한 재료로 변형시키게 한다. 파장은 810nm일 수 있으며, 예를 들어, 이는 비정질 탄소 층의 성분에 따라 달라진다. 이러한 단계는 비정질 탄소 층을 레이저 비임의 래스터-스캐닝에 의해 성립되는 패턴을 베어링하는 광 마스크로 변형시킨다. 웨이퍼는 광학적으로 어닐링된다(블록 468). 예를 들어, 광학 어닐 프로세스가 신속한 열적 프로세스(RTP)인 경우, 고 강도 램프가 사용된다. 도 41c에 도시된 바와 같이, 광은 탄소 마스크(462)의 투명한 영역 하루의 하부층(464)의 영역만을 가열하며, 나머지 영역은 탄소 마스크의 불투명한 영 역 내의 광의 흡수에 의해 차폐된다. 탄소 마스크는 프로세스를 완성시키기 위해서 제거되며(도 40의 블록(470)), 도 41d에 도시된 바와 같은 한정된 또는 별도의 어닐링되 존을 가지는 선택적으로 어닐링된 층을 남긴다.
트렌치 또는 게이트 식각을 위한 비정질 탄소 하드마스크 :
도 10 내지 도 19의 환상형 플라즈마 CVD 프로세스는 폴리실리콘 또는 금속 전도체 또는 게이트와 같은 피쳐를 형성하기 위해서, 또는 (비아 또는 트렌치를 통해) 고종횡비 개구를 형성하기 위해서 식각 프로세스를 위한 비정질 탄소 하드마스크를 형성하도록 사용될 수 있다. 도 42a 및 도 42b는 환상형 플라즈마 소오스 CVD 내에 형성되는 비정질 탄소 하드마스크를 이용하여 고종횡비 개구를 식각하기 위한 프로세스로 단계를 도시한다. 도 10 내지 도 19와 관련하여 전술된 형태의 제 1 환상형 플라즈마 소오스 CVD 프로세스가 비정질 탄소 층을 증착시키기 위해서 사용된다(도 42a의 블록(474)). 이는 (결정 실리콘과 같은) 베이스 층(476)을 구성하는 도 43a의 박막 구조를 형성한다. 이러한 단계는 맨위 비정질 탄소 필름(480) 및 하부층(478)을 포함하는 도 43a의 박막 구조를 생성시킨다. 무반사 코팅(482)(도 43B)은 비정질 탄소 층(476) 위에 증착된다(도 42a의 블록(484)). 포토레지스트 층(486)(도 43c)은 무반사 코팅(482) 위에 증착된다(도 42a의 블록(488)). 원하는 패턴을 가지는 포토 마스크(490)는 포토레지스트(486) 위에 놓이며, 포토레지스트는 도 43d에 도시된 바와 같이 (예를 들어, U.V. 광에) 노출된다(도 42a의 블록(492)). 포토 마스크(490)가 제거되고 포토레지스트를 조성하여 도 43e에 도시된 바와 같이 노출되는 포토레지스트의 제거를 야기한다(도 42a의 블 록(494)). 무반사 코팅(482)은 식각 마스크로서 레지스트를 이용하여 식각되며, 레지스트가 에싱(ashing)에 의해 제거된다(도 42b의 블록(496)). 이는 레지스트가 앞서 제거된 후에 무반사 코팅(482)이 패턴화되는 도 43f의 박막 구조를 생성시킨다. 비정질 탄소 층은 탄소 층(480)이 도 43g에 도시된 바와 같이 본래 포토 마스크(486)의 패턴을 지니는 도 43G의 박막 구조를 생성시키기 위해서 마스크로서 무반사 코팅(482)을 이용하여 식각된다(도 42b의 블록(498)). 이러한 단계는 무반사 코팅(482)을 제거한다. 결국, 하부층(478)은 도 43h에 도시된 탄소 마스크(480) 내의 개구와 정렬되는 고종횡비 개구를 형성하기 위해서 마스크로서 탄소 층(480)을 이용하여 식각된다(도 42b의 블록(500)).
도 44a 및 도 44b에 도시된 유사한 프로세스가 박막 게이트 산화물 층 상에 증착되는 금속 게이트 전극 또는 폴리실리콘 또는 유전체 층 상에 증착되는 폴리실리콘 전도체 라인과 같은, 하부층 상에 증착되는 피쳐를 포토리소그래픽적으로 형성하도록 인가될 수 있다. 도 45a와 관련하여, 예를 들어 알루미늄 또는 폴리실리콘으로 구성되는 전도체 재료와 같은 맨위 층(505)은 예를 들어 실리콘 이산화물로 구성되는 유전체 재료와 같은 맨위 층(510) 상에 증착된다(도 44a의 블록(515)). 그 다음, 도 10과 관련하여 전술된 형태의 환상형 플라즈마 CVD 프로세스는 도 45b에 도시된 바와 같은 전도체 층(510) 위에 비정질 탄소 층(520)을 증착시키도록 수행된다(도 44a의 블록(525)). 비정질 탄소 층은 바람직하게는, 전도체 상부층(505)을 식각하는 경향이 있는 식각제에 거의 영향을 받지 않는 성분을 가진다. 예를 들어, 비정질 탄소 층(520)은 수소를 포함할 수 있어서 비정질 탄소 재료가 수소일 수 있다. 다른 적합한 첨가 종은 본 명세서에 전술된 바와 같이, 증착 프로세스 중에 또는 즉시 그 후에 비정질 탄소 층(520)에 첨가되거나 포함될 수 있다. 도 45c에 도시된 맨위 포토레지스트 층(535) 및 무반사 코팅(530)은 비정질 탄소 층(520) 위에 증착된다(도 44a의 블록(540, 545)). 도 45d에 도시된 바와 같이, 회로 패턴은 포토마스크 또는 레티클(550)을 이용하여 포토레지스트 상에 인쇄되며, 이는 포토레지스트가 반응하는 광 파장(예를 들어, U.V.)에 노출된다(도 44a의 블록(555)). 레지스트가 조성되어(도 44b의 블록(560)), 도 4e에 도시된 레지스트의 비노출된 부분을 제거한다. 무반사 코팅(530)은 식각 마스크로서 작용하는 포토레지스트 층(535)과 함께 식각되며, 레지스트 층(535)은 도 45f에 도시된 바와 같이 제거된다(도 44b의 블록(565)). 비정질 탄소 층(520)은 식각 마스크로서 작용하는 무반사 코팅과 함께 식각된다(도 44b의 블록(570)). 이러한 단계에 사용되는 식각제는 바람직하게는 비정질 탄소를 위해 선택이며, 무반사 코팅의 재료에 비선택적이다. 이러한 단계는 도 45g에 도시된 바와 같이, 본래 포토레지스트 패턴에 대응하는 비정질 탄소의 패턴을 남기며 무반사 코팅을 제거한다. 이러한 단계는 비정질 탄소 층(520)을 하드마스크로 변형시킨다. 증착되는 전도체 층(505)은 식각 마스크로서 작용하는 비정질 탄소 층과 함께 식각된다(도 44b의 블록(575)). 이러한 마지막 단계는 도 45h에 도시된 바와 같은, 본래 포토레지스트의 패턴을 복제하는 증착되는 전도체 층(505)으로의 패턴을 형성한다.
본 발명은 바람직한 실시예를 참조하여 상세하게 기재하지만, 본 발명은 본 발명의 범위 및 사상을 벗어나지 않고 변형 및 수정될 수 있음을 이해해야 한다.

Claims (18)

  1. 광학적 라이터블 마스크(writable mask)를 이용하여 반도체 기판 상에 박막 구조를 프로세싱하는 방법으로서,
    상기 기판을 반응 챔버 내에 위치시키는 단계로서, 상기 기판은 미리결정된 패턴에 따라 식각될 타겟 층을 그 표면 상에 가지는, 상기 기판을 반응 챔버 내에 위치시키는 단계;
    상기 기판 상에 탄소 함유 하드 마스크 층을 증착시키는 단계로서;
    (a) 탄소 함유 프로세스 가스를 상기 챔버 내측으로 도입시키는 단계,
    (b) 상기 재입구 통로가 플라즈마 RF 소오스 전력을 상기 재입구 통로의 외측 부분에 커플링함으로써 워크피스 위의 프로세스 존을 포함하는, 재입구 통로 내에 재입구 환상형 RF 플라즈마 전류를 발생시키는 단계로서;
    (c) RF 플라즈마 바이어스 전력 또는 바이어스 전압을 상기 워크피스에 커플링하는 단계;에 의해 상기 기판 상에 탄소 함유 하드 마스크 층을 증착시키는 단계;
    상기 탄소 함유 하드 마스크 층 내에 상기 미리결정된 패턴을 포토리소그래픽적으로 형성하는 단계;
    상기 하드 마스크 층의 존재하에 상기 타겟 층을 식각시키는 단계를 포함하는
    박막 구조 프로세싱 방법.
  2. 제 1 항에 있어서,
    상기 타겟 층이 박막 게이트 산화물 층 위에 게이트 전극 전도성 층을 증착시킴으로써 형성되는
    박막 구조 프로세싱 방법.
  3. 제 1 항에 있어서,
    상기 타겟 층이 절연체 층 상에 전도체 층을 증착시킴으로써 형성되는
    박막 구조 프로세싱 방법.
  4. 제 1 항에 있어서,
    상기 탄소 함유 하드 마스크 층을 포토리소그래픽적으로 형성하는 단계는,
    상기 하드 마스크 층 상에 무반사 코팅을 증착시키는 단계;
    상기 무반사 코팅 상에 포토레지스트 층을 증착시키는 단계;
    상기 포토레지스트 층 상에 상기 미리결정된 패턴을 형성하는 포토마스크를 증착시키는 단계;
    상기 포토마스크를 통해 상기 포토레지스트를 노출시키는 단계;
    상기 포토레지스트를 조성하고 상기 미리결정된 패턴에 따라 상기 포토레지스트의 일부분을 제거하는 단계;
    식각 마스크로서 작용하는 상기 무반사 코팅으로 상기 무반사 코팅을 식각시키는 단계;
    상기 포토레지스트를 제거하고 식각 마스크로서 상기 무반사 코팅으로 상기 탄소 함유 층을 식각시키는 단계를 포함하는
    박막 구조 프로세싱 방법.
  5. 제 1 항에 있어서,
    상기 탄소 함유 프로세스를 도입시키는 상기 단계가 상기 워크피스 위 및 상기 재입구 통로의 상기 외측 부분 아래의 가스 분배 판을 통해 상기 프로세스 가스를 도입시키는 단계를 포함하는
    박막 구조 프로세싱 방법.
  6. 제 1 항에 있어서,
    상기 프로세스 가스가 (a) 탄화수소, (b) 플루오르화탄소 중 어느 하나를 포함하는
    박막 구조 프로세싱 방법.
  7. 제 1 항에 있어서,
    (1) 웨이퍼 표면에서 이온 충돌 에너지를 조절하는 단계;
    (2) 상기 워크피스의 온도를 조절하는 단계;
    (3) 가스의 탄화-수소 비율에 따라 상기 프로세스 가스의 상기 탄화-수소 가스 종을 선택하는 단계;
    (4) 상기 프로세스 가스를 수소로 희석시키는 단계;
    (5) 상기 프로세스 가스를 헬륨, 네온, 아르곤 또는 크세논과 같은 불활성 가스로 희석시키는 단계;
    (6) 상기 웨이퍼 표면에서의 에너지화된 이온들의 플럭스를 상기 웨이퍼 표면에서 탄소 함유 라디칼 종의 플럭스에 대해 조절하는 단계;
    (7) 상기 프로세스 가스에 (a) 반-도체성-강화 종, (b) 저항성-강화 종 중 어느 하나의 전구체 첨가 가스를 부가하는 단계;
    (8) 상기 증착되는 탄소 층 내에 (a) 반-도체성 강화 종, (b) 저항성-강화 종 중 어느 하나를 주입시키는 단계;
    중 하나 이상의 단계에 의해 절연성과 반도체성 사이에서 상기 탄소 층의 전도성을 설정하는 단계를 더 포함하는
    박막 구조 프로세싱 방법.
  8. 제 1 항에 있어서,
    (1) 웨이퍼 표면에서 이온 충돌 에너지를 조절하는 단계;
    (2) 상기 워크피스의 온도를 조절하는 단계;
    (3) 가스의 탄화-수소 비율에 따라 상기 프로세스 가스의 상기 탄화-수소 가스 종을 선택하는 단계;
    (4) 상기 프로세스 가스를 수소로 희석시키는 단계;
    (5) 상기 프로세스 가스를 헬륨, 네온, 아르곤 또는 크세논과 같은 불활성 가스로 희석시키는 단계;
    (6) 상기 웨이퍼 표면에서의 에너지화된 이온들의 플럭스를 상기 웨이퍼 표면에서 탄소 함유 라디칼 종의 플럭스에 대해 조절하는 단계;
    (7) 상기 프로세스 가스에 (a) 흡수-강화 종, (b) 투과성-강화 종 중 어느 하나의 전구체 첨가 가스를 부가하는 단계;
    (8) 상기 증착되는 탄소 층 내에 (a) 흡수-강화 종, (b) 투과성-강화 종 중 어느 하나를 주입시키는 단계;
    중 하나 이상의 단계에 의해 상기 탄소 층의 상기 투과성 또는 불투과성을 설정하는 단계를 더 포함하는
    박막 구조 프로세싱 방법.
  9. 제 8 항에 있어서,
    상기 흡수-강화 종은 붕소, 질소, 황 중 어느 하나인
    박막 구조 프로세싱 방법.
  10. 제 8 항에 있어서,
    상기 투과성-강화 종이 플루오르인
    박막 구조 프로세싱 방법.
  11. 제 1 항에 있어서,
    상기 바이어스 전력 또는 바이어스 전압을 충분히 높은 레벨로 설정함으로써 아래의 워크피스에 상기 증착되는 탄소 층의 부착을 강화시키는 단계를 더 포함하는
    박막 구조 프로세싱 방법.
  12. 제 1 항에 있어서,
    상기 바이어스 전력 또는 바이어스 전압을 조절함으로써 상기 증착되는 탄소 층 내의 응력을 압축 응력 및 인장 응력 중 어느 하나로 설정하는 단계를 더 포함하는
    박막 구조 프로세싱 방법.
  13. 제 1 항에 있어서,
    상기 바이어스 전력 또는 바이어스 전압을 증가시킴으로써 상기 증착되는 탄소 층 내의 압축 응력을 증가시키는 단계를 더 포함하는
    박막 구조 프로세싱 방법.
  14. 제 1 항에 있어서,
    상기 RF 플라즈마 소오스 전력의 상기 레벨을 설정함으로써 상기 증착되는 탄소 층의 등각을 제어하는 단계를 더 포함하는
    박막 구조 프로세싱 방법.
  15. 제 1 항에 있어서,
    상기 증착되는 탄소 층의 광 흡수를, 그 증착의 완성 후 상기 탄소 층을 가열함으로써 강화시키는 단계를 더 포함하는
    박막 구조 프로세싱 방법.
  16. 제 1 항에 있어서,
    상기 증착되는 탄소 층의 열적 특성을 강화시키는 층-강화 첨가 가스를 포함하는 단계를 더 포함하는
    박막 구조 프로세싱 방법.
  17. 제 16 항에 있어서,
    상기 층 강화 첨가 가스가 붕소 함유 가스 및 질소 함유 가스의 조합을 포함하는
    박막 구조 프로세싱 방법.
  18. 제 17 항에 있어서,
    상기 프로세스 가스가 탄화수소 가스를 포함하며, 상기 붕소 함유 가스가 B2H6을 포함하며, 상기 질소 함유 가스가 N2를 포함하는
    박막 구조 프로세싱 방법.
KR1020087005075A 2005-08-08 2006-08-07 저온 증착되는 탄소 함유 하드 마스크를 이용하는 반도체기판 프로세스 KR20080034976A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/199,593 2005-08-08
US11/199,593 US7323401B2 (en) 2005-08-08 2005-08-08 Semiconductor substrate process using a low temperature deposited carbon-containing hard mask

Publications (1)

Publication Number Publication Date
KR20080034976A true KR20080034976A (ko) 2008-04-22

Family

ID=37718165

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087005075A KR20080034976A (ko) 2005-08-08 2006-08-07 저온 증착되는 탄소 함유 하드 마스크를 이용하는 반도체기판 프로세스

Country Status (6)

Country Link
US (1) US7323401B2 (ko)
JP (1) JP2009505402A (ko)
KR (1) KR20080034976A (ko)
CN (1) CN101243544B (ko)
TW (1) TWI349954B (ko)
WO (1) WO2007019467A2 (ko)

Families Citing this family (439)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
KR100470274B1 (ko) * 2002-11-08 2005-02-05 진 장 덮개층을 이용한 비정질 물질의 상 변화 방법
US6872909B2 (en) * 2003-04-16 2005-03-29 Applied Science And Technology, Inc. Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7429532B2 (en) * 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
JP4738959B2 (ja) * 2005-09-28 2011-08-03 東芝モバイルディスプレイ株式会社 配線構造体の形成方法
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
KR100954116B1 (ko) * 2006-11-06 2010-04-23 주식회사 하이닉스반도체 반도체 소자의 리세스패턴 형성방법
US7981777B1 (en) 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US7867868B2 (en) * 2007-03-02 2011-01-11 Applied Materials, Inc. Absorber layer candidates and techniques for application
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
KR100924342B1 (ko) * 2007-10-15 2009-10-30 주식회사 하이닉스반도체 포토마스크의 결함 수정 방법
US8551578B2 (en) 2008-02-12 2013-10-08 Applied Materials, Inc. Patterning of magnetic thin film using energized ions and thermal excitation
US8535766B2 (en) 2008-10-22 2013-09-17 Applied Materials, Inc. Patterning of magnetic thin film using energized ions
US8507385B2 (en) * 2008-05-05 2013-08-13 Shanghai Lexvu Opto Microelectronics Technology Co., Ltd. Method for processing a thin film micro device on a substrate
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US7820556B2 (en) * 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
KR101622568B1 (ko) * 2008-10-22 2016-05-19 어플라이드 머티어리얼스, 인코포레이티드 활성화된 이온들을 이용한 자기 박막의 패터닝
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7955990B2 (en) * 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8426763B2 (en) 2009-04-23 2013-04-23 Micron Technology, Inc. Rapid thermal processing systems and methods for treating microelectronic substrates
US8129284B2 (en) * 2009-04-28 2012-03-06 Dainippon Screen Mfg. Co., Ltd. Heat treatment method and heat treatment apparatus for heating substrate by light irradiation
US7842622B1 (en) * 2009-05-15 2010-11-30 Asm Japan K.K. Method of forming highly conformal amorphous carbon layer
US8043891B2 (en) * 2009-06-05 2011-10-25 Shanghai Lexvu Opto Microelectronics Technology Co., Ltd. Method of encapsulating a wafer level microdevice
US8511281B2 (en) * 2009-07-10 2013-08-20 Tula Technology, Inc. Skip fire engine control
US8362596B2 (en) * 2009-07-14 2013-01-29 International Business Machines Corporation Engineered interconnect dielectric caps having compressive stress and interconnect structures containing same
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8338205B2 (en) * 2009-08-31 2012-12-25 Shanghai Lexvu Opto Microelectronics Technology Co., Ltd. Method of fabricating and encapsulating MEMS devices
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
SG181670A1 (en) 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
WO2011084812A2 (en) * 2010-01-06 2011-07-14 Applied Materials, Inc. Flowable dielectric using oxide liner
JP2013516788A (ja) 2010-01-07 2013-05-13 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvd用のインサイチュオゾン硬化
KR101853802B1 (ko) 2010-03-05 2018-05-02 어플라이드 머티어리얼스, 인코포레이티드 라디칼­성분 cvd에 의한 컨포멀 층들
US8492278B2 (en) 2010-03-30 2013-07-23 Micron Technology, Inc. Method of forming a plurality of spaced features
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
US9475709B2 (en) 2010-08-25 2016-10-25 Lockheed Martin Corporation Perforated graphene deionization or desalination
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) * 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US9834809B2 (en) 2014-02-28 2017-12-05 Lockheed Martin Corporation Syringe for obtaining nano-sized materials for selective assays and related methods of use
US10653824B2 (en) 2012-05-25 2020-05-19 Lockheed Martin Corporation Two-dimensional materials and uses thereof
US9744617B2 (en) 2014-01-31 2017-08-29 Lockheed Martin Corporation Methods for perforating multi-layer graphene through ion bombardment
US9610546B2 (en) 2014-03-12 2017-04-04 Lockheed Martin Corporation Separation membranes formed from perforated graphene and methods for use thereof
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
JP6045975B2 (ja) 2012-07-09 2016-12-14 東京エレクトロン株式会社 カーボン膜の成膜方法および成膜装置
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9592475B2 (en) 2013-03-12 2017-03-14 Lockheed Martin Corporation Method for forming perforated graphene with uniform aperture size
WO2014159043A1 (en) 2013-03-13 2014-10-02 Lockheed Martin Corporation Nanoporous membranes and methods for making the same
US9572918B2 (en) 2013-06-21 2017-02-21 Lockheed Martin Corporation Graphene-based filter for isolating a substance from blood
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9171749B2 (en) 2013-11-13 2015-10-27 Globalfoundries U.S.2 Llc Handler wafer removal facilitated by the addition of an amorphous carbon layer on the handler wafer
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP2017510461A (ja) * 2014-01-31 2017-04-13 ロッキード マーティン コーポレイションLockheed Martin Corporation 広幅イオン場を用いる二次元材料の穿孔
AU2015210875A1 (en) 2014-01-31 2016-09-15 Lockheed Martin Corporation Processes for forming composite structures with a two-dimensional material using a porous, non-sacrificial supporting layer
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
WO2015138771A1 (en) 2014-03-12 2015-09-17 Lockheed Martin Corporation Separation membranes formed from perforated graphene
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9613828B2 (en) * 2014-06-24 2017-04-04 Ultratech, Inc. Method of laser annealing a semiconductor wafer with localized control of ambient oxygen
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
EP3188823A4 (en) 2014-09-02 2018-04-25 Lockheed Martin Corporation Hemodialysis and hemofiltration membranes based upon a two-dimensional membrane material and methods employing same
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
WO2017023376A1 (en) 2015-08-05 2017-02-09 Lockheed Martin Corporation Perforatable sheets of graphene-based material
MX2018001559A (es) 2015-08-06 2018-09-27 Lockheed Corp Modificacion de nanoparticula y perforacion de grafeno.
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
KR20180133430A (ko) 2016-04-14 2018-12-14 록히드 마틴 코포레이션 결함 형성 또는 힐링의 인 시츄 모니터링 및 제어를 위한 방법
JP2019521055A (ja) 2016-04-14 2019-07-25 ロッキード・マーチン・コーポレーション グラフェン欠陥の選択的界面緩和
JP2019517909A (ja) 2016-04-14 2019-06-27 ロッキード・マーチン・コーポレーション 流路を有する二次元膜構造体
WO2017180135A1 (en) 2016-04-14 2017-10-19 Lockheed Martin Corporation Membranes with tunable selectivity
WO2017180134A1 (en) 2016-04-14 2017-10-19 Lockheed Martin Corporation Methods for in vivo and in vitro use of graphene and other two-dimensional materials
KR20190019907A (ko) 2016-04-14 2019-02-27 록히드 마틴 코포레이션 자유-플로팅 방법을 사용한 대규모 이송을 위한 그래핀 시트 취급 방법
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
FI128447B (en) 2016-04-26 2020-05-15 Teknologian Tutkimuskeskus Vtt Oy Apparatus associated with analysis of thin film layers and method of making them
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10312137B2 (en) * 2016-06-07 2019-06-04 Applied Materials, Inc. Hardmask layer for 3D NAND staircase structure in semiconductor applications
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10872760B2 (en) * 2016-07-26 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster tool and manufacuturing method of semiconductor structure using the same
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10460941B2 (en) * 2016-11-08 2019-10-29 Varian Semiconductor Equipment Associates, Inc. Plasma doping using a solid dopant source
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10224432B2 (en) * 2017-03-10 2019-03-05 Applied Materials, Inc. Surface treatment process performed on devices for TFT applications
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
WO2018230373A1 (ja) * 2017-06-16 2018-12-20 日本ゼオン株式会社 プラズマ処理装置のシーズニング方法及びプラズマエッチング方法
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10903109B2 (en) 2017-12-29 2021-01-26 Micron Technology, Inc. Methods of forming high aspect ratio openings and methods of forming high aspect ratio features
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
JP7005367B2 (ja) * 2018-02-05 2022-02-04 東京エレクトロン株式会社 ボロン系膜の成膜方法および成膜装置
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
CN111919284A (zh) * 2018-03-01 2020-11-10 应用材料公司 在器件制造中形成金属硬掩模的系统和方法
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
JP7049883B2 (ja) * 2018-03-28 2022-04-07 東京エレクトロン株式会社 ボロン系膜の成膜方法および成膜装置
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7407121B2 (ja) * 2018-04-09 2023-12-28 アプライド マテリアルズ インコーポレイテッド パターニング用途のためのカーボンハードマスク及び関連方法
WO2019212799A1 (en) 2018-05-03 2019-11-07 Applied Materials, Inc. Rf grounding configuration for pedestals
US11603591B2 (en) * 2018-05-03 2023-03-14 Applied Materials Inc. Pulsed plasma (DC/RF) deposition of high quality C films for patterning
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
TWI764002B (zh) * 2018-06-15 2022-05-11 美商應用材料股份有限公司 形成非晶碳膜及蝕刻基板之方法
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
EP3588533A1 (en) * 2018-06-21 2020-01-01 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Plasma source and method of operating the same
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11049728B2 (en) * 2018-10-31 2021-06-29 Entegris, Inc. Boron-doped amorphous carbon hard mask and related methods
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US10403492B1 (en) * 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
CN113710829A (zh) * 2019-03-25 2021-11-26 朗姆研究公司 高蚀刻选择性的低应力可灰化碳硬掩模
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
CN113891954A (zh) 2019-05-29 2022-01-04 朗姆研究公司 通过高功率脉冲低频率rf产生的高选择性、低应力、且低氢的类金刚石碳硬掩模
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US20210159048A1 (en) * 2019-11-25 2021-05-27 Applied Materials, Inc. Dual rf for controllable film deposition
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
CN111592838B (zh) * 2020-05-28 2022-03-11 马鞍山东毅新材料科技有限公司 一种基于负性光刻胶的光学胶带及其生产工艺
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (131)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2344138A (en) 1940-05-20 1944-03-14 Chemical Developments Corp Coating method
US3109100A (en) 1960-05-19 1963-10-29 Automatic Canteen Co Photosensitive currency testing device
US3576685A (en) 1968-03-15 1971-04-27 Itt Doping semiconductors with elemental dopant impurity
US3907616A (en) 1972-11-15 1975-09-23 Texas Instruments Inc Method of forming doped dielectric layers utilizing reactive plasma deposition
CH611938A5 (ko) 1976-05-19 1979-06-29 Battelle Memorial Institute
DE3118785A1 (de) 1981-05-12 1982-12-02 Siemens AG, 1000 Berlin und 8000 München Verfahren und vorrichtung zum dotieren von halbleitermaterial
US4465529A (en) 1981-06-05 1984-08-14 Mitsubishi Denki Kabushiki Kaisha Method of producing semiconductor device
US4385946A (en) 1981-06-19 1983-05-31 Bell Telephone Laboratories, Incorporated Rapid alteration of ion implant dopant species to create regions of opposite conductivity
US4382099A (en) 1981-10-26 1983-05-03 Motorola, Inc. Dopant predeposition from high pressure plasma source
JPH0635323B2 (ja) 1982-06-25 1994-05-11 株式会社日立製作所 表面処理方法
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4521441A (en) 1983-12-19 1985-06-04 Motorola, Inc. Plasma enhanced diffusion process
JPS60153119A (ja) 1984-01-20 1985-08-12 Fuji Electric Corp Res & Dev Ltd 不純物拡散方法
US4539217A (en) 1984-06-27 1985-09-03 Eaton Corporation Dose control method
US4584026A (en) 1984-07-25 1986-04-22 Rca Corporation Ion-implantation of phosphorus, arsenic or boron by pre-amorphizing with fluorine ions
US4698104A (en) 1984-12-06 1987-10-06 Xerox Corporation Controlled isotropic doping of semiconductor materials
JPH0763056B2 (ja) 1986-08-06 1995-07-05 三菱電機株式会社 薄膜形成装置
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4764394A (en) 1987-01-20 1988-08-16 Wisconsin Alumni Research Foundation Method and apparatus for plasma source ion implantation
US4912065A (en) 1987-05-28 1990-03-27 Matsushita Electric Industrial Co., Ltd. Plasma doping method
KR930003857B1 (ko) 1987-08-05 1993-05-14 마쯔시다덴기산교 가부시기가이샤 플라즈마 도우핑방법
US4778561A (en) 1987-10-30 1988-10-18 Veeco Instruments, Inc. Electron cyclotron resonance plasma source
US5643838A (en) 1988-03-31 1997-07-01 Lucent Technologies Inc. Low temperature deposition of silicon oxides for device fabrication
US4871421A (en) 1988-09-15 1989-10-03 Lam Research Corporation Split-phase driver for plasma etch system
US5061838A (en) 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5106827A (en) 1989-09-18 1992-04-21 The Perkin Elmer Corporation Plasma assisted oxidation of perovskites for forming high temperature superconductors using inductively coupled discharges
US5312778A (en) 1989-10-03 1994-05-17 Applied Materials, Inc. Method for plasma processing using magnetically enhanced plasma chemical vapor deposition
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5040046A (en) 1990-10-09 1991-08-13 Micron Technology, Inc. Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby
US5107201A (en) 1990-12-11 1992-04-21 Ogle John S High voltage oscilloscope probe with wide frequency response
US5288650A (en) 1991-01-25 1994-02-22 Ibis Technology Corporation Prenucleation process for simox device fabrication
JPH04280425A (ja) 1991-03-07 1992-10-06 Sony Corp 配線形成方法
JP3119693B2 (ja) 1991-10-08 2000-12-25 エム・セテック株式会社 半導体基板の製造方法及びその装置
US5290382A (en) 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
US5423945A (en) 1992-09-08 1995-06-13 Applied Materials, Inc. Selectivity for etching an oxide over a nitride
US5505780A (en) 1992-03-18 1996-04-09 International Business Machines Corporation High-density plasma-processing tool with toroidal magnetic field
US5277751A (en) 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
WO1994006263A1 (en) 1992-09-01 1994-03-17 The University Of North Carolina At Chapel Hill High pressure magnetically assisted inductively coupled plasma
US5510011A (en) 1992-11-09 1996-04-23 Canon Kabushiki Kaisha Method for forming a functional deposited film by bias sputtering process at a relatively low substrate temperature
US5542559A (en) 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
JP3430552B2 (ja) 1993-05-07 2003-07-28 ソニー株式会社 ダイヤモンド半導体の製造方法
US5572038A (en) 1993-05-07 1996-11-05 Varian Associates, Inc. Charge monitor for high potential pulse current dose measurement apparatus and method
US5354381A (en) 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
IT1263372B (it) 1993-05-26 1996-08-05 Deregibus A & A Spa Macchina perfezionata per la produzione di tubi in gomma vulcanizzata.
EP0634778A1 (en) 1993-07-12 1995-01-18 The Boc Group, Inc. Hollow cathode array
JP3382031B2 (ja) 1993-11-16 2003-03-04 株式会社東芝 半導体装置の製造方法
JP2919254B2 (ja) 1993-11-22 1999-07-12 日本電気株式会社 半導体装置の製造方法および形成装置
US5520209A (en) 1993-12-03 1996-05-28 The Dow Chemical Company Fluid relief device
US5435881A (en) 1994-03-17 1995-07-25 Ogle; John S. Apparatus for producing planar plasma using varying magnetic poles
DE19581590T1 (de) 1994-03-25 1997-04-17 Amoco Enron Solar Erhöhung eines Stabilitätsverhaltens von Vorrichtungen auf der Grundlage von amorphem Silizium, die durch Plasmaablagerung unter hochgradiger Wasserstoffverdünnung bei niedrigerer Temperatur hergestellt werden
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5587038A (en) 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
US5569363A (en) 1994-10-25 1996-10-29 Sony Corporation Inductively coupled plasma sputter chamber with conductive material sputtering capabilities
US5674321A (en) 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
US5888413A (en) 1995-06-06 1999-03-30 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus
US5711812A (en) 1995-06-06 1998-01-27 Varian Associates, Inc. Apparatus for obtaining dose uniformity in plasma doping (PLAD) ion implantation processes
US5683517A (en) 1995-06-07 1997-11-04 Applied Materials, Inc. Plasma reactor with programmable reactant gas distribution
US5702530A (en) 1995-06-23 1997-12-30 Applied Materials, Inc. Distributed microwave plasma reactor for semiconductor processing
US5653811A (en) 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
US5660895A (en) 1996-04-24 1997-08-26 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Low-temperature plasma-enhanced chemical vapor deposition of silicon oxide films and fluorinated silicon oxide films using disilane as a silicon precursor
US6000360A (en) 1996-07-03 1999-12-14 Tokyo Electron Limited Plasma processing apparatus
US5911832A (en) 1996-10-10 1999-06-15 Eaton Corporation Plasma immersion implantation with pulsed anode
US5654043A (en) 1996-10-10 1997-08-05 Eaton Corporation Pulsed plate plasma implantation system and method
US5770982A (en) 1996-10-29 1998-06-23 Sematech, Inc. Self isolating high frequency saturable reactor
SE510984C2 (sv) 1996-10-31 1999-07-19 Assa Ab Cylinderlås
JP4013271B2 (ja) 1997-01-16 2007-11-28 日新電機株式会社 物品表面処理方法及び装置
US6139697A (en) 1997-01-31 2000-10-31 Applied Materials, Inc. Low temperature integrated via and trench fill process and apparatus
JPH10270428A (ja) 1997-03-27 1998-10-09 Mitsubishi Electric Corp プラズマ処理装置
US6174450B1 (en) 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US6582999B2 (en) * 1997-05-12 2003-06-24 Silicon Genesis Corporation Controlled cleavage process using pressurized fluid
US6013563A (en) 1997-05-12 2000-01-11 Silicon Genesis Corporation Controlled cleaning process
US6291313B1 (en) 1997-05-12 2001-09-18 Silicon Genesis Corporation Method and device for controlled cleaving process
US5897752A (en) 1997-05-20 1999-04-27 Applied Materials, Inc. Wafer bias ring in a sustained self-sputtering reactor
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6103599A (en) 1997-07-25 2000-08-15 Silicon Genesis Corporation Planarizing technique for multilayered substrates
GB2343550A (en) 1997-07-29 2000-05-10 Silicon Genesis Corp Cluster tool method and apparatus using plasma immersion ion implantation
US5935077A (en) 1997-08-14 1999-08-10 Ogle; John Seldon Noninvasive blood flow sensor using magnetic field parallel to skin
US6041735A (en) 1998-03-02 2000-03-28 Ball Semiconductor, Inc. Inductively coupled plasma powder vaporization for fabricating integrated circuits
US5994236A (en) 1998-01-23 1999-11-30 Ogle; John Seldon Plasma source with process nonuniformity improved using ferromagnetic cores
US6265328B1 (en) 1998-01-30 2001-07-24 Silicon Genesis Corporation Wafer edge engineering method and device
US6274459B1 (en) * 1998-02-17 2001-08-14 Silicon Genesis Corporation Method for non mass selected ion implant profile control
US6132552A (en) 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
US5944942A (en) 1998-03-04 1999-08-31 Ogle; John Seldon Varying multipole plasma source
US6395150B1 (en) * 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US5998933A (en) 1998-04-06 1999-12-07 Shun'ko; Evgeny V. RF plasma inductor with closed ferrite core
US6101971A (en) 1998-05-13 2000-08-15 Axcelis Technologies, Inc. Ion implantation control using charge collection, optical emission spectroscopy and mass analysis
US6164241A (en) 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
JP3497092B2 (ja) * 1998-07-23 2004-02-16 名古屋大学長 プラズマ密度情報測定方法、および測定に用いられるプローブ、並びにプラズマ密度情報測定装置
US6020592A (en) 1998-08-03 2000-02-01 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
JP3906579B2 (ja) 1998-08-26 2007-04-18 三菱電機株式会社 イオン源装置
US6050218A (en) 1998-09-28 2000-04-18 Eaton Corporation Dosimetry cup charge collection in plasma immersion ion implantation
US6174743B1 (en) 1998-12-08 2001-01-16 Advanced Micro Devices, Inc. Method of reducing incidence of stress-induced voiding in semiconductor interconnect lines
US6096661A (en) 1998-12-15 2000-08-01 Advanced Micro Devices, Inc. Method for depositing silicon dioxide using low temperatures
US6579805B1 (en) * 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US6103624A (en) 1999-04-15 2000-08-15 Advanced Micro Devices, Inc. Method of improving Cu damascene interconnect reliability by laser anneal before barrier polish
US6239553B1 (en) 1999-04-22 2001-05-29 Applied Materials, Inc. RF plasma source for material processing
US6392351B1 (en) * 1999-05-03 2002-05-21 Evgeny V. Shun'ko Inductive RF plasma source with external discharge bridge
US6248642B1 (en) 1999-06-24 2001-06-19 Ibis Technology Corporation SIMOX using controlled water vapor for oxygen implants
US6237527B1 (en) 1999-08-06 2001-05-29 Axcelis Technologies, Inc. System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate
US6433553B1 (en) * 1999-10-27 2002-08-13 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for eliminating displacement current from current measurements in a plasma processing system
US6335536B1 (en) * 1999-10-27 2002-01-01 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for low voltage plasma doping using dual pulses
US6182604B1 (en) 1999-10-27 2001-02-06 Varian Semiconductor Equipment Associates, Inc. Hollow cathode for plasma doping system
US6341574B1 (en) * 1999-11-15 2002-01-29 Lam Research Corporation Plasma processing systems
US6426015B1 (en) * 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6350697B1 (en) * 1999-12-22 2002-02-26 Lam Research Corporation Method of cleaning and conditioning plasma reaction chamber
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6417078B1 (en) * 2000-05-03 2002-07-09 Ibis Technology Corporation Implantation process using sub-stoichiometric, oxygen doses at different energies
US6679981B1 (en) * 2000-05-11 2004-01-20 Applied Materials, Inc. Inductive plasma loop enhancing magnetron sputtering
US6418874B1 (en) * 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
KR100366623B1 (ko) * 2000-07-18 2003-01-09 삼성전자 주식회사 반도체 기판 또는 lcd 기판의 세정방법
US6403453B1 (en) * 2000-07-27 2002-06-11 Sharp Laboratories Of America, Inc. Dose control technique for plasma doping in ultra-shallow junction formations
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US6348126B1 (en) * 2000-08-11 2002-02-19 Applied Materials, Inc. Externally excited torroidal plasma source
US6551446B1 (en) * 2000-08-11 2003-04-22 Applied Materials Inc. Externally excited torroidal plasma source with a gas distribution plate
US6410449B1 (en) * 2000-08-11 2002-06-25 Applied Materials, Inc. Method of processing a workpiece using an externally excited torroidal plasma source
US6593173B1 (en) * 2000-11-28 2003-07-15 Ibis Technology Corporation Low defect density, thin-layer, SOI substrates
US6413321B1 (en) * 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
US6755150B2 (en) * 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
US20030013314A1 (en) * 2001-07-06 2003-01-16 Chentsau Ying Method of reducing particulates in a plasma etch chamber during a metal etch process
US6632728B2 (en) * 2001-07-16 2003-10-14 Agere Systems Inc. Increasing the electrical activation of ion-implanted dopants
DE10231533A1 (de) * 2002-07-11 2004-01-29 Infineon Technologies Ag Verfahren zur Metallstrukturierung
US6838695B2 (en) * 2002-11-25 2005-01-04 International Business Machines Corporation CMOS device structure with improved PFET gate electrode
US6835657B2 (en) * 2002-12-02 2004-12-28 Applied Materials, Inc. Method for recrystallizing metal in features of a semiconductor chip
EP1658635A1 (en) * 2003-08-29 2006-05-24 Advanced Micro Devices, Inc. A method of forming a TEOS cap layer at low temperature and reduced deposition rate
US7109087B2 (en) * 2003-10-03 2006-09-19 Applied Materials, Inc. Absorber layer for DSA processing
US7115993B2 (en) * 2004-01-30 2006-10-03 Tokyo Electron Limited Structure comprising amorphous carbon film and method of forming thereof
US7064078B2 (en) * 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US7097779B2 (en) * 2004-07-06 2006-08-29 Tokyo Electron Limited Processing system and method for chemically treating a TERA layer
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US8058156B2 (en) * 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids

Also Published As

Publication number Publication date
JP2009505402A (ja) 2009-02-05
TWI349954B (en) 2011-10-01
WO2007019467A2 (en) 2007-02-15
CN101243544A (zh) 2008-08-13
TW200717616A (en) 2007-05-01
US7323401B2 (en) 2008-01-29
US20070032054A1 (en) 2007-02-08
WO2007019467A3 (en) 2007-05-10
CN101243544B (zh) 2012-06-27
WO2007019467A8 (en) 2007-03-29

Similar Documents

Publication Publication Date Title
KR20080034976A (ko) 저온 증착되는 탄소 함유 하드 마스크를 이용하는 반도체기판 프로세스
US7312148B2 (en) Copper barrier reflow process employing high speed optical annealing
US7335611B2 (en) Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US7429532B2 (en) Semiconductor substrate process using an optically writable carbon-containing mask
US7312162B2 (en) Low temperature plasma deposition process for carbon layer deposition
US7109098B1 (en) Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7422775B2 (en) Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US20060260545A1 (en) Low temperature absorption layer deposition and high speed optical annealing system
JP5351450B2 (ja) 動的表面アニール処理のための吸収層
US20070243721A1 (en) Absorber layer for dsa processing
US7588990B2 (en) Dynamic surface annealing of implanted dopants with low temperature HDPCVD process for depositing a high extinction coefficient optical absorber layer

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid