CN101243544A - 使用低温沉积含碳硬掩膜的半导体基材制程 - Google Patents

使用低温沉积含碳硬掩膜的半导体基材制程 Download PDF

Info

Publication number
CN101243544A
CN101243544A CNA2006800292997A CN200680029299A CN101243544A CN 101243544 A CN101243544 A CN 101243544A CN A2006800292997 A CNA2006800292997 A CN A2006800292997A CN 200680029299 A CN200680029299 A CN 200680029299A CN 101243544 A CN101243544 A CN 101243544A
Authority
CN
China
Prior art keywords
carbon
gas
layer
wafer
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006800292997A
Other languages
English (en)
Other versions
CN101243544B (zh
Inventor
K·拉马斯瓦米
H·哈那瓦
B·加罗
K·S·考林斯
K·玛
V·帕里哈
D·詹宁斯
A·J·马耀
A·奥-巴亚缇
A·恩盖耶
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101243544A publication Critical patent/CN101243544A/zh
Application granted granted Critical
Publication of CN101243544B publication Critical patent/CN101243544B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/151Matting or other surface reflectivity altering material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明提供一种利用光学可写式掩膜处理一半导体基材上的薄膜结构的方法,其包括将该基材置放于一反应器处理室中,该基材于其表面上具有一欲依据预定图案作蚀刻的目标层;藉由下列方式于该基材上沉积含碳硬掩膜层:(a)将含碳制程气体引入处理室中;(b)藉由将等离子射频电源耦合至一再进入路径的外部的方式于该再进入路径中形成再进入环形射频等离子电流,其中该再进入路径包括一位于该工作件上方的制程区;以及(c)将射频等离子偏压电源或偏压电压耦合至该工作件。该方法更包括于该含碳硬掩膜层中光微影地定义该预定图案,并在该硬掩膜层的存在下蚀刻该目标层。

Description

使用低温沉积含碳硬掩膜的半导体基材制程
技术领域
本发明是关于使用低温沉积含碳硬掩膜的半导体基材制程。
背景技术
形成在晶态半导体晶片上的高速积体电路具有许多超浅半导体接面,其是藉由将掺杂物离子植入源极及漏极区域的方式形成。植入的掺杂物并由高温退火步骤活化,使大量的植入原子于晶态半导体晶格中具可替换性。前述后离子植入退火步骤是使用高效能的灯具作快速热处理(RapidThermal Process,RTP)来完成,以将整个晶片体积加热至非常高温一段短暂的时间(例如,上升速率每秒约摄氏100-200度,且最初下降率每秒摄氏50-100度)。加热时间必须够短,以避免掺杂物于半导体晶片的掺杂位置的热引生扩散劣化经掺杂的接面。此快速热处理方法对于先前后离子植入退火技术(需于炉中长时间加热晶片)而言是一种相当显著的进步。使用灯具的快速热处理相当有效的原因在于,热源(即灯炉)的反应时间比起退火步骤中的灯炉(具相当慢的加热器反应时间)为短。故快速热处理方法的高温、短加热时间便有利于活化植入掺杂物,同时最小化热引生的扩散。
另有一种改良的退火方式,是藉由利用高效能闪光灯的闪光灯(flashlamp)退火处理,以将整个晶片的表面(仅有表面部分)加热至非常高温一段短暂的时间,例如几毫秒。加热时间必须够短,以避免掺杂物于半导体晶片的掺杂位置的热引生扩散劣化经掺杂的接面。此闪光方法对于快速热处理步骤而言是一种相当显著的进步,因晶片的主体可作为一个散热片(heatsink)并快速冷却热的晶片表面。使用闪光灯的高速退火较具效力的原因在于,可将加热局限在晶片表面;反之快速热处理退火步骤会让晶片整个体积加热至几乎与退火温度相同。短时间处于闪灯方法的高温下可将热引生扩散的影响降至最低。然而,一般却难以将整个晶片均匀加热。晶片内若有越大的热度不均匀,会造成明显的机械应力并导致晶片破损,且将使用闪光灯的退火的最高操作温度限制在摄氏约1150度。闪光灯退火期间的表面温度可由闪光灯的强度及闪动时间来决定,然其却难以重复地对一晶片与下一晶片进行控制。
快速热处理的问题之一在于,当元件尺寸缩减至65纳米(nm)或以下时,快速热处理或闪光加热虽仅有些微的热扩散,然而尽管快速热处理或闪光加热的时间很短暂,但对元件尺寸而言却是相当明显。另一问题在于所植入的掺杂物的活化程度会受限于快速热处理或闪光处理的最大温度。于快速热处理制程中将整个晶片体积加热至最大温度以上(亦即,摄氏1100度)会在晶片中形成机械应力,在绝大多数情况下会致使晶格缺陷及晶片破损。将晶片温度限制在一最大程度(例如,摄氏1100度)虽可避免前述破损,但也不幸的限制了已活化(亦即,于半导体晶态晶格中呈可替换状态)的植入(掺杂)原子的比例。抑制掺杂物的活化程度会限制薄层导电率,并阻碍元件速度。此问题在元件尺寸缩减至65纳米以下时(例如,低至45纳米)会更为明显。
为了使掺杂物活化高于快速热处理或闪光退火所能达到的程度,现已引入激光退火取代快速热处理。现已使用的一种激光为二氧化碳激光(CO2laser),其具有10.6微米的发散波长。此种激光可产生窄的柱形束(cylindrical beam),其必须光栅扫瞄(raster-scanned)在整个晶片表面上。为了减少10.6微米时的表面反射,激光束是固定在相对于晶片表面呈锐角处。由于二氧化碳激光波长所对应的光能低于硅的能隙,硅必须预热以让自由载子填满导电带,以便藉由自由载子的吸收来吸收10.6微米的光子。然而主要的问题在于10.6微米激光波长的吸收取决于图案(pattern-dependent),因为其会受到掺杂物的影响(除了其他因素,其可判定局部自由载子密度),而使得晶片表面无法均匀受热。同样的,晶片上的导体或金属特征在10.6微米的激光波长时会有高度反射,使得此制程可能无法用于有导电性薄膜特征存在的情况中。
后植入退火步骤是以短波长脉冲激光进行(短波长所对应的光能量大于硅的能隙)。虽然表面加热相当快且浅,但此种脉冲激光会使半导体晶体触及熔点,因此加热必须限制在极浅的深度,因而降低此方法的适用性。一般而言,受热区域的深度并不会延伸到超浅接面的深度以下(约200埃)。
前述问题已利用二极体激光阵列克服,其多重的平行激光束可沿着窄线(例如,约300微米宽)聚焦,其中窄线具有晶片直径或半径等级的长度。二极体激光波长约为810纳米。此波长所对应的光能超过半导体晶体(硅)的能隙,使得激光能量激发价带与导电带间的电子跃迁,进而将所吸收的能量释放至晶格而提升晶格温度。窄的激光束线可横向扫过整个晶片表面(例如,以约300mm/秒的速率),以使晶片表面上各点暴露非常短的时间(例如,约1毫秒)。此种退火方式已描述在Dean C.Jennings等人美国专利公开号第US2003/0196996A1(2003年10月23日)中。以宽的细激光线扫瞄该晶片会比以笔状的单一激光束点扫瞄来得快,以使产量较高,接近快速热处理的产量。不过,与快速热处理不同的是,仅有小部分的晶片会被加热,故应力会释放到其余的(即主要的)晶片部分,而让峰值温度增加到最大的快速热处理温度以上(例如,约摄氏1250-1300度)。在激光扫瞄退火期间整个晶片体积也可预热,以改善退火特性。最大的预热温度可以由技术节点(technology nodes)、制程规范、与半导体材料的相容性等予以界定。因此,掺杂物的活性较高,使得片电阻率较低,且元件速度较高。晶片表面各区域会达摄氏约1250-1300度的温度范围约50微秒(microsec)。此区域的深度约10-20微米,延伸到约200埃的超浅半导体接面以下。
晶片表面必须加热到最低温度(例如,摄氏1250度)以上,以达植入(掺杂)原子所欲的活化程度。所提升的温度也需退火其他晶格损伤及任何先前植入或热步骤所致的缺陷,以改善接面的电性(例如其导电性及电泄漏)。晶片表面必须保持在最大温度以下(例如,摄氏1350度),以避免达半导体晶体的熔点(例如,晶体或多晶硅)。为将整个晶片表面均匀加热在所欲温度范围内,晶片表面的光吸收必须均匀地遍布晶片,且晶片表面经照射部分的表面温度必须正确地监控,同时使激光束线扫过晶片(以确保精确控制温度)。此可藉由测量晶片表面被加热部分的光发散的方式(通常以与激光光源不同的波长为的),且测量必须均匀一致正确。如此说明书中所使用,名词「光」意指由光源(例如激光)所发散光的任何波长或可以红外线或可看见或可应用紫外线或由加热晶片表面所发散的电磁波辐射。
然问题在于,形成在晶片表面上的下方薄膜结构会呈现不同的光吸收特性,以及晶片表面上不同位置的不同光发射率。故若可在整个晶片表面达到均匀的退火温度,且晶片表面有均匀正确的温度测量将会使其更为困难。然解决此问题可藉由在整个晶片表面上沉积均匀的光吸收层,以均匀吸收激光发散并接着将热导至下方半导体晶片的方式为之。此种薄膜必须能抵抗激光退火步骤期间的热应力而不会损伤或分隔(separation),且必须可在进行与下层有关的激光退火步骤后选择性地移除,且必须不会污染或损伤下方半导体晶片或薄膜特征。此外,吸收薄膜必须在下方薄膜特征上有优异的阶梯覆盖率(高度保形性)。此种薄膜的一个优点在于薄膜中的横向热传导可遮蔽光束中的不均匀。先前曾试图采用此种方式,但遭遇许多问题而舍弃。吸收层的种类的一是由可交替金属及可形成抗反射涂层的介电层组成。但此类型吸收材料中的不同层倾向在激光束的密集热度下一起熔化,且难以免去后续的激光退火步骤或污染具金属的下方层。
用于本发明的较佳方式是应用一可藉等离子增强型化学气相沉积法(Plasma Enhanced Chemical Vapor Deposition,PECVD)沉积的吸收层。如Luc Van Autryve等人于2003年10月3日申请的美国专利申请序号第10/679,189号(受让予本案受让人),标题为「Absorber Layer for DSAProcessing」中所示,PECVD沉积的吸收层可为非晶形碳。非晶形碳的优点的一在于,其可于低于摄氏400度的晶片温度下快速且具选择性地(相对于其他材料的下层)由等离子制程中的氧化作用或下游利用自由基的氧化制程移除的。另一优点在于碳通常与半导体等离子制程相容,因此只要没有过量的植入,并不会造成污染。其问题则在于,所沉积的层对于激光退火步骤的高温所致的破裂或剥离(peeling)非常脆弱,除非该层是以非常高的温度(例如摄氏550度)作沉积(有关前述所沉积的层因高温或高温度梯度自下方层的破裂、剥离或分离的倾向或抵抗于此说明书中统称为该沉积层的热或热机械特性)。同样的,与此等离子增强型化学气相沉积制程有关的热预算(即时间与温度)会使掺杂物形成群聚物(clusters),该等群聚物难以利用后续的激光退火步骤分解,特别是特征尺寸在65纳米以下者(例如约45纳米的特征尺寸)。然藉由在等离子增强型化学气相沉积吸收层材料期间降低晶片温度(例如,至摄氏400度)的方式解决此缺失会导致两种问题。首先,所沉积层的热特性会使得其在激光退火步骤期间失去作用(如因破裂、剥离或与晶片分离)。其次,所产生的沉积层为透明或有充分的光吸收性。此吸收层所遭遇的另一问题在于其有较差的阶梯覆盖率。现已发现,等离子增强型化学气相沉积550度的吸收层会有非常大的孔洞存在下方层中的明显阶梯部的邻近区、或结构尺寸小于65纳米的薄膜。
认为吸收层因缺乏可抵抗激光退火步骤期间快速加热至摄氏1300度的应力的高强度化学结合(介于下方层与沉积材料间)会导致吸收层的毁损(例如因剥离或破裂)。为了改善沉积层的热特性,认为以低晶片温度达到如此高强度的结合在PECVD制程期间需要高的离子能量。然而在传统PECVD反应器中并无法立即达到如此高的离子能量。认为因吸收层或非晶形碳会有如此差的阶梯覆盖率是因习知PECVD或高密度等离子化学气相沉积(HDPCVD)反应器无法以适当能量级的离子轰击提供适中的离子化范围(离子与自由基比例)所致。此等不适当的方式,有部分原因是因前述习知PECVD及HDPCVD反应器无法操作在宽广中间范围的电源耦合(以形成等离子电子)、处理室压力及晶片电压。更确切而言,习知不同类型的PECVD及HDPCVD反应器倾向以非常高或非常低的电源耦合(以形成等离子电子)、处理室压力及晶片电压范围来操作。习知PECVD反应器可以相当高压的方式来利用电容耦合的射频电源,致使非常低离子化范围(离子与自由基比例)中有不适当能量级的离子轰击。此是由于不充分的电源耦合(以形成等离子电子)及以高压与碰撞中子的离子能量所致。即便以独立的射频偏压晶片,以高压与中子撞击的离子能量的阻尼也会限制电压及能量范围在一小的范围内。反之,习知HDPCVD反应器一般是利用非常低压力的独立耦合射频电源。此类型的等离子源一般是以电容启始等离子,且会有一个转换至电感耦合电源模式的高电源临界值。一旦电源耦合高于此临界值且以电感模式操作该来源,电源耦合便会有高效能及最小可能的等离子密度,且离子化范围(离子与自由基比例)会非常高。独立的射频晶片偏压会耦合至相当高密度的等离子,其有非常低的电抗负载。形成具能量的离子轰击所需的合成射频偏压源非常高(对大于2kV而言远大于10kW)。由于可实施的射频输送系统限制(射频产生器、匹配网路及馈送结构),一般并不容易获取高能量高能量。大多数的偏压电源(例如~80%)在热位于晶片上时会消散,故非常难以适当比例移除低压下的热量来维持低晶片温度(<摄氏400度或更低)。最终,在沉积吸收或半导体薄膜(于射频视窗或绝缘体上)而使用碳化学物时,电容耦合PECVD及电感耦合HDPCVD反应器可能会有电源耦合飘移(以即时方式)的问题。对反应器而言,需求是可经由操作在大中间范围的电源耦合及量级、晶片电压及处理室压力的方式,在所有情况下提供大中间范围以及适当能量级的离子轰击的离子化比例(下文将详述可藉由环形等离子CVD反应器及制程予以满足)。环形等离子CVD反应器在沉积吸收或半导体薄膜而使用碳化学物时并不会有电源耦合飘移(power coupling drift)。此乃因环形等离子CVD反应器已可导电(金属)且仅有非常薄的绝缘直流阻断,其等并不会累积太多的沉积物且易于作原位(in-situ)的等离子清洁。
习知PECVD的类型的一为电容耦合等离子反应器,其具有一对紧密相隔的平行电极板,使射频等离子电源可通过该等板来施加。前述电容耦合反应器通常操作在高处理室压力(2-10Torr)。可利用高压及紧密相隔(相对于电极半径而言)的方式使晶片上的沉积率最大化,并使制程区域外的沉积最小化。等离子电源可耦合至巨体等离子中的两电极并至等离子鞘中的离子。电极上的电压通常相当低(对300mm晶片而言在几千瓦的电源下少于1KVpp),且等离子鞘具有相当的碰撞性,而使离子能量通常很低。此类型的反应器会产生非常低的离子与中子(ion-to-neutral)比例以及离子与自由基(ion-to-radical)比例,使得离子通量很低,因而很可能增加达所欲高强度结合(沉积层与下方材料间)所需的离子能量级或晶片温度。然而,因为低的极间电压(inter-electrode voltage)以及碰撞等离子鞘中离子能量的高损失,非常难以产生高稳定结合所需的离子能量分布。
另一种习知PECVD反应器是电感耦合式高等离子密度化学气相沉积(HPDCVD)反应器,其中射频电源是施加于感应天线处。反应器必须操作在低处理室压力(例如5-10毫托耳)极高等离子电源电平,这是因为需高的最小感应电场来维持电感耦合等离子模式,继而形成高等离子密度。由于维持电感耦合模式需大量的射频电源,且因射频感应电场直接耦合至巨体等离子中的电极,此反应器中所形成离子化的程度(离子对中子密度的比例)会局限在非常高数值的范围内(大于前述电容反应器的数值四或五个量级)。此方式会与其中射频电场未与电子有效耦合(因等离子鞘的位移或经由等离子鞘摆动)的电容耦合等离子形成对比。因此,等离子密度及传导性非常高,使其难以可用的偏压电源电平产生高晶片电压(由于晶片电压是经由高传导性的等离子给予所致)。故,在未施加过量射频偏压电源予晶片时,将无法获得高离子能量。然此方式也可能过度加热晶片,且可能毁损(因热扩散)下方半导体晶格中的超浅接面。一般对300mm晶片而言,1-2千伏特峰值至峰值的晶片电压会需要约10千瓦的射频偏压电源。在高偏压功率下,甚至是高的偏压(高于1-2千伏)冷却晶片以维持超浅接面并不容易,因此需较高的电源以得较佳的薄膜特性。大于10千瓦的射频电源输送系统则过于昂贵且可用性相当有限。
HDPCVD的另一问题在于,处理室顶中必须提供大的非导电性制程窗(process window),以让等离子电源可经由该制程窗感应地耦合自该顶天线。此方式可避免将导电性的喷洒头直接使用在晶片上方,而限制了晶片处的气体分布均匀性,以及晶片上的射频偏压接地参考均匀性。此外,若使用反应器以将非绝缘材料沉积在晶片上,耦接至处理室的电源便可有效地降低或甚至被阻断,这是由于制程期间相同材料也将累积在介电窗上,因而形成至射频电源的导电性档板或半导体衰减器。非导电性表面(例如HDPCVD反应器的介电窗)的温度也无法有效控制,使得制程及反应器内部的后制程清洁期间的沉积也变的更难。两种类型的反应器的相关问题在于,等离子电源需一来自处理室中任何可用导电表面的接地端,使得制程控制因处理室表面上所沉积副产物的电性改变而变的困难。以介电材料及金属材料组成处理室表面时,在制程后移除所沉积的等离子副产物会变的困难或使处理室部件可能有过度磨损。此问题可藉由使用可丢弃的档板或制程套件方式避开,以避免沉积在处理室表面上。然而,前述可丢弃档板并无法提供良好的射频接地参考值,也无法作精确的热控制。
综前所述,习知反应器可界定在小范围的低处理室压力的制程窗(在使用HDPCVD反应器时)或界定在小范围的高处理室压力的制程窗(在使用电容耦合反应器时)。然并未有任何一种处理室可达到高的离子能量,这是因等离子鞘具高度碰撞性(于电容耦合反应器中)或因等离子具有高度传导性(于HDPCVD反应器中)所致。同样的,其等也受限在小范围的高度离子化模式(HDPCVD反应器)或小范围的低度离子化模式(电容耦合反应器)。此外,两种类型的反应器无论什么时后用于非绝缘材料的沉积,效能上都容易在有明显偏差,这是由于电容耦合反应器中非绝缘材料在电极边界上的累积、或电感耦合反应器的介电制程窗上的累积会破坏或抑制射频电源耦合至处理室中。故所需的是以非常低的温度(例如,室温至摄氏几百度)进行沉积制程,以形成具有前述与下方层有高强度结合、且不受激光退火步骤期间的机械应力或剥离的光吸收层。故,制程应有宽的电源制程窗、在中间范围中有大范围的离子化程度制程窗、具有大范围离子能量制程窗的大范围晶片电压(偏压电源)制程窗以及大范围的晶片温度制程窗。
发明内容
本发明提供一种利用光学可写式掩膜处理一半导体基材上的薄膜结构的方法,其包括将该基材置放于一反应器处理室中,该基材于其表面上具有一欲依据预定图案作蚀刻的目标层;藉由下列方式于该基材上沉积含碳硬掩膜层:(a)将含碳制程气体引入处理室中;(b)藉由将等离子射频电源耦合至一再进入路径的外部的方式于该再进入路径中形成再进入环形射频等离子电流,其中该再进入路径包括一位于该工作件上方的制程区;以及(c)将射频等离子偏压电源或偏压电压耦合至该工作件。该方法更包括于该含碳硬掩膜层中光微影地定义该预定图案,并在该硬掩膜层的存在下蚀刻该目标层。
附图说明
图1是绘示动态表面退火设备。
图2是图1设备的光学仪器的俯视图。
图3是对应图2的正视图。
图4是用于图1设备中的激光阵列的截面图。
图5是图1设备中一光均匀管的透视图。
图6是图5具准直及聚焦透镜的光管的透视图。
图7为对应图6的侧视图。
图8对应图6的俯视图。
图9是绘示用于低温化学气相沉积制程的环形等离子源反应器。
图10为一框图,绘示实施于图9的一般低温化学气相沉积制程。
图11A为一图表,说明以图10的低温制程所沉积的层的保形性作为电源的函数。
图11B为高深宽比开口以及沉积层的截面图,已说明保形性的定义。
图12是一绘示化学气相沉积的沉积率作为等离子电源函数的图表。
图13是一绘示沉积层的应力作为偏压电源电平函数的图表。
图14是一框图,说明图10制程的实施例。
图15是一框图,说明图10制程的另一实施例。
图16是一框图,说明图10制程的又一实施例。
图17是藉由图15或图16的制程所形成的薄膜结构的截面图。
图18是一图表,绘示图15或图16中植入的离子密度作为晶片表面下方深度的函数。
图19是一框图,说明图10制程的再一实施例。
图20是一用于形成超浅接面的制程的框图。
图21是图20制程的替代实施例的框图。
图22是形成于图21制程中的薄膜结构的截面图。
图23A是形成于图21制程中的薄膜结构的截面图。
图23B是一离子植入物种浓度作为图23A的薄膜结构的深度函数的图表。
图24是图20制程的替代实施例的框图。
图25是添加性气体流率作为图24制程的函数的图表。
图26是射频晶片偏压作为图24制程的时间函数的图表。
图27是图24制程所形成的薄膜结构的截面图。
图28是图20制程的另一替代实施例的框图。
图29A是一图表,绘示两不同添加性气体作为图24制程的时间的函数。
图29B是一图表,绘示图24制程的另一态样中单一添加气体的比例。
图29C是说明晶片射频偏压作为图24制程的又一实施例中时间的函数。
图30是绘示一薄膜结构,其具有以图24的制程所形成的多层沉积涂层。
图31是说明一用于退火半导体晶片中超浅接面的操作。
图32是说明一集成系统,用以依据本发明处理一晶片。
图33是说明一集成系统,用以实施形成晶片表面中超浅接面的所有步骤。
图34A至图34C绘示用以于半导体薄膜结构上形成阻障层、晶种层及铜导电层制程流程图。
图35A至图第35I绘示第34A至图34C制程期间于薄膜结构中的连续改变。
图36绘示用于形成铜导电层的替代制程。
图37A至图37E绘示图36制程期间薄膜结构中的连续改变。
图38A-38B绘示用于形成光学可写式掩膜的制程流程图。
图39A至图39G绘示图38A至图38B的制程期间薄膜结构中的连续改变。
图40绘示用于形成光退火制程(例如快速热退火)的光罩的制程流程图。
图41A至图41图绘示图40的制程期间薄膜结构中的连续改变。
图42A至图42B绘示用于形成蚀刻制程的硬掩膜的制程流程图。
图43A至图43H绘示图42A至图42B的制程期间薄膜结构中的连续改变。
图44A至图44B绘示用于形成硬掩膜于多晶硅特征上以进行蚀刻制程的制程流程图。
图45A至图45H绘示图44A至图44B的制程期间薄膜结构中的连续改变。
主要元件符号说明
10  侧壁                    12  顶部
14  静电吸盘                16  抽吸环形物
18  气体分配板              20  气体注入器
22  再进入管                22’再进入管
23  绝缘环                  23’绝缘环
24  射频电源供应器          24’射频电源供应器
26  磁铁芯                  26’磁铁芯
28  导电线圈                28’导电线圈
30  射频电源产生器          30’射频电源产生器
32  匹配电路                32’匹配电路
34  气体供应器              40  晶片/工作件
42  制程区域                44  射频偏压电源产生器
46  匹配电路                48  电压电源
50  绝缘电容器              110 起重架结构
112 轨道                    114 轨道
116 起重架横梁              118 起重架横梁
120 光源束                  122 硅晶片
124 激光束                  126 线束
132 激光条堆迭              134 条
136 发散器                  140 柱形透镜阵列
142 中介物                  158 光源束
161 高温计                  162 柱形透镜
163 光检测器                164 柱形透镜
165 滤光器                  166 柱形透镜
167 控制器                  170 光管
172   矩形板              174   轴
176   输入表面            178   输出表面
180   变形透镜            182   变形透镜
242   薄膜结构            251a  晶片
253   非晶形碳「光吸收层」253a-1不透光层
253a-2非不透明层          253a-3不透光层
253a-4非不透明层          260   光源
310   晶片处理器          315a  晶片端口
320a  CVD反应器           320b  CVD反应器
325a  DSA处理室           325b  DSA处理室
330a  去除处理室          330b  去除处理室
350   晶片处理器          355   晶片输入
355’ 晶片输出            360   清洁反应器
365   离子植入反应器      367   后离子植入去除处理器
370   环形等离子反应器    375   后CVD离子植入反应器
380   DSA处理室           385   光吸收层去除处理室
400   介电层              401   窄开口
402   阻障层              406   上金属部
408   颈部突出            414   铜晶种层
416   颈部突出            422   铜导电层
426   光吸收层            436   薄膜结构
438   光阻层              438a  未暴露区域
444   非晶形碳层          462   碳层
476   基底层              478   上方层
480   上方层              482   抗反射涂层
490   光阻层              505   上方层
510   上方层              520   非晶形碳层
530   抗反射涂层          535   光阻层
550   光阻掩膜            6140  下方层
具体实施方式
序论
于前述发明背景中所提及的全部问题皆可藉由利用环形等离子源反应器的低温PECVD制程中沉积非晶形碳光吸收层(amorphous carbonoptical absorber layer)的方式予以解决。与HDPCVD反应器或电容耦合PECVD反应器不同的是,环形源(toroidal source)可以大范围的离子能量进行操作。因此,可维持适度的离子通量以及适度(或高的)离子能量,以使沉积层与下方基材间有高强度的结合、或无须提高晶片温度而形成薄膜。事实上,晶片温度可与室温相当(故其可最小化所植入超浅接面上的任何影响,例如植入制程期间所形成的非晶形层的再结晶、掺杂群聚物的形成或热扩散)。因此,由此制程所形成的吸收层可抵抗激光束曝照及最大加热,而不会与晶片分离或出现破裂。环形等离子源反应器可操作在大范围的偏压电源或偏压电压范围,以使所沉积层的应力可被选择在非常宽的范围内,亦即,从拉伸应力至压缩应力位准。环形等离子源反应器可操作在大范围的电源范围,以使沉积层的保形性可做精确控制,例如,确保高度的保形性以达优良的阶梯覆盖率。环形等离子源反应器可经由非常大范围的处理室压力(例如10-80毫托耳)来操作,以使离子密度及等离子鞘碰撞性可做更大范围的控制。由于无须高离子密度,高晶片电压及高离子能量可维持在相当低的偏压电源量(例如,对300mm晶片而言,7千伏晶片电压仅有7千瓦的偏压电源)。环形等离子源反应器并不要求用以将射频电源自感应天线耦合至处理室(且仅要求非常薄介电质的直流阻断(DC-break))的介电制程窗,故因此可将导电性喷洒头置放于顶部。此特征可使制程气体分布有良好的均匀性,以及在晶片上有高均匀性的低阻抗射频接地参考(highlyuniform low-impedance RF ground reference)。因为介电制程窗未要求用于感应耦合,事实上整个处理室可为金属并因此可热控制以于制程期间调整沉积,并可加速高温后处理清洁处理室表面。环形等离子源可形成低电位的等离子且环形等离子流不需要通过处理室表面的接地返回路径,故形成超出制程区域的飘移电流(drift-current)的可能性非常低,并因此制程区域外的处理室表面仅会有些微沉积或不会有任何沉积。在环形等离子反应器中无须任何介电制程窗的另一优点在于,反应器可用以将非绝缘性材料沉积在晶片上,而不会有因非绝缘性材料累积在处理室内表面上所致的不良影响。
本发明关于利用连续波(Continuous Wave,CW)二极体激光碰撞及聚焦至单一刀缘光束的方式动态表面退火半导体晶片中的超浅接面。刀缘光束(knife-edge light beam)具高强度,且可以横越其长度的方向扫过晶片。温度在绕光束的高度局部区域处会快速提升,并可因小体积而快速冷却,故也可以特定做及时加热。此技术已描述于Dean C.Jennings等人申请、2003年10月23日所公开的美国专利申请公开号US 2003/0196996 A1中(下文简称公开案A)。在最小特征尺寸下(例如45纳米),会因存有三维起伏特征而难以均匀加热晶片。此等特征可能是由不同材料组成或有不同光特性。此等特征会使得热吸收不均匀。其等也会使表面发散步均匀,而使其无法正确地监控表面温度。
此等问题已藉由将光吸收层沉积于整个晶片上(其并于之后移除)获得改善。但此吸收层具有高的复折射率虚数分量(在n+ik的「k」值中,「n」为折射率而「k」为消光系数)。足够厚的吸收层可阻挡因晶片上下方薄膜及其维度上的起伏特征所致的发散差异,而促进激光吸收及晶片上热吸收的均匀性(以及表面发散的强度与均匀性)。然问题在于,光吸收层必须在动态表面(激光)退火期间能抵抗较近的熔点温度,而不会剥离或与下方层分离。为避免前述剥离或分离,需藉由在高温下沉积吸收层的方式于吸收层及下方晶片特征间有高强度结合。高温也可提供良好薄膜结构、光学及电性。但问题在于,若晶片温度够高至可达到能避免破裂、剥离或分离的高强度吸收层,则晶片温度会造成再结晶预先存在的非晶形硅层、或使超浅接面扩散而成为劣质的定义等不乐见的影响,并因此劣化晶片上的电路特征。低温的习知CVD吸收层也有明显降低的「k」值,故需较厚的薄膜以达到与下方层吸收特性相同的净吸收与抗扰性(immunity)。
此等问题可依据本发明藉由在低温化学气相沉积制程中沉积吸收层的方式克服,其是利用Hiroji Hanawa等人于2004年10月14日公开的美国专利申请公开第2004/0200417号(下文简称公开案B)中的环形等离子源低温化学气相沉积制程来进行。此制程是利用公开案B所详细描述的一独特的环形等离子源反应器。该制程是在非常低温下进行,例如低于摄氏300度或甚至低至室温。因此,在超浅接面特征已形成在晶片上时仅有些微或无任何不良影响(例如,热扩散或掺杂物迁移,或再结晶)。若吸收层为非晶形碳,则使用含探制程气体。为强化吸收层中自激光束的热吸收,所沉积非晶形碳层应藉由掺杂不纯物(例如硼、含磷、砷、硅或锗)的方式使其较不透光。此可藉由离子植入步骤完成,其同样揭示于公开案B中使用环形等离子源浸没离子植入(Plsama immersion ion implantation,P3i)制程来进行,或(可替代)于CVD低温沉积制程期间以将硼结合至制程气体混合物的方式来进行。也可利用将其他不纯物(例如氮)离子植入至经沉积的非晶形碳吸收层,以调整或控制吸收层的介电常数或折射率以例如,得高介电常数。或者,也可藉由在CVD低温沉积制程期间将其并入制程气体混合物的方式结合其他不纯物(例如氮、氢、氧、氟)。
热特性(亦即,由于动态表面激光退火步骤期间剥离、破裂或分离的低温沉积吸收层的抗扰性)可藉由使沉积层成为压缩应力层的方式予以强化。藉由提升低温等离子CVD制程中的射频等离子偏压电源或偏压电压至相当高电平的方式便可达到前述目的,如公开案B中所述。沉积具高保形性的吸收层便可在先前形成于晶片上的所有三维微电路特征上有良好的阶梯覆盖率。此方式可藉由将低温等离子CVD制程中的等离子射频电源设定在非常高电平的方式达成,如公开案B所述。沉积薄膜的粘着性可藉由清洁处理中预热晶片以移除表面氧化物或其他污染物的方式予以强化。一种预处理制程是使用等离子电源或偏压电源产生的氢气等离子。也可加入偏压电压以强化清洁率。一般相信氢离子及/或自由基可蚀刻薄的氧化物或污染物薄膜。另一种预处理制程是使用由等离子电源或偏压电源产生的氮及/或氧气等离子。也可加入偏压电压以强化清洁率。一般相信氮及/或氧离子以及/或自由基可蚀刻薄的有机污染物薄膜。此预处理制程可在氢气等离子预处理制程后进行以移除氧化物。另一种预处理制程是使用惰性气体等离子(例如氦、氖、氩或氙)以溅镀清洁表面氧化物或污染物。或者,在沉积薄膜之前也可使用湿式的预处理制程以清洁晶片表面(强化结合)。
吸收层薄膜光特性可以制程变数来调整,以在激光光束辐射波长及温度测量高温计波长时具有高的吸收或消光系数或复折射率虚数部。前述制程变数可包括吸收层中的不纯物浓度(例如氮)、吸收层中的掺杂物浓度(例如硼)、晶片温度、制程气体压力、气体流率(指含碳气体、含不纯物气体、例如氦、氢或氩等稀释气体)、射频偏压电压或电源、射频等离子电源、制程时间以及层厚度。也可藉由以层中深度分级前述不纯物浓度的方式额外强化吸收层特性。此方式可藉由调整不纯物(以前述P3i制程离子植入)的植入深度轮廓、或藉由在制程气体中递增前述不纯物浓度或于公开案B所述低温CVD制程期间改变射频偏压电压或电源或射频等离子源或压力的方式来达成。也可藉由以经沉积吸收层修复晶片的方式来额外强化吸收层特性。修复(curing)可包括热(定时温度)或紫外光曝光或其组合。此方法可进一步增加或稳定吸收或消光系数或复折射率的虚数部(imaginary part)。
公开案B同样的环形等离子源处理室也可用以进行吸收层沉积,利用公开案B的低温CVD制程以及公开案B所揭示任何将不纯物植入至吸收层的P3i离子植入制程,以使晶片不需传送于不同处理室之间。此外,公开案A的制程处理室(可实施激光束动态表面退火(Dynamic SurfaceAnneal,DSA)制程)可较佳地整合至具有公开案B的环形等离子源反应器的相同工具中,以使晶片可涂覆吸收层(例如,非晶形碳吸收层),该吸收层可藉由经选择不纯物及/或掺杂物P3i的离子植入予以强化,且晶片可接着利用公开案A的DSA激光光源作激光退火,其等全都设于相同工具中。此方式可降低晶片污染的风险。此外,兴同环形等离子源处理室或一第二(专用的)环形等离子源处理室(与公开案B所述为相同类型)或不同类型的等离子处理室可整合至相同工具或平台上以在完成激光退火DSA制程后移除吸收层。
一个完全整合的制程需后续处理室以下列顺序于一既定晶片上进行:一等离子浸没离子植入(plasma immersion ion implantation,P3i)处理室,用以植入掺杂物以形成超浅接面(Ultra-Shallow Junction,USJ)的源极/漏极结构;一光阻去除(resist strip)处理室,用以移除超浅接面结构定义部或经图案化的光阻;一湿式清洁处理室,用以进行后光阻去除清洁;一环行源或P3i等离子反应器,用以实施低温CVD制程以形成非晶形碳吸收层;一含有DSA多重激光光源及扫瞄设备的处理室;一碳去除(carbon-strip)处理室,用以移除吸收层;以及一湿式清洁处理室,用以进行晶片的后去除清洁。至少两个或多个前述处理室可整合至一共同平台上,以减少晶片操作、降低污染并增加产量。
吸收层较佳为非晶形碳(amorphous carbon),不过也可选择其他适合材料。薄膜厚度与吸收或消光系数或以吸收层的激光光束辐射波长时的复折射率虚数部的乘积必须足以沉积所有三维起伏的特征或晶片上的微电路结构,以使下方材料的光特性能被遮蔽至吸收层所需的程度。吸收层光特性是经选择以自激光束最大化热吸收性。吸收层的热或热机械特性则经选择,无论接近制程较近的熔点温度,都能使吸收层避免剥离、破裂或于DSA激光退火期间与下方晶片分离。
吸收层甚至在晶片上明显存有三维表面起伏特征时也可将来自激光光束的均匀吸收性作最大化。吸收层为良好的热导体,并因此可提供均匀热分布于晶片的局部辐射区域上。吸收层的均匀表面可使晶片表面发散性均匀,故可持续准确测量晶片温度,而有良好的制程控制。
前述吸收层也可有利地用于多数习知退火技术中,例如快速热退火(Rapid Thermal Anneal,RTA)或尖峰(spike)退火或闪光灯退火,以改善光吸收的强度或均匀性,并减少整个晶片及晶片与晶片的温度变化。此种层可用以遮蔽光特性中的差异,包括下方层的3-D几何影响。于此情况中,吸收层沉积/植入可作调整以得灯丝或电弧/气体放电灯源产生的波长光谱所欲的光特性。本发明的热吸收层也可用于具有三维微电路起伏特征的半导体晶片的快速热退火。于如此状况下,吸收层光特性适于作为快速热退火光源。此种设备可包括前述高折射率结构,如绝缘层覆硅(silicon-on-insulator)或介电结构上的多晶硅。
激光热通量退火光源
动态表面退火光源是指前述使用连续波(CW)二极体激光来产生非常强的光束,以微细的长辐射线轰击晶片。此线接着以垂直线束长尺寸的方向扫过晶片表面。该光源的一实施例是说明于图1的垂直概要表示图。用于二维扫瞄的起重架结构(gantry structure)110包括一对固定的平行轨112,114。两平行起重架横梁116,118彼此固定相隔一设定距离,并支撑在固定轨112,114上,且以一未图示的马达及驱动机构控制以与固定轨112,114一起来滑动滚轮、来源或球型滚轴。光源束120可滑动地支撑在起重架横梁116,118上,例如悬吊于横梁116,118下方并以未图示的马达及驱动机构控制以沿该等横梁滑动。硅晶片40或其他基材可固定地支撑于起重架结构110下方。光源束120包括激光光源及光学仪器以形成向下的扇形光束124,以如线束126(大致延伸平行于固定轨112,114)般以简单称为慢速方向(slow direction)的方向轰击晶片40。虽然此处并未图示出,但起重架结构更包括一Z轴平台,用以按大致平行扇形光束124的方向移动激光光源及光学仪器,藉以可控制地改变光源束120及晶片40间的距离,并因此控制晶片40上线束126的聚焦。线束126的例示性尺寸为长度1公分及宽度100微米,具示范性能量密度400千瓦/平方公分。或者,光源束及有关的光学仪器可呈固定同时晶片支撑在一以两方向扫瞄晶片的平台上。
于一般操作中,起重架横梁116,118是沿着固定轨112,114的一特定位置设置,且光源束120是以均匀速度沿该起重架横梁116,118移动,以简单称为快速方向的方向扫瞄(垂直线束126的长方向)该线束126。该线束126因此可自晶片40一侧扫至另一侧以辐照1公分宽度的晶片40。线束126应够窄且快速方向的扫瞄速度应够快,使晶片特定区域暂时暴露于线束126的光辐射,但线束峰值的强度已足以加热表面区域来改变高温。然而,晶片40的较深部分并实质受热且更作为一散热片(heat sink)来快速冷却表面区域。一旦快速扫瞄完成后,起重架横梁116,118会沿固定轨112,114移动至新位置,以使线束126沿其长方向(沿慢轴延伸)移动。接着进行快速扫瞄以辐照晶片40的邻近宽度。交替的快及慢扫瞄可能以蜿蜒路径的光源束120重复进行,直至整个晶片40皆经热处理。光源束120的一范例(如第2及3图所示)是可以约810nm自两激光条状堆迭132接收激光辐射,其中一条状堆迭则以端部平面图示于图4中。各激光条状堆迭(bar stack)132包括14个平行条134,大致对应于砷化镓半导体结构中的一垂直p-n接面,其横向延伸约1公分并相隔约0.9厘米。一般而言,水冷却层是设于该等条134之间。于形成有49个发射器136的各条134中,各可形成一独立的砷化镓激光发散的个别束,具有成直角的不同发散角度。所示的条134并以其长尺寸(延伸过复数发散器136)定位,并沿慢轴与其短方向(对应于沿该快轴对准、少于1微米p-n消耗层)对准。沿快轴的小来源尺寸可沿快轴作有效对准。沿快轴的分散角度(divergence angle)会变大而沿慢轴的分散角度则相当小。
再参照图2及图3,两柱形小透镜阵列(arrays of cylindricallenslets)140是沿激光条134定位,以沿快轴以窄的光束准直该激光光。其于激光堆迭132上可结合粘着剂,并对齐激光条134以延伸于该等发散区域136上。两来自两条堆迭132的光束组是输入习知光学仪器142。光源束158接着通过一组柱形透镜162,164,166以在光源束158以一有限收敛角(沿慢轴但大致对准快轴)进入一维光管170之前将光源束158沿慢轴聚焦。光管170(图5中有更清楚绘示)是作为光束均质器,以缩减沿慢轴的光束结构(由条堆迭132中数个于慢轴上相隔的发散器136所引入)。该光管170可作为光学玻璃(具高度折射率)的矩形板172以形成所有的内部折射,其具有沿慢轴的短尺寸以及沿快轴的长尺寸。沿光源束158(沿输入表面176上的慢轴聚合)的一轴174延伸一实质距离的矩形板172会自该板172的上及下表面作内部反射许多次,以移除沿该慢轴的多数纹理并在其离开输出表面178时沿该慢轴均质化该光束。然而,该光源束158是沿该快轴作良好对准,且该板够宽以使光源束158不会内部地反射在该板172的侧表面上,但可维持其沿快轴的对准。光管170可沿其轴向缩减以控制进出孔径及光束聚合及发散。一维光管也可替代性地作为两平行反射表面,大致对应该板172有光源束通过其间的上及下表面。
藉光管170的光源束输出通常较均匀。如图6将进一步描述者,变形透镜(anamorphic lens)组180,182会以慢轴扩展输出光束,且包括一大致球状的透镜以将所欲线束126头设于晶片40上。变形光学仪器180是将来源光束以二维方式成形,以产生长度受限的窄线束。于快轴方向中,输出光学仪器在光管170的输出处具有光源的无限共轭(infiniteconjugate)(不过系统可设计具有限来源共轭),以及在晶片40织成像面处具有限共轭,同时在慢轴方向中,输出光学仪器在光管170的输出的来源处具有限共轭,而于成像面处具有限共轭。此外,于慢轴方向中,来自激光条的复数二极体激光的辐射(非呈均匀)会被均质化。光管170均质化的能力取决于光反射过光管170的次数。此数目是由光管170长度、锥形部(若有)的方向、进入孔径176及离开孔径178的尺寸以及进入光管170的发射角度所决定。附加的变形光学仪器会于晶片40表面上将光源束聚焦成所欲尺寸的线束。
图7及图8为沿快及慢轴垂直配置的侧视图,其分别显示光管170及若干相关光学仪器。于快轴方向中,来自激光条132的光束会作适当对准,且并不会受光管170或变形光学仪器影响。另一方面,于慢轴方向中,输入变形光学仪器162、164、166会将光束聚集并汇聚至光管170的输入端。光束会以相同均匀强度、但有实质上发散的方式沿慢轴离开光管170。输出变形光学仪器180、182会沿慢轴将输出光束扩展并对准。
为调节或控制晶片峰值温度,晶片40所照射部分的温度可以高温计系统作持续监控。高温计系统(pyrometry system)使用将激光光源聚焦于晶片上的相同光学仪器,来将发散自晶片40所照射区域的热辐射以至高温计161的相反方向(概要示于图3)导引至线束126的邻近区域。高温计161包括一光检测器163(例如光电二极体)以及一滤光器165,用以阻挡激光光源波长(例如810纳米)。高温计滤光器165较佳为窄通带(narrow passband)过滤器,位于浦朗克黑体辐射曲线区中心处,其中该曲线在重点温度处会快速变化。例如,高温计通带可集中于950纳米处,而检测器163为硅光电二极体。光学仪器通常为相互补偿,因此在相反方向中仅在线束126上或非常靠近处检测晶片40的小区域,并光学地扩展该影像至较大区域。控制器167并会使用检测器163的输出,以控制至激光阵列132的电源。过滤器(未示出)可置于激光阵列132前方,以阻挡可能会有高温计波长(如950纳米)的任何发散。
本发明下文所述特征可配合其他激光种类使用:二氧化碳气体激光;钕YAG激光(钕:钕-钇铝石榴石,yttrium-aluminum-garnet),其可选择性地作倍频(frequency-doubled);以电子束或放电激发的准分子激光(卤化物稀有气体或以紫外光波长(126至558nm)发散的金属蒸汽激光稀有气体,其可操作于分子的电子迁移上,在达双原子之前,其基态基本上呈相斥);二极体激光(设计使用受激发散以形成一致光输出的发光二极体)。
环形等离子源反应器的低温化学气相沉积制程
图9是绘示实施低温化学气相沉积制程的环形等离子源反应器。等离子反应器具有柱形侧壁10、一顶部12以及一晶片接触冷却静电吸盘14。抽吸环形物16界定于吸盘14及侧壁10之间。制程气体会被引导通过气体分配板18(或喷洒头),该分配板形成顶部12的大部分。亦可选择的是,制程气体也可引导通过侧注入管20或藉由其他方式。图9的反应器具有再进入射频环形等离子源,其是由经侧壁10对侧(或经顶部12开口,未示于图1)耦接至反应器内部的外部再进入管(reentrant tube)22所组成。绝缘环23可沿再进入管22提供直流阻断。环形等离子源更包括射频电源供应器24,其可包括一环绕在进入管22环形部分的磁渗透环形铁芯26、一缠绕铁芯26部的导电线圈28以及一射频等离子电源产生器30,经由一选择性的阻抗匹配电路32耦接至该导电线圈。一横切该第一管22的第二外部再进入管22’是经由侧壁10相对侧耦接至反应器内部(或,经由顶部12中的开口,未示于图1中)。绝缘环23’可提供沿该第二再进入管22’的直流阻断。第二射频电源供应器24’包括一环绕该再进入管22’的环形部分的磁渗透环形铁芯26’、一缠绕铁芯26’部份的导电线圈28’以及一射频等离子电源产生器30’,经由一选择性的阻抗匹配电路32’耦接至该导电线圈。制程气体供应器34耦接至该气体分配板18(或至气体注射器20)。半导体晶片或工作件40是置于吸盘14顶部。制程区域42则界定于晶片40及顶部12(包括气体分配板18)之间。环形等离子电流会沿一封闭环形路径(延伸过再进入管22及制程区域42)以射频等离子电源产生器30的频率振荡。
射频偏压电源或电压是藉射频偏压电源产生器44经由阻抗匹配电路46施加至吸盘14。直流吸附电压自吸附电压源48(以绝缘电容器50与射频偏压电源产生器44分隔)施加至吸盘14。由射频偏压电源产生器44传送至晶片40的射频电源可加热晶片40至超过摄氏400度的温度,若未进行晶片冷却时,取决于自产生器44施加射频等离子偏压电源的电平及时间。一般相信约有80%或更多来自偏压电源产生器44的射频电源是随着晶片40中的热而消散。晶片支撑座14为具有绝缘或半绝缘顶层或盘部60的静电吸盘。盘部60内的金属(例如,钼)线网或金属层62可形成阴极(或电极),使直流吸附电压及射频偏压电压可经该阴极作施加。盘部60支撑于金属层64上,而金属层64安置于高绝缘层66上。金属基层68可连接至接地端。晶片40是藉由自吸附电压源48施加直流电压至电极62的方式静电固定于吸盘14上。此方式会于晶片40底表面上诱生一相对(具吸附性)的图像电荷。因半绝缘层60中向上的电荷迁移,两相对电荷层间的有效间隙会非常小,故吸盘及晶片40间的吸力对非常小的施加吸附电压而言则非常大。盘部半绝缘层60因此由具有所欲电荷移动性的材料形成,故该材料并非良好绝缘体。来自射频偏压电源产生器44的射频偏压电源或电压可施加至电极62,或者施加至金属层64以经由半绝缘盘部层60作射频耦合。可藉由冷却金属层64的方式自盘部60移除热。为此,可于金属层64内设数个内部冷却通道70,耦接至冷却泵72及散热片或冷却源74。散热片74可选择性为热交换器,若有需要,其也可供应热至金属层64。藉由维持非常高吸附力的方式,晶片40及盘部60间可有非常高的热交换系数。吸附力可藉由提供经研磨表面60a的方式予以强化。
低温化学气相沉积制程较佳是使用静电晶片吸盘,其可用以将射频偏压电源或电压两者耦合至晶片,并移除热以维持晶片温度在所欲电平或低于临界值。较佳而言,静电吸盘是先前图9所述类型,且其详细内容可见于Douglas A.Buchberger、Jr等人于2004年8月26日所申请的美国专利申请序号第10/929,104号中,标题为「GASLESS HIGH VOLTAGEHIGH CONTACT FORCE WAFER CONTACT-COOLINGELECTROSTATIC CHUCK」。前述静电吸盘的使用(具高传热系数)可使来源电源操作在高电平(亦即,每环形来源5千瓦)且偏压电源操作在较高电平(亦即,10千瓦),同时将晶片温度维持在摄氏200度以下,或若有需要,甚至100度以下。此外,处理室压力可维持在约5至200毫托耳范围间,其应够低以避免不良的(例如,片状)的化学气相沉积层,而无须高晶片温度。低处理室压力可避免过量的离子轰击而无须加热工作件,否则会抑制等离子离子密度及/或将沉积高品质薄膜的离子能量抑制在所需值以下。制程区域中的适度等离子离子密度可排除任何加热晶片的需求,以使高品质化学气相沉积薄膜可以非常低温(低于摄氏100度)进行沉积,与PECVD反应器不同。等离子密度并未非常高且等离子电源电平不需高的事实将有更广的操作范围实施偏压电压,而无须过量的偏压电源电平,此亦与HDPCVD反应器不同。
CVD反应可以低来源电源电平(若欲如此)实施于环形来源反应器的事实,隐含大范围制程窗中的来源电源可由最小电平至最大电平(例如每环形来源约5千瓦)作变化。此制程窗相当大,以改变CVD沉积层的保形性在介于非保形(保形率0.1)及保形(保形率>0.5)之间。同时,CVD沉积层的应力位准可藉由改变等离子偏压电源或施加至晶片的电压于用于沉积层的拉伸应力的低电平(例如500瓦)及用于沉积层的压缩应力的高电平(例如3千瓦或更高)之间来作改变。因此,各等离子CVD沉积层的保形性及应力均可藉由分别调整来源及偏压电源电平的方式对不同层(可为具保形或非保形并具有张或压缩应力)作独立调整。非保形薄膜对于深沟渠填充及于光阻上形成可移除层而言很有用。具保形层层则对蚀刻终止层及钝态层很有用。具压缩应力的层可强化下方或邻近P通道金氧半导体场效电晶体(MOSFETs)中的载子移动性,而具有拉伸应力的层则可强化下方或邻近N通道金氧半导体场效电晶体中的载子移动性。图9的环形来源等离子反应器中低的最小等离子来源电源及反应器在来源电源增加时所提供高的可控制等离子离子密度是因应着环形来源等离子反应器的独特反应器结构。等离子来源电源可经由电源供应器施加至外部再进入管,使环形射频等离子电流可通过该管作循环(振荡),以使来源电源密度非常低。此特征可使晶片表面处的等离子离子密度可高度控制,且不随等离子来源电源过量增加(与HDPCVD等离子反应器在至感应耦合的转移发生时相反)。此外,射频来源电源供应器有效耦合至外部再进入管内的制程气体会使等离子点燃所需的最小等离子来源电源较习知反应器(例如HDPCVD反应器)为小。低温CVD制程可解决提供65纳米或45纳米或(例如)元件温度无法在任何有效时间量超过摄氏400度的较小元件等离子CVD制程而不破坏元件结构的问题。其也可使等离子CVD沉积在光阻层上而不分裂或毁损下方光阻。这样的可能性对下述制程开启全新的类型,特别适用于纳米级设计规则且可在不阻碍元件上的光阻遮罩下进行。
后CVD离子轰击制程可于相同环形来源反应器中进行,其中该反应器先前是用以进行低温CVD制程。后CVD离子轰击制程包括用于强化非晶形或多晶形CVD沉积层以及其基层之间的粘附性,以将CVD层中物种的比例提升到超出一可能比例,以将不与等离子CVD制程相容的物种植入至CVD层中,或将可改变该层的一特定材料特性(例如介电常数或应力)的物种植入至CVD层中。
低温等离子CVD制程对于CVD形成硅薄膜、氮化硅膜、硅氢薄膜、硅-氮-氢薄膜及前述更含有氧或氟的薄膜态样非常有用。该等薄膜可展现极佳的品质及热特性,且无论CVD制程在多低的温度下进行都不会发生破裂、剥离、片落(flaking)等现象。对用于互补式金属氧化半导体(CMOS)元件的应用而言,钝态层是利用高度非保形性的方式以压缩及拉伸应力分别沉积于P及N通道元件上,以选择性进行蚀刻及光阻遮罩及移除,且具零(适中)应力的蚀刻终止层可以高度保形性的方式沉积于所有元件上。低温等离子CVD制程对于CVD形成碳薄膜也相当有用。
利用图9的环形反应器的低温等离子CVD制程是绘示于图10中。于此制程中,碳或含碳层是以环形等离子化学气相沉积制程进行沉积。该经沉积的层可具有若干非晶形碳材料、碳聚合物材料或石墨碳材料的特性,以及(例如)大范围的电及光学特性,取决于制程如何进行。于本说明书下文中,将描述所沉积材料的特性的制程控制。第一步骤(图10的方块6105,其为选择性实施)是将处理室的内表面涂以钝态层,以避免或使晶片上的金属污染物最小化。钝态层可例如与欲沉积的CVD薄膜(如,含碳材料)相同材料。处理室内表面上的钝态涂层可藉由引入适当制程气体混合物(例如,含碳气体,如丙烯),以及施加等离子来源电源以产生环形射频等离子电流,如前述实施例所述。此步骤则实施至处理室内表面已沉积适当厚度的钝态材料为止。接着,将生产工作件或半导体晶片置于晶片支撑基座(图10的方块6107)上。引入含碳及(选择性地进行)其他物种(例如氢或氮)的制程气体(方块6109)。该处理室压力是维持在低或适中电平,例如由约5至约200毫托耳(图10的方块6111)。环形来源反应器中并形成再进入环形等离子流(方块6113)。该环形等离子流是藉由将射频等离子来源电源(例如100瓦至5千瓦)耦合至各再进入外部管22,22’的方式(图10的方块6113-1)产生;以及于0至10千瓦间施加射频等离子偏压电源(图10的方块6113-2)。来源电源较佳是10MHz等级的高频(例如13.56MHz),其对于形成等离子离子非常有效率。偏压电源较佳为MHz等级的低频(例如2MHz),其对于既定偏压电源量形成非常大的等离子鞘电压极具效率。射频产生器180所施予的来源电源的强度可以所欲保形性沉积化学气相薄膜于晶片上的方式作调整(方块6115)。射频产生器162所施加的偏压电源或电压强度可作调整以使沉积薄膜具所欲应力、即压缩应力或拉伸应力(图10的方块6117)。前述制程并实施直至达所欲沉积薄膜厚度。其后,可实施特定选择性的后CVD离子轰击制程(图10的方块6119)。
图11A是沉积层(垂直轴)的保形率(conformality ratio)作为施加射频来源电源(水平轴)的函数的图表。如图11B所示,由CVD制程沉积于基层或基材6123的层6121的保形率是层6121(沉积于基层6123的垂直表面6123a上)的垂直段6121a的厚度C对层6121(沉积于基层6123的水平段6123b上)的水平段6121b的厚度D的C/D比例。保形率超过0.5表示为高保形性的CVD沉积薄膜。保形率约0.1表示非保形性的CVD沉积薄膜。图11A绘示图9环形来源反应器的大范围的来源电源制程窗如何使保形率范围从非保形(以约100瓦的来源电源)横跨至高保形率(以约1千瓦的来源电源)。图11A显示相同的环形来源反应器可用于等离子CVD沉积保形及非保形薄膜两者。图12为一说明CVD沉积率(垂直轴)作为施加来源电源(水平轴)的函数的图表。由0至100瓦的射频来源电源,于图9的环形来源反应器中并未有等离子引燃,且沉积率为零。由约100瓦、约13.56MHz的来源电源开始,伴随约5千伏、约2MHz的持续偏压电压,沉积率会由每分钟约500埃(于100瓦来源电源处)开始,并达每分钟约1000埃(于约2千瓦来源电源处)。此优点在于沉积率相当低,使得高品质的无缺陷CVD薄膜可在不需任何加热或退火去消除缺陷(可能在高沉积率下形成,例如每分钟5000埃)下形成。因此,环形等离子反应器(图9)的来源电源可于改变非保形及保形间的保形率范围内(亦即,自200瓦至2千瓦)任一处做改变,而无须加热晶片,故使晶片可维持在低处理温度,亦即,低于摄氏200或甚至100度以下。环形等离子反应器来源电源可如此增加(以达高度保形性)而不会造成过量CVD沉积率的事实是因应环形来源反应器的结构,其可避免晶片120上方的制程区域中有过量增加的离子密度。如此过量的离子密度在某种程度上应避免,这是因各等离子来源电源施加器(亦即,环绕各个再进入管22,22’及对应的主要线圈28的各铁芯26,26’)会施加等离子来源电源至再进入管22,22’的部段,其位于由侧壁10及顶部12所界定的反应器处理室外部,且与晶片40上方的制程区域42相隔。所幸,利用图9环形等离子反应器的来源电源对等离子离子密度作低及高可控制性的增加可藉由非常低的等离子启始最小来源电源(例如,仅10瓦)达成,其会导致在整个保形性范围有大范围的来源电源制程窗。此等离子启始的最小来源电源电平为有效方式下的结果,于此方式中图9的环形来源反应器可以例如13.65MHz的高频产生环形射频等离子电流。
图9环形等离子反应器的另一特征是反应器可操作的大范围的射频等离子偏压(鞘)电压(例如,由0至10千伏)。此特征的一态样是说明于图13的图表中:该偏压电压操作范围(图13的水平轴)横跨CVD沉积薄膜(图13图表中的垂直轴)中的应力范围,由拉伸应力(+1GPa)至压缩应力(-1GPa)。前述后CVD离子轰击处理下文将再予详述。可藉由利用低频等离子偏压来源(例如2MHz射频来源)而达到大范围的射频等离子偏压(鞘)电压。如此低频可转为高阻抗,以比例上较高的鞘电压穿过晶片表面上的等离子鞘。因此,相当低量的等离子偏压电源(5千瓦)便可于晶片表面产生非常高的鞘电压(10千瓦)。如此低的偏压电源电平可降低晶片上的加热负载,并减少晶片支撑基座上所负载的热量及电场。当然,图9的环形来源反应器并不需如此高的鞘电压来起始或维持等离子,且偏压电源可良好降低至5千瓦以下,若需要,也可降至零。图11A所示的保形性选择(介于非保形及高度保形之间)及图13所示的应力选择(介于拉伸应力及压缩应力之间)是利用操作图9环形来源反应器的制程窗时非常大范围的来源电源及偏压电源来独立进行。因此,图9的环形来源反应器可实施图10的低温CVD制程,其中不同层可以不同应力选择(拉伸应力,零或压缩应力)及不同保形率选择(非保形性或高度保形性)作沉积。
图14是说明图10的制程变化,其中添加物种是藉由将其先驱物气体含纳在制程气体中的方式包含在沉积层中。第一步骤是将碳材料先驱物气体(例如,碳氢或氟碳或氟碳氢化物或其他含碳气体)引入处理室(图14的方块6132)。此制程气体可包括能强化环形等离子CVD制程但无需加入沉积层(碳)中的物种,例如惰性气体。将所欲添加物种的先驱物气体(欲含纳至CVD沉积碳层中)引入处理室(图14的方块6133)。例如,添加物种可为硼先驱物(B2H6)或氮或氢或硫(H2S)或其他所欲物种。同样的,添加物种先驱物气体可包含两种(或更多)不同添加物种的先驱物气体,以作为CVD沉积碳层中的内含物。其后,环形等离子CVD制程是藉由进行图10的步骤6111、6113及(选择性进行的)6115、6117的方式实施于处理室中(方块6134)。碳先驱物制程气体及添加先驱物气体(例如硼)的相对气体流率将可决定CVD沉积碳层中添加物种的比例。图15说明图14的制程变化,其中仅有碳材料先驱物气体是在环形等离子CVD制程起始前(方块6135)首次引入(方块6132)。环形等离子CVD制程是于无需添加先驱物气体下进行一段足够时间以沉积未有添加物种的碳层至所欲临界厚度(方块6135)。于制程的此点中,添加物种先驱物气体会引入处理室,同时持续环形来源CVD制程(方块6136),以使沉积的含碳层的残余部分(上方部份)可包括添加物种。
图16是说明图10制程的另一变化,其中方块6119之后CVD晶片处理步骤为离子轰击步骤。于图16的制程中,碳材料先驱物制程气体是引入处理室中(方块6132)且于晶片上进行环形等离子CVD制程。其后,于晶片上实施离子轰击制程(方块6137),其中所欲物种是植入至CVD沉积的含碳层中。所欲物种可为添加性物种(一或多种),其(例如硼)可化学地活化以使CVD沉积的含碳层形成特定所欲特性。所欲物种可为离子轰击物种(例如惰性物种),以藉由离子轰击改变CVD沉积的含碳层的特性。无论如何,所植入物种的离子植入深度轮廓会设定以局限在CVD沉积的含碳层内的植入物种。例如,离子植入深度轮廓或分布可能会使其峰值设定在或接近CVD沉积的含碳层的中间程度(例如中间)深度。或者,若欲使一不含添加物的碳层以接触一具有含添加物种的上方碳层的基层(或硅晶片表面),则离子植入深度轮廓可位于CVD含碳层中较高深度的中心处,以使临界深度下方仅有一点或不会有离子植入发生。后者选择的结果图示于图17中,其绘示下方层6140、不含添加物种且具有临界厚度的底部含碳层6139、以及包含添加物种的上方含碳层6138。图17的层状结构亦于图15的两阶段环形等离子CVD制程中实现。图18绘示图16的方块6137步骤的离子植入深度轮廓。事实上,离子植入是局限于上方表面(例如晶片)的上的深度。此方式可藉由留有未植入的底部含碳层(图17的层6139)的方式(利用将离子分布尖峰移离底部表面,如图18所示)达成。
图19是绘示图14、15或16的制程如何藉由结合处理室去除或清洁步骤6141及处理室调整CVD沉积步骤6142(其可实施于图14、15或16的环形等离子CVD制程之前或之后)来作变化。于图19中,去除与调整步骤如图所示是实施于环形等离子CVD制程之前。首先,于将晶片引入图9的反应处理室之前,会将制程气体引入处理室中,其含有可自暴露处理室内表面去除所欲薄膜(图19的方块6141)的物种。于图14、15及16的制程中,沉积于内处理室表面的材料主要是由碳组成,以使方块6141的步骤中所用的清洁或去除制程气体可主要由,例如氧,所组成。其后,去除或清洁制程气体会自处理室移除,并于图9反应器的内处理室的暴露表面上沉积调整层(seasoning layer)(图19的方块6142)。方块6142的步骤是利用前述相同的环形等离子CVD制程来实施。更明确而言,碳先驱物气体是作为调整层先驱物气体来引入处理室中,并于处理室中形成环形等离子。此方式可产生CVD沉积的含碳调整层于暴露的处理室内表面上。若希望强化此调整层的硬度或耐用度,则可含纳氟作为调整层先驱物气体的物种。例如,调整层先驱物气体可包括氟化碳气体或氟碳氢气体。调整层先驱物气体的主要成分可为碳氢化合物气体。在调整层于内处理室表面上达所欲厚度后,晶片会引至处理室中(图19的方块6143),并实施图10、14、15或16的环形等离子CVD制程(图19的方块6144)。
藉环形来源CVD制程沉积碳薄膜:
本发明有用于沉积例如特定光学特性(以紫外光、红外线及可见波长,即「光」波长)或特定电性(诸如导电性或复电容率,例如,于光特性并非特定目的的该等应用中)的碳基薄膜。前述薄膜的电性及光学特性可做调整以符合特定需求。本发明也适用于沉积如碳基薄膜的薄膜,其中所沉积的碳基薄膜层需以相对于硅或其他下方层的选择性进行后续的去除。本发明也适用于沉积如碳基薄膜的薄膜,其中该薄膜为应用于无孔洞缝隙填充而需作保形性控制。本发明也适用于沉积如碳基薄膜的薄膜,其中该薄膜需作应力控制。
碳氢薄膜:
不同电性及光特性的碳薄膜可利用图1的环形等离子来源反应器沉积于晶片上。该制程气体是经由图1的气体分配板18(或经由侧喷嘴20)引入。制程气体可为选自一(或多种)此说明书先前所列的碳氢气体。处理室内由前述气体所产生的射频环形等离子流会使含碳材料的氢沉积于晶片表面上。薄膜可实质上为纯碳,仅具有些微量的氢原子。然而,一般而言结合氢原子的比例很重要,方能使沉积材料为氢化碳。沉积薄膜的导电性可设定在绝缘及半导电性之间。对一经选定波长段而言,沉积层的光学特性可设定于高度吸收性及透明的范围内。电容率(permittivity)可选定为具有低至高强度范围的「实数(real)」(亦即,相对于「实数」分量具有小的「虚数」分量)。电容率可选定为相对于「实数」分量具有低至高范围强度的有效「虚数分量(imaginary component)」。此等电性及光学特性可藉由下列动作的部分或全部组合或任一者予以控制:
(1)调整晶片表面处的离子轰击能量;
(2)调整晶片温度;
(3)选择制程气体的碳氢气体物种(选择气体的碳氢比例);
(4)以氢气稀释制程气体;
(5)以惰性气体(例如氦、氖、氩或氙)稀释制程气体;
(6)相对于晶片表面的含碳自由基物种的通量来调整晶片表面处的电离子(含碳或其他者)通量;
(7)于制程气体中加入下列先驱物添加气体的一者:(a)半导电性增强物种,(b)电阻增强物种;
(8)于所沉积碳层中植入下列一者:(a)半导电性增强物种,(b)电阻增强物种。
于晶片表面处调整离子轰击能量可藉由调整射频偏压电源、射频偏压电压或晶片电压及/或处理室压力的方式完成,同时调整晶片表面处的电离子通量可藉由调整射频等离子来源电源及/或处理室压力及/或稀释气体流率的方式完成。
电离子通量调整:以固定偏压电压及固定压力,增加射频等离子来源电源以提高晶片表面处的电离子通量。晶片表面处的自由基通量也会随来源电源增加。然而,于适中压力以下处(亦即,毫托耳压力至数百毫托耳),晶片处电离子通量相对于自由基通量一般仍会增加(但仍较整体为少)。以固定偏压电压增加射频等离子来源电源,同时降低压力,更可增加晶片处电离子通量相对于自由基通量的比例。以固定来源电源及偏压电压,以氩气或氙气稀释制程气体则倾向于晶片表面处增加电离子通量,同时以氦气或氖稀释则倾向减少晶片表面处的电离子通量。当与制程气体流率有关的稀释气体流率的比例增加时,影响会加剧。于适中压力以下处(亦即,毫托耳压力至数百毫托耳),以固定射频等离子来源电源及偏压电压增加压力会提升晶片表面处的充能离子的通量。
离子能量调整:以固定射频等离子来源电源,增加射频偏压电源或电压会增加晶片表面处的离子轰击能量。以固定射频等离子来源电源及射频偏压电压以及于适中压力以下处(亦即,毫托耳压力至数百毫托耳),增加压力会降低离子能量,但影响并非必然很大。以固定射频等离子来源电源及射频偏压电源以及适中压力以下处(亦即,毫托耳压力至数百毫托耳),增加压力会降低离子能量,且因偏压电压(以固定偏压电源)会由于高等离子离子及电子密度的负载影响而减少故有较大影响。
选择制程气体的碳氢气体物种(选择气体的碳氢比例)会影响沉积材料的光学及电特性。减少气体的碳氢比例一般会降低C:H结合并增加C:C结合,其会增加光吸收性(降低透明度)并增加导电性。其也倾向相对于「实数」分量增加电容率的「虚数」分量。例如,相较于CH4,C3H6可形成具有较高光吸收性及/或导电性的沉积层,而相较于C3H6,C4H6可提供较高光吸收性及/或导电性的沉积层。以氢气稀释制程气体(群)会影响沉积材料的光及电特性。减少氢稀释一般会降低C:H结合并增加C:C结合,其会增加光吸收性(减低透明度)并增加导电性。其也倾向相对于「实数」分量增加电容率的「虚数」分量。除了前述用于调整沉积碳材料的光吸收性的步骤外,光吸收性也可藉由将特定添加材料含纳于沉积材料(例如硼、氮或硫)中的方式提升。此等材料的任一者也可藉由分别含纳先驱物气体(例如B2H6、N2或H2S)于制程气体中的方式加入。加入材料(例如硼、氮或硫)至制程气体也会实质上改善沉积碳材料的热稳定性,使其可快速加热至高温(>摄氏1400度)而不致毁损。
添加材料可强化沉积材料的光吸收性、热稳定性及/或导电性以及/或电容率。氢对硼的比例、添加气体中的氮或硫都会影响沉积层的特性。一般而言,减少气体中氢对其他元素的比例通常会降低C:H结合并增加C:C结合,其会增加光吸收性(减低透明度)并增加导电性。其也倾向相对于「实数」分量增加电容率的「虚数」分量。对较高光吸收性或导电性而言,B5H9(与B2H6相比)或N2(与NH3相比)可能会增加吸收性或导电性至较高程度。由于B2H6有较高反应性,一般必须稀释以策安全,且现在一般是与氦、氩、氢或氮作稀释。氢稀释的B2H6通常可提供较氦稀释的B2H6为强的光吸收性及导电性。氩稀释的B2H6甚至可提供较氦稀释的B2H6为强的光吸收性及导电性。氮稀释的B2H6也可提供较氦稀释或氢稀释的B2H6为强的光吸收性及导电性,且如下文所述可提供协同效果。B5H9则不需稀释,且较B2H6具较高的B与H比例,且可提供较氦或氢稀释的B2H6为高的光吸收性及导电性。前述提及会增加导电性的因素也倾向相对于「实数」分量增加电容率的「虚数」分量。或者,前述后CVD离子植入步骤可使用吸收强化物种(硼、氮或硫)的一者来实施,以将该等物种植入至沉积的碳层。若此后CVD实施步骤是藉由等离子浸没离子植入方式(例如,利用图1的环形等离子来源反应器)实施,则也可使用前述相同制程气体(例如,B2H6、N2或H2S)。
将(a)硼(亦即,B2H6)加上(b)N2或其他形式的氮加入原本的非晶形碳先驱物碳氢气体(即C3H6)亦有协同效果。所沉积碳层的热稳定性(亦即,热特性)于摄氏450度(且特别是较高温度)时会改善。更明确而言,沉积的非晶形碳层可至少激光加热至硅的熔点,而不会使沉积层脱层(delamination)、或剥离等现象。此特征(加入硼与氮)事实上可降低避免脱层或剥离所需的临界晶片电压或临界离子能量。前述用以改善沉积层热特性的特征(即将硼及氮添加物结合至碳氢气体)可在以前数方式控制沉积具有特定电特性的非晶形碳层时实施。其也可利用以沉积非光吸收体的碳层。一般相信调整沉积碳层的特性是依据:(1)调整碳层中结合氢原子的比例,亦即,沉积碳层中C:H键对所有原子键的比例,以及(2)C:C链长度以及(3)碳原子的结合杂化(bonding hybridization)及不同键(亦即,sp3:sp2:sp1)的相关浓度。一般更相信于晶片表面增加离子能量及于晶片表面增加充能离子通量以及增加晶片温度可有如下效果:(1)破坏更多C:C链(以形成较短链)以及(2)破坏更多C:H键(以减少其出现)并形成更多C:C键以及(3)改变碳原子的结合杂化以及不同键(亦即,sp3:sp2:sp1)的相关浓度。藉由减少反应器处理室中制程气体的氢含量,沉积碳层中形成的C:H键数目便可降低。
减少C:C链的长度会改变沉积材料的状态,由软聚合物而至硬非晶形碳。随着沉积碳层中C:H键数目的减少,导电性会由具相当绝缘性而改变为半导电性,同时光特性会自具相当透明改变至相当不透明。因此,于环形等离子CVD制程中沉积碳层的导电性可设定在绝缘及半导电的范围内任一点,同时其光特性可设定在透明及不透明的范围内任一点。
藉离子轰击来减少或破坏C:C键及/或C:H键可能需要非常高的离子能量(例如,以100eV至1keV)。聚合物碳(具长聚合物链)倾向以低晶片温度(少于摄氏100度)形成。聚合物链的长度可藉由离子轰击、甚至是低晶片温度来缩减。或者,晶片温度于环形等离子CVD制程期间可增加(例如,至摄氏400度),以维持短的C:C链长度。改变沉积碳层的光及电特性(需高射频偏压电源)所需非常高的离子能量具有强化碳层与下方晶片、或与先前形成于晶片上的薄膜结构的粘着性的效果,此乃藉由于沉积碳层及下方材料之间形成高稳定性的原子键为的。藉由于沉积碳层中形成压缩应力的方式,其也可强化沉积薄膜对机械破坏或热应力(例如,非常高温)所致的分离的抵抗性。其也会增加薄膜的机械硬度。施加如此高的偏压电压(亦即,>1kV)实质上可改善沉积碳材料的热稳定性,使其可快速加热至高温(>摄氏1400度)而不会破损。除了于环形等离子CVD制程期间加热晶片外,用于强化沉积碳层的光吸收性的额外方法是于完成CVD制程后加热晶片至约摄氏400度。一般相信此步骤可藉由破坏C:H键并于沉积碳层中形成更多C:C键的相同机制以及改变碳原子的结合杂化与不同键(亦即,sp3:sp2:sp1)的相关浓度来强化光吸收性。
将惰性稀释气体加入碳氢先驱物气体可改变该薄膜的电及光特性。例如,加入氦或氖可使薄膜更透明(且更具绝缘性),同时加入氩或氙可使薄膜较不透明(且更具半导电性)。一般相信,对固定射频来源电源及射频偏压电压而言,添加氦会降低离子通量,而加入氩或氙会增加离子通量。增加电离子通量倾向降低薄膜的光透明性及电阻。前述提及可增加导电性的因素也倾向相对于「实数」分量增加电容率的「虚数」分量。以固定射频来源电源增加射频偏压电压可增加晶片表面上离子轰击的离子能量,其会倾向降低薄膜的光透明度及电阻。其也会倾向相对于「实数」分量增加电容率的「虚数」分量。以固定射频偏压电压增加射频来源电源可增加晶片表面的充能离子通量,其会倾向降低薄膜的光透明度及电阻。其也倾向相对于「实数」分量增加电容率的「虚数」分量。以固定射频来源电源及射频偏压电压增加气体压力会增加晶片表面的充能离子通量,其倾向降低薄膜的光透明度及电阻。其且倾向相对于「实数」分量增加电容率的「虚数」分量。
沉积碳层的保形性可藉由调整射频来源电源的方式做调整。藉由调整来源电源以调整沉积层保形性先前已描述于此说明书中。沉积碳层的应力可藉调整射频等离子偏压电源的方式作调整。藉由调整偏压电源来调整沉积层应力先前已描述于此说明书中。
氟碳薄膜:
氟碳制程气体(如选自此说明书中先前所列的氟碳气体的一者)可替代碳氢气体作为CVD制程气体,以于晶片上沉积含氟的碳层。前述层在大范围的波长段时倾向呈透明。含氟碳层在沉积碳层需非常低的介电常数时很有用;其在欲透明碳层时也很有用;其在欲高度绝缘性的碳薄膜时也很有用;其在欲低电容率(相对于「实数」分量具有小「虚数」分量)时也很有用。对氟化碳薄膜而言,较佳的氟化碳气体为C4F6或C3F6。其他氟化碳气体包括C2F4、C2F6、C3F8、C4F8及C5F8。该制程也可用于沉积氟碳氢薄膜。对氟碳氢薄膜而言,也可使用例如CH2F2般的氟碳氢气体。或者,该制程可用于沉积结合碳氢及氟碳材料的薄膜,其中适当碳氢及氟碳气体的结合可作为制程气体。此种含氟薄膜可为非晶形或聚合物。此种含氟薄膜倾向呈透明,取决于氟含量。如此薄膜可具有非常低的介电常数,取决于氟含量。含氟化碳(或氟碳氢)及碳氢两者的薄膜可于透明及吸收之间改变,取决于相关的氢及氟含量。
含氟碳层的特性可以前述用于含碳氢层相同方式来控制,藉由控制碳-碳链长度及控制碳薄膜中F:C键比例及种类的方式为的。该等特性可藉由下列部分或全部动作的一者或结合的方式予以控制:
(1)调整晶片表面处的离子轰击能量;
(2)调整晶片温度;
(3)选择制程气体的氟碳气体物种(选择气体的氟碳比例);
(4)以氟稀释制程气体;
(5)以惰性气体(例如氦、氖、氩或氙)来稀释制程气体;
(6)相对于晶片表面的含碳自由基物种的通量来调整晶片表面处充能离子(含碳或其他者)通量;
(7)于制程气体中加入下列先驱物添加气体的一者:(a)半导电性强化物种;(b)电阻强化物种;
(8)于沉积碳层中植入下列一者:(a)半导电性强化物种;(b)电阻强化物种。
于晶片表面处调整离子轰击能量可藉由调整射频偏压电源、晶片电压及/或处理室压力的方式进行,而晶片表面处充能离子通量的调整可藉由调射频等离子来源电源及/或处理室压力及/或稀释气体流量的方式进行。
充能离子通量调整(energetic ion flux adjustment):以固定偏压电压及固定压力,增加射频等离子来源电源可增加晶片表面处充能离子的通量。晶片表面处的自由基通量也会随来源电源增加。然而,于适中压力以下处(亦即,毫托耳压力至几百托耳),晶片处充能离子通量相对于自由基通量的比例一般仍会增加(但仍较整体为低)。以固定偏压电压增加射频等离子来源电源同时降低压力,更会增加晶片处充能离子通量相对于自由基通量的比例。以固定来源电源及偏压电压,以氩或氙稀释制程气体会倾向增加晶片表面处的充能离子通量,而以氦或氖稀释则倾向降低晶片表面处充能离子通量。此影响在与制程气体流率有关的稀释气体流率的比例增加时会加剧。于适中压力以下处(亦即,毫托耳至几百毫托耳),以固定射频等离子来源电源及偏压电压增加压力会增加晶片表面处的充能离子通量。
离子能量调整:以固定射频等离子来源电源,增加射频偏压电源或电压会增加晶片表面处的离子轰击能量。以固定射频等离子来源电源及射频偏压电压以及以适中压力以下(亦即,毫托耳压力至几百毫托耳)时,增加压力会降低离子能量,不过此影响并非必然很大。以固定射频等离子来源电源及射频偏压电源以及以适中压力以下(亦即,毫托耳压力至几百毫托耳)时,增加压力会降低离子能量,且因偏压电压(以固定偏压电源)会由于高等离子离子及电子密度的负载影响而减少故有较大影响。经沉积氟碳层的保形性是藉由调整射频等离子来源电源的方式作调整。藉调整来源电源以调整经沉积层的保形性已描述于此说明书的先前内容中。经沉积氟碳层的应力是藉由调整射频等离子偏压电源的方式作调整。藉由调整偏压电源以调整沉积层应力已描述于此说明书先前内容中。
氟碳气体及碳氢气体的结合可作为制程气体,以按所欲比例形成含氟及氢的碳层。此比例可用于达成沉积碳层中的所欲保形性或吸收性。方才所述用于调整各个C:F及C:H沉积材料中C:H及C:F键的比例的相同方法也可用于控制结合C:F+C:H沉积碳材料中C:H及C:F键的比例。含氢及氟两者的碳层也可藉由于利用环形来源的等离子制程中将含氟的非氟碳气体加入碳氢气体的方式形成。例如,F2或BF3或SiF4或NF3可加入碳氢气体中。反之,含氢及氟两者的碳层也可藉由于利用环形来源的等离子制程中将含氢的非碳氢气体加入氟碳气体中的方式形成。例如,H2或B2H6或SiH4或NH3可加入氟碳气体中。
光吸收层的低温沉积:
光吸收层(Optical Absorber Layer,OAL)可为非晶形碳层(AmorphousCarbon Layer,ACL),其是利用前述环形等离子源的低温CVD制程作沉积。若光吸收层(OAL)为非晶形碳层(ACL)时,引入处理室的制程气体为碳先驱物气体。吾人已发现,于非晶形碳材料中以所欲波长(例如810纳米)的吸收可藉由加入不纯物材料于碳中的方式予以强化。前述可使非晶形碳于810纳米波长下不透光的不纯物材料的范例的一为硼。于前述情况中,制程气体是由碳先驱物气体(例如丙烯)及硼先驱物气体(例如B2H6)及用于B2H6的稀释气体(例如氢)所组成。虽然氦可作为稀释气体,但吾人发现在有氢存在的情况下,非晶形碳层的光特性可作最佳效果的强化。
图20是接面形成制程的框图,其包括图9的环形等离子来源反应器的低温CVD步骤(用于形成光吸收层(OAL)),其后进行高速光退火步骤(例如图1-8的光源的动态表面退火(DSA)制程)。第一步骤(图20的方块205)为将掺杂不纯物离子植入至半导体材料中,例如结晶硅。对尺寸小于65纳米的几何元件而言,此掺杂离子植入步骤可界定出超浅接面特征,其中植入的掺杂区域并未延伸超过几百埃。掺杂不纯物步骤205可以习知束线植入器或,更明确而言,利用等离子浸没离子植入(P3i)制程(使用图9所示的环形来源反应器类型),如2004年10月14日所公开,由Hiroji Hanawa等人申请的美国专利申请公开第2004/0200417号中。其后步骤(图20的方块210)是于图9的环形等离子来源反应器中实施低温化学气相沉积制程,以于晶片上形成光吸收层。方块201的CVD制程是由下列步骤所组成。首先,将晶片置放于图9的反应器的静电吸盘上(方块211);将制程气体引入反应器处理室中(方块212)。制程气体由用于光吸收层材料的先驱物所组成。例如,若光吸收层为非晶形碳,则制程气体便为(或包括)碳的先驱物。前述碳先驱物气体已于此说明书的先前内容讨论过,且其可为先前所列含碳气体的任何一种(或其组合),包括甲烷、乙炔、乙烯、乙烷、丙烯、丙烷、乙基乙炔(ethyl-acetylene)、1,3-丁二烯(1,3-butadiene)、1-丁烯(1-butene)、n-丁烷(n-butane)、戊烷、己烷、甲苯、甲基苯或乙基乙炔(1-butyne)或其他适当的碳先驱物。于下一步骤中(方块213),射频等离子来源电源是藉由射频产生器30,30’施加,以于图9的再进入管22,22’中产生环形等离子流。吸附电压会施加至静电吸盘以固定晶片,于晶片及静电吸盘间提供紧贴的电力及热耦合。产生器30,30’的射频来源电源电平可设定以于沉积薄膜中达所欲的保形性程度(方块214)。射频偏压电压可藉由射频产生器44施加至晶片,且其电源或电压电平可作调整以于沉积层中达所欲应力位准(图20的方块215)。于此步骤中,沉积层的密度可藉由增加沉积层中的压缩应力的方式增加。此需增加偏压电源或电压,如先前于此说明书有关图13所述者。较佳而言,在先驱物物种包括于沉积的光吸收层中时,引入处理室的添加气体为一种可强化光吸收层的光特性的先驱物物种(方块216)。一般而言,于动态表面退火(DSA)光源的波长(例如810纳米)时,此光特性为吸收或不透光。若光吸收层为非晶形碳,则强化物种可为,例如硼,或氮、氢或其他此说明先前所提及的范例。于沉积制程步骤完成后,晶片会卸离(dechucked),通常藉由将吸附电压设定至零或至卸离电压,接着升举销会将晶片举离静电吸盘,并接着关闭射频来源及/或偏压电源。
方块216的吸收强化步骤可包括以非常短的时间(几秒或几分)加热晶片至适热的温度(例如,摄氏450度)。此加热步骤(其也可于沉积光吸收层后于不同反应器中实施)于某些制程范例中可将光k值(消光系数)由约0.3提高至0.36。光吸收层可沉积至约0.25微米至约1微米间的厚度。在完成方块210的光吸收层沉积制程后,可实施动态表面退火(DSA)制程(图20的方块230)。晶片会置入动态表面退火处理室(方块232),且来自连续波二极体激光阵列的光会藉由图1-8的光源以特定波长(例如810纳米)于晶片上聚焦成细线(方块234)。此光线会横向地扫过整个晶片(方块236)。此步骤中晶片的快速加热已于此说明书的先前内容做过讨论。在完成方块230的动态表面退火步骤后,光吸收层会自晶片去除(方块240)。此步骤可利用习知去除处理室,一般包括一经加热的晶片支撑件及氧气(辐射)源。然而较佳而言,此去除处理室为图9所示的环形等离子反应器类型,其中会引入由氧及/或氮组成的制程气体,并以等离子来源电源产生等离子。也可加热晶片(以经加热的晶片吸盘或等离子加热)及/或作偏压以改善光吸收层或非晶形碳的移除效果。
光吸收强化物种可藉由后CVD离子植入步骤加入光吸收层,此与方块216的步骤(于该步骤中该等物种是藉由含纳于制程气体中的方式于CVD沉积制程期间加入光吸收层)有别。于前述情况中,是将图20的制程变化如图21所示,其中在方块210的低温光吸收层化学气相沉积步骤完成后、且在方块230的动态表面退火步骤之前,会进行后CVD离子植入步骤220以将光吸收强化物种(例如硼)植入于光吸收层中。为达成此目的,可使用习知束线离子植入器,或较佳者,可以前述Hanawa等人的参考公开案所述方法利用图9的P3i(等离子浸没离子植入)环形来源等离子反应器进行。此步骤绘示于图22,其中晶片251具有一上方薄膜结构252,其包括数个掺杂植入区域。该晶片251及薄膜结构252由方块210的步骤所形成的非晶形碳光吸收层253所覆盖。方块220之后CVD离子植入步骤是藉由将离子(例如,硼离子)加速植入至光吸收层253中的方式进行,如图22所示。为避免将硼引入先前形成的超浅接面,需使硼的离子植入深度轮廓良好的位于光吸收层253底部上方。图23A是绘示具有掺杂物植入区域251a、薄膜结构252以及光吸收层253的半导体层(硅)或晶片251。图23B是绘示光吸收层253内光吸收强化物种的离子植入浓度深度轮廓。该植入离子(硼)浓度向下轰击一深度并达光吸收层253底部上方深度几乎零的位置,使底部光吸收层253a未受植入。此特征有两种优点,其一是因存在未植入的底部光吸收层253a,故可避免下方半导体层251受离子植入吸收强化物种的污染。另一优点为,使底部光吸收层253a不受掺杂,将可强化光吸收层及上方材料间的粘附或结合强度或品质。虽然图23B绘示呈斜面或斜升的植入轮廓,但离子植入轮廓也可较为陡峭,以使光吸收层253整个植入区域(指较上方者)有相当均匀(而非倾斜)的植入物种分布,而作为深度的函数。
消光系数或折射率的虚数部可于无需离子植入吸收强化物种下斜升。例如,于CVD沉积步骤期间加入光吸收层的光吸收强化物种的浓度深度轮廓可呈斜升。藉由变化图20的制程以包括一步骤的方式可达前述效果,其中该步骤中加入方块216步骤的吸收强化物种的比例于CVD沉积步骤期间是随时间呈斜升或梯状。或者,特定制程参数(例如偏压电源)于CVD沉积步骤期间是随时间呈斜升或梯状。此等变化图示于图24中,其中方块210的CVD沉积制程中是以两步骤的任一(或两者)作为结束。该第一步骤(图24的方块261)会随方块210的CVD沉积步骤期间进入吸收强化气体先驱物种(例如B2H6)的处理室的气体流率的时间而斜升。其他步骤(图24的方块262)会随方块210的沉积步骤期间特定制程参数(例如偏压电源或电压)的时间而斜升。偏压电源或电压的斜升(ramping)会形成斜升深度分布的压缩应力,及因此光吸收层253中密度的缩减。密度会影响吸收性并因此斜升偏压电压会倾向使光吸收层的吸收特性随光吸收层内深度的函数而斜升。图25为一图表,说明制程气体中吸收强化物种先驱物气体的小部分是如何随时间(或CVD层厚度)由底部光吸收层的最小厚度T处开始向上斜升。图26为一图表,说明方块210的CVD沉积制程期间晶片偏压电压是如何随时间增加。在达最小层厚度T之前并不会施加偏压电压。前述后者具有两种优点,第一是在下方层暴露且未保护前,藉由移除沉积开始时的晶片偏压以避免非预期的将不纯物植入至下方半导体层。第二为,在底部光吸收层上缺乏偏压电压可最小化光吸收层/晶片接口处的应力,而帮助此接口处的结合并可避免在移除光吸收层后于下方层上留下应力痕迹。图27是绘示光吸收层253及下方层251,252的正视图。该光吸收层253包括一纯净且未受应力的底部层253a,而上方部分具有压缩应力及随高度会增加的不纯物浓度。
图20的制程可作变化以藉于光吸收层253内形成抗反射涂层的方式强化光吸收性。此特征可结合或取代前述吸收强化制程步骤的任一者的方式为的。此变化是示于图28中,其中CVD制程210是于高k值(不透明)及低k值(透明)交替的光吸收层中形成连续次层的方块217步骤作为结束。名词「k值」是指消光系数、处于动态表面退火光源波长(例如810纳米)的折射率的虚数部。于图28中,方块210的步骤的光吸收层沉积步骤包括方块217步骤,即以图1-8图的动态表面退火光源的波长形成可替代的高及低k值的光吸收层的连续次层。此步骤可包括下列步骤的任一者:(a)使吸收强化添加气体流以步进方式(即开启及关闭)进入处理室(图28的方块217a);(b)使添加气体内容交替于吸收强化添加气体物种(例如,含硼气体)及透明性强化添加气体物种(例如,含氟添加气体)之间(图28的方块217b);(c)使CVD制程参数交替于促进形成高k值材料的数值以及促进形成低k值材料的数值之间(图28的方块217c)。
图29A为一图表,说明反应器处理室中总制程气体的(添加性)吸收强化物种先驱物气体的部分组成物作为时间函数,其是依据图28的方块217a步骤随时间呈步进或有节奏地向上及向下跳动。此可藉由跳动添加性气体流率(以可界定不透明层(群)的「启动」时间期间以及可界定较不透明(或几乎透明)层(群)的「关闭」时间)来进行。跳动数目可决定抗反射涂层中不透明及非不透明层的交替数目。其光学厚度一般是对应于动态表面退火光源波长的四分之一。图29B为一图表,说明反应器处理室中总制程气体的添加性气体的部分组成物作为时间函数,其是依据图28的方块217b步骤交替于吸收强化物种先驱物(例如,含硼气体)及透明强化物种先驱物(例如,含氟气体)之间。吸收强化添加性气体流率的「准时(on time)」可决定光吸收层的抗反射部段中不透光层的厚度,而透明强化添加性气体流的准时可决定光吸收层的抗反射部段中透明层的厚度。图29C为一图表,说明影响沉积材料吸收性的选定制程参数(例如射频偏压电源)的数值作为时间函数。于图29C中,制程参数值是依据图28的方块217c步骤于低及高数值间跳动。此步骤可结合方块217a或217b的任一者步骤。在制程参数为偏压电源时,高数值会于沉积材料中形成较具压缩性的应力,使其较密集并藉以强化其吸收性或消光系数k,而较低数值会形成具较小k值的次层。其他会影响沉积材料的光吸收性的制程参数可以相同方式跳动以强化效果。前述添加性制程参数可包括处理室压力、晶片温度、来源电源、初始沉积材料先驱物气体(在非晶形碳光吸收层的情况中为含碳气体)的气体流率。
图30是图示一包括由前述步骤的任一者所形成的抗反射部段的光吸收层。该光吸收层(可为非晶形碳层)是形成于晶片251上方,并由低温CVD制程形成其薄膜结构252。图28的方块217步骤是于该CVD制程的至少一部份中实施,以使光吸收层253的部段253a由交替的不透明及非不透明层253a-1、253a-2、253a-3、253a-4组成。若替换层253a-1至253a-4为适当厚度(例如,动态表面退火光源的四分之一波长),则部段253为光吸收层内的抗反射涂层。或者,抗反射部段253a(图30示为光吸收层253的内部)亦可作为光吸收层253剩余部分的上部上的涂层。
虽然前述范例是关于光吸收层(其中光吸收性已被最大化),前述低温CVD制程可用于形成光吸收层或于光源波长处具有低光吸收性非晶形碳层。此可藉由,例如,避免于光吸收层中含纳或加入硼或其他吸收强化不纯物的方式达成。于纯非晶形碳光吸收层的情况中,低温CVD制程会于图3的砷化镓二极体激光阵列的波长(810纳米)形成相当透明的层。若欲更透明(或较少不透明/吸收度),则可藉由将适当先驱物(例如含氟)气体加入CVD制程中或藉由后CVD离子植入步骤的方式将透明强化不纯物(例如氟)加入光吸收层。
图31绘示半导体晶片40及可于晶片上实施动态表面退火制程的动态表面退火光源260(图1-8),以实施图20、21、24或28任一者的方块230步骤。如图31所示,晶片40是涂覆前述低温CVD制程中沉积的光吸收层253。光吸收层253具有前述许多或全部任一者的特征,例如,非晶形碳初始材料及吸收强化特征,例如于CVD制程期间或后CVD离子植入制程期间引入的吸收强化不纯物、抗反射部段或涂层及/或经强化的密度。图31的动态表面退火光源包括激光条状阵列132、微小透镜阵列140、一选择性的中介物(interleaver)142、一选择性极化多工器(polarizationmultiplexer)152、一系列透镜162,164,166、一均质化光管170及聚焦光学仪器180,182的快轴、以及高温计161,其皆已描述于先前图1-8的内容中。图31所示的观点是沿光源快轴。光束则沿光源慢轴(横向或垂直快轴)相对于晶片40移动。
图32是绘示用于退火晶片中半导体接面(超浅接面)的集成系统的一实施例。图32的集成系统是采单一平台上的「双」配置,该单一平台具有共同晶片处理机器人或机械310,其上并结合一对不同工具。更明确而言,机器人晶片处理器310是与一对输入/输出晶片端口315a,315b、一对前述图9类型的环形等离子源低温CVD反应器处理室320a,320b、一对动态表面退火处理室325a,325b(各具有一前述图1-8类型的完整光源)以及一对光吸收层去除处理室330a,330b等接口接合。图33说明另一集成系统的实施例,用以形成并退火半导体接面且其可实施前述图20-29所有步骤及制程。图33的该集成系统具有一晶片处理器350,其具晶片输入/输出端口或工厂接口355,355’。下列工具或反应器处理室可耦接至晶片处理器350:一超浅接面掺杂物离子植入反应器365、一后离子植入光阻去除处理室367、一图9所示类型的环形等离子源反应器370(可用以进行低温CVD形成光吸收层)、一后CVD离子植入反应器375(用以植入光吸收强化不纯物或添加物至反应器370中晶片上所沉积的光吸收层)、一包括图31动态表面退火光源260的动态表面退火处理室380以及一光吸收层去除处理室385(用以实施后动态表面退火光吸收层移除制程)。可在后离子植入光阻去除处理室367或光吸收层去除处理室385之后使用一湿式清洗室。
预植入晶片清洁反应器360可为习知清洁反应器,但也可为图9所示另一种环形来源等离子反应器,其中会将清洁气体(例如,含氢或含氧或含氟气体或含氮气体或惰性气体如氦、氖、氩或氙)引入反应器同时形成等离子。掺杂离子植入反应器365可为习知离子束植入器或等离子源浸没离子植入(Plsama immersion ion implantation,P3i)反应器。前述P3i反应器可为图9所示类型的环形来源反应器,用以实施本说明书先前所述的P3i接面形成制程(参照Hiroji Hanawa等人的公开申请案)。后CVD离子植入反应器375可为习知离子束植入器或可为P3i反应器。前述P3i反应器可为图9所示类型的环形来源反应器,用以实施本说明书先前讨论的P3i制程(参照Hiroji Hanawa等人的公开申请案)。然而于此情况下,植入物种为光吸收强化物种先驱物气体,例如含硼气体。光吸收层去除反应器385可为习知反应器,用以自晶片移除光吸收层。若光吸收层为非晶形碳,则去除处理室385会利用氧及/或氮气并可加热晶片及/或偏压该晶片以加速移除制程。然而,光吸收层去除反应室385可为图9所示类型的环形等离子源反应器,其中是将含氧及/或氮气体、含氢气体或含氟气体引入并以等离子电源产生等离子。晶片也可加热(以加热的晶片吸盘或等离子加热)及/或偏压以改善光吸收层或非晶形碳的移除。例如,于环形等离子源去除反应器中,晶片是置放于摄氏250度加热的静电吸盘。于第一步骤中,O2、H2、N2及NF3的气体混合物会流入环形等离子来源反应器。2千瓦的射频环形电源会施加至两环形等离子源的各者。500伏特的射频偏压电压会施加至静电吸盘。在部分去除非晶形碳后,于第二步骤中,O2、H2、N2的气体混合物会流入环形等离子来源反应器。1千瓦的射频环形电源会施加至两环形等离子电源的各者。50伏特的射频偏压电压可施加至静电吸盘。第二步骤会实施直至已移除非晶形碳。亦可选择性实施的是,对应等离子中碳存在与否的光发射线终点讯号可作监测,且可选择性启始去除制程至结束。例如,激发的一氧化碳发射线可用以指出等离子中存有碳副产物。当一氧化碳发射线讯号消失时,表示碳层已被移除。前述用于移除光吸收层的去除制程也可作为光吸收层沉积反应器(用以沉积碳光吸收层)中的处理室清洁制程,以在晶片移出后或送入处理室前移除碳及其他沉积在处理室表面上的材料。一般而言,对用以沉积任何含碳层(无论是否其具有特定光或电特性)的环形等离子反应器而言,前述两步骤碳去除制程在晶片送入之前或晶片移出处理室之后也可作为处理室清洁制程。例如,此碳去除制程也可作为前述图19方块6141的处理室清洁步骤。
制程范例:
下文为用于光吸收层沉积的碳先驱物的部分列表:
碳(C)    氢(H)
甲烷           1        4
乙炔           2        2
乙烯           2        4
乙烷           2        6
丙烯           3        6
丙烷           3        8
乙基乙炔       4        6        乙基乙炔(1-butyne)
1,3-丁二烯    4        6
1-丁烯         4        8
n-丁烷         4        10
戊烷           5        12
己烷           6        14
                                 甲基苯
甲苯           7        8        (C6H5CH3)
其他先驱物(例如氟碳化物)也可使用,但与碳氢化物相比,在激光光辐射波长下通常会有较差的吸收性(亦即,消光系数或复折射率的虚数部)。氟碳化物可因此在需沉积一层、或一层的一部份(较透明或较不具吸收性/不透光)时特别有用。较佳的碳氢气体为C4F6或C3F6。其他碳氢气体包括C2F4、C2F6、C3F8、C4F8及C5F8。用以进一步强化光特性的不纯物范例为B2H6、BF3、B5H9、PH3、PF3、AsH3、AsF5、SiH4、SiF4、GeH4、GeF4,且伴随通常可提供较掺杂氟化物为佳的吸收性的氢化物。于一范例中,在300mm硅晶片上,C3H6是以600sccm的流率作为碳先驱物气体,伴随着20sccm流率的硼先驱物B2H6、180sccm流率的H2以及15毫托耳制程处理室压力且200sccm流率的氩稀释气体。并对以交叉环状配置的两再进入管的各者施加2千瓦的射频环形电源(以约12-14MHz的频率)。射频偏压电压(以1-3MHz的频率)在几秒后会由0斜升至7千瓦的峰值对峰值,此需要约8千瓦的射频偏压电源。静电晶片吸盘则维持在-20至+40的范围中,且晶片温度约为摄氏80度至140度。对一分钟制程时间而言,薄膜厚度约为0.25微米,且在约800纳米的激光波长时「k」值约为0.36。薄膜厚度与沉积时间成线性关是,曲变(yielding)三分钟约0.75微米。硼先驱物B2H6(最大10-20%)常用于与H2、He、Ar或N2稀释,因在100%时其高反应性会阻碍可用率。虽然H2或He稀释为最佳,但也可使用Ar或N2稀释。其他硼先驱物也可使用。若不使用硼,前述范例条件在激光波长约800纳米时会产出「k」值约0.18的薄膜。也可不使用硼而加入N2:在使用N2而不使用硼时,前述范例条件在激光波长约800纳米时会产出「k」值约0.25的薄膜。若为某些应用薄膜欲较低「k」值时,可加入H2。在H2以200-400sccm流率加入且未加入硼或N2时,前述范例条件在激光波长约800纳米时会产出「k」值约0.04的薄膜。或者或可额外进行的是,可加入含氟气体以得低「k」值薄膜。
非晶形碳薄膜可藉由控制「k」值(吸收性或消光系数或复折射率的虚数部)在一大范围内的方式作沉积,同时于起伏特征上提供良好的阶梯覆盖率、无孔洞及控制薄膜应力以改善热特性及避免破裂或剥离,甚至在受到激光退火或习知退火时亦然。吸盘或晶片温度可较低以增加沉积率而不需牺牲「k」值或其他薄膜特性。于摄氏450度处理数秒可将「k」值增加至约0.36。该层可有效吸收激光,使掺杂硅能活化同时维持吸收层的整体性。晶片表面便可达熔点温度而不会造成吸收层毁损。在退火后,吸收层可以习知方法(如光阻去除/清洁制程)去除并清洁。或者,去除制程也可回到相同或不同等离子处理室(具有前述利用氧或氧/氮混合物的环形等离子源)。
沉积制程也可为多步骤(如第24及28图所讨论)。于前述段落的范例中,硼先驱物也可刻意延迟引入直至沉积初始不含硼的层,以避免可能的晶片掺杂。例如延迟3秒,可得厚度约100-150埃的不含硼层。偏压电压也可刻意延迟引入直至仅初始来源电源沉积制程。此可用以避免植入沉积先驱物至晶片表面,且此等可独立或共同使用。于一实施例中,硼先驱物的引入及偏压电压启始是延迟3秒,接着加入硼先驱物,并接着在另外3秒延迟后斜升或步进提升偏压电压。此方式可降低沉积或植入硼或碳的可能性。或者,N2(而非硼)会在最初3秒延迟后加入,并在另外3秒延迟后步进增加偏压电压。于另一实施例中,N2(而非硼)会在最初3秒延迟后加入,并在另外3秒延迟后步进增加偏压电压,接着在60秒后,会为其余制程起始硼先驱物(随着或不随N2)。于光吸收层处于所欲波长时(例如810纳米)沉积非晶形碳薄膜的低温环形等离子CVD制程中,在将(1)硼(亦即,B2H6)加上(2)N2或其他形式的氮加入初始非晶形碳先驱物碳氢气体(亦即C3H6)时会有加乘效果。沉积碳层的热稳定性于摄氏450度时会改善,且更高温度时更为明显。更明确而言,沉积的非晶形碳层可为激光加热至或高于硅的熔点温度而不会造成沉积层的分层或剥离等现象。此特征事实上会降低一般所需的临界晶片电压或临界离子能量以避免分层或剥离。前述于碳氢气体中结合硼及氮添加物的特征也可在沉积光吸收非晶形碳层时使用,且也可用以沉积非光吸收的碳层。于另一范例中,于300mm的硅晶片上,氩本身可以800sccm的流率及30毫托耳的压力引入,以利用1千瓦(以约12-14MHz的频率)射频环形来源电源对两再进入管(以交叉环状配置)的每一者起始等离子。在等离子起始步骤之后,节流阀会作调整以降低处理室压力至15毫托耳,并在剩余的沉积制程中予以维持。继而,氩气流会降低至200sccm流率并以600sccm流率引入C3H6以作为碳先驱物气体,并将环形来源电源电平提升至每管2千瓦约3秒钟以沉积初始接口层。(在剩余沉积制程中环形来源电源电平是维持在每管2千瓦)。接着将N2以333sccm的流率引入且在几秒后射频偏压电压(以1-3MHz的频率)由0或低初始值斜升至7千瓦的峰值对峰值,此需要约8千瓦的射频偏压电源。在约40秒后,B2H6是以20sccm的流率随氢稀释气体(以180sccm的流率)并中断N2流(选择性实施)引入。此步骤实施140秒。于整个进行期间,静电晶片吸盘会维持在-20至+40的范围中,且晶片温度约摄氏80度至140度。对于约3分钟的总制程时间来说,薄膜厚度约为0.75微米,且「k」值于约800纳米的激光波长下约为0.36。薄膜有良好热稳定性及保形性,且有下方晶片表面最小的植入损害。且,其在先前所述的环形去除处理室、或于摄氏250度晶片温度的习知下游自由基去除制程处理室中,其皆可利用氮与氧的混合物及少于10%的CF4去除。CF4或可替换的氟来源可在初始上方含硼层被去除(氟或替代的氢可协助移除硼)后终止,在其后习知氮及氧便可以最小伤害的方式有效移除下方晶片表面的剩余薄膜厚度。
铜退火
本发明可实施以解决高深宽比开口中铜导体沉积的问题。前述问题包括高深宽比开口内较差的垂直侧壁覆盖。
在铜沉积前沉积氮化钽/钽阻障层可能会引起第一个问题是,高深宽比开口侧壁内阻障层的覆盖并不均匀。阻障层金属部分(钽)的顶部角落边缘易受影响而于等离子沉积期间喷溅,使材料脱离顶部角落边缘而沉积在侧壁表面,并于侧壁上形成颈部突出,因而限制开口底部中颈部突出下方的沉积仅有非常薄的覆盖。这第一个问题藉由利用图1-8的动态表面退火(Dynamic Surface Anneal,DSA)激光光源来退火阻障层的金属部分(钽)可获解决。前述退火步骤会使高深宽比开口侧壁上的金属材料(钽)回填,藉以至少几乎排除例如钽材料侧壁颈部突出的不均匀性,并补足颈部突出以下的薄钽沉积。在回填制程期间表面张力会迫使钽材料其自身较均匀的重新分配在侧壁表面上。
第二个问题与第一个问题类似,是在沉积铜晶种层于阻障层的高深宽比开口中的上方期间出现,其中覆盖于高深宽比开口内壁的同晶种层并不均匀。同晶种层的顶部角落边缘在其等离子沉积期间易于受影响而喷溅,使铜材料脱离顶部角落边缘而沉积在侧壁表面上,并于侧壁上形成铜的颈部突出,因而限制开口底部中颈部突出下方的铜沉积仅有非常薄的覆盖。此第二个问题藉由利用图1-8的动态表面退火(Dynamic Surface Anneal,DSA)激光光源来退火同晶种层可获解决。前述退火步骤会使高深宽比开口侧壁上的铜材料回填,藉以至少排除例如铜材料侧壁颈部突出的不均匀性,并补足颈部突出以下的薄铜沉积。在回填制程期间表面张力会迫使铜材料其自身较均匀的重新分配在侧壁表面上,形成较均匀的铜晶种层。
第三个问题是,填充高深宽比开口剩余部分的电镀铜导电层倾向在整个铜结晶晶粒尺寸上有非常大的变化,甚得小如5nm而大至200nm的晶粒尺寸。铜导电层内这样大的晶粒尺寸变化会引起诸多问题,包括孔洞的附聚作用以及添加物于晶粒边界集结。前述问题会导致电流流过铜导体期间的铜电迁移现象,而可能使铜导体失败。此问题藉由退火电镀碳吸收层可获解决,即利用环形来源的CVD制程(包括图9至图19所述特征)以及光退火步骤(例如利用图1至图8的DSA激光光源)沉积非晶形碳吸收层。化学机械研磨步骤形成铜导体镶嵌结构可、或可不继续沉积非晶形碳层。退火制程会使晶粒尺寸分布较为窄且将每个金属线宽在100nm等级的介层洞集中在约100nm。在整个电镀铜导电层中前述均匀的晶粒尺寸可藉由将铜金属内连线截面内的电流密度维持在低于电迁移失败临界电流密度的方式来解决铜电迁移的问题。
图34A、34B、34C表示依据本发明不同实施态样形成阻障层、铜晶种层以及铜块导电层的制程的流程图。图34A-34C的制程起始于图35A所示的薄膜结构,其中介电层400具有窄的深宽比开口401(例如介层洞)形成其中,其上并已沉积有阻障层的介电质(氮化钽)部分402。实施金属(钽)沉积步骤(图34A的方块404)以形成阻障层的上金属部分406(如图35所示)。氮化钽下方部402以及钽上方部406两者一起形成完整的阻障层,其能避免铜迁移至介电层400中。方块404的等离子增强型物理气相沉积制程的溅镀特性可自钽金属层406的顶部角落边缘移除材料(使的相当薄),并将该材料运载至开口401的侧壁正面部分,以形成颈部突出408。突出408会切断侧壁部分在其以下的钽沉积,使钽在靠近开口401底部有相当薄的覆盖。此高度不均匀的钽沉积厚度可藉由利用光源(例如图1-8的DSA光源,如图34A的方块410)的退火步骤转为均匀的厚度。方块410的退火步骤可将钽层406加热至钽熔点温度,使钽层406中的钽材料回填至较均匀的厚度分布,藉以减少或消除突出408,并增加层406的底部厚度,如图35C所示。
于一替代实施例中,方块410的光退火步骤包括首次沉积含非晶形碳的光吸收层的主要步骤。为此,非晶形碳吸收层会先沉积在钽金属层406上。此可藉由实施图10的环形源化学气相沉积制程(利用图9的环形等离子源反应器)的方式达成。此步骤会于钽金属层406上形成非晶形碳的光吸收层。该光吸收层的光学及机械特性可参照前述图11-28内容进行调整。
其次步骤(图34A的方块412)是沉积铜(较佳于等离子增强型物理气相沉积制程中)以形成铜晶种层414,如图35D所示。等离子增强型PVD制程的溅镀特性会于铜晶种层中形成颈部突出416。然此问题可藉由实施退火步骤(图34B的方块418)而获解决,其是利用光源(例如图1-8DSA激光光源)以将铜晶种层414加热至铜的熔点温度,例如约摄氏1082度。晶种层414的铜材料会于此步骤期间回填,藉以消除铜颈部突出416并形成较均匀的厚铜晶种层,如图35E所示。
于一替代实施例中,方块418的光退火步骤包括首次沉积含非晶形碳光吸收层的主要步骤。为此,非晶形碳吸收层会先沉积于铜晶种层414上。此可藉由实施图10的环形源化学气相沉积制程达成,即利用图9的环形等离子源反应器。此步骤可于铜晶种层414上形成非晶形碳的光吸收层。该光吸收层的光学及机械特性可参照前述图11-28的内容作调整。
在图1-8利用DSA激光光源中,方块418的步骤是如下述方式实施。各由数个(例如49个)线性排列的激光发射器(见图4)组成的数个(例如14个)平行激光条134是沿图1扫瞄设备的慢轴对齐。较佳而言,该等激光为连续波激光,例如砷化镓激光。来自激光条134的光会沿图1该设备的快扫瞄轴藉由柱形透镜140(安置或连接于各激光条134上)聚集(图34B的方块418a)。来自柱形透镜140的该快轴聚集的束会接着沿图5的光管均质器进行均质化,以沿慢轴提供多个反射而沿快轴无反射(如图34B的方块418b)。来自均质器170的光束输出会接着聚焦成细的直线,沿慢轴延伸(图34B的方块418c)。此线束会以快轴方向扫过整个晶片(第34图的方块418d)。
用于形成铜导体制程的下一步骤是绘示于图35F,且由铜晶种层上的沉积铜构成,以填充介层洞401(图34B的方块420)。此步骤可藉由电镀铜实施。结果绘示于图35G中,其中电镀步骤已形成大部分铜层,填充晶种层414上的介层洞401部分。如图35G符号所示,由结晶铜晶粒组成的铜层422具有大范围的晶粒尺寸,由最小晶粒的5nm延伸至最大晶粒的200nm。如前文所述,晶粒尺寸中这样大的差异会导致铜导电层422中的电迁移现象。此问题可藉由光退火该利用非晶形碳吸收层的铜层422的方式来解决。来自退火步骤的热会将材料温度短暂提升至其熔点温度(摄氏1070度),使铜层422的结构重新形成由均匀尺寸铜晶粒所组成者。为此,非晶形碳吸收层会先沉积在图35G的铜层422上(图34C的方块424)。此可藉由实施图10的环形源化学气相沉积制程的方式达成,即利用图9的环形等离子源反应器。此步骤可于铜导电层422上形成非晶形碳光吸收层426,如图35图中所示。光吸收层426的光学及机械特性可藉由前述图11-28所述内容作调整。接着实施光退火步骤(图34C的方块428)。此步骤可如前述方块418的光退火步骤相同方式实施,利用图1-8的DSA激光光源。于此情况下,方块428的光退火步骤可藉由下列步骤实施。
各由数个(例如49个)线性排列的激光发射器(图4)组成的数个(例如14个)平行激光条134是沿图1扫瞄设备的慢轴对齐。较佳而言,该等激光为连续波激光,例如砷化镓激光。来自激光条134的光会沿图1该设备的快扫瞄轴藉由柱形透镜140(安置或连接于各激光条134上)聚集(图34C的方块428a)。来自柱形透镜140的该快轴聚集的束会接着沿图5的光管均质器170进行均质化,以沿慢轴提供多个反射而沿快轴无反射(如图34C的方块428b)。来自均质器170的光束输出会接着聚焦成细的直线,沿慢轴延伸(图34C的方块428c)。此线束会以快轴方向扫过整个晶片(图34C的方块428d)。
在铜层422的光退火后,非晶形碳光吸收层426会被剥除(图34C的方块430),较佳利用说明书前述光吸收层去除制程为的。接着,晶片作化学机械研磨(图34C的方块432)以形成图35I所示的平坦结构。
参照图36,方块432的化学机械研磨步骤可在方块420的铜电镀步骤后、以及方块424的非晶形碳光吸收层沉积之前立即实施。于此情况下,方块432的化学机械研磨步骤可将图37A的结构(即具有铜层及延伸于介层洞401顶部上方的阻障层)转变为图37B所示的平坦结构。方块424的步骤中形成的非晶形碳层会位于平坦表面上,如图37C所示。方块428的光退火步骤则会转变铜材料的晶粒结构,如图37D所示。方块430的碳层移除步骤可形成图37E暴露的导体结构,并选择移除化学物以不伤害暴露出的铜表面。
激光可写式碳掩膜:
用于控制非晶形碳层的光学特性的特征已于本说明书先前参照图14-19图的内容描述。可利用前述特征以形成光学可写式非晶形碳掩膜,以控制光阻的曝光或控制晶片在光退火步骤中对光的暴露。
图38A及图38B代表用以利用光阻上光学可写式非晶形碳掩膜的制程序列。薄膜结构436绘示于图39A中具有底层436a及欲依所欲图案蚀刻的上方层436b。上方层436b可为,例如,多晶硅、金属或介电材料。光阻层438是沉积于上方层436b上,如第39B图所示(图38A的方块440)。前述图10所述的环形等离子化学气相沉积制程是经实施(图38B的方块442)以沉积所欲光特性的非晶形碳层444(图39C)。例如,该碳层444可为透明,便需于沉积制程中使用氟。
光学图案可利用如激光光束写入碳层(图38A的方块446)。激光的波长及/或强度应使非晶形碳层444在以激光光束照射时于此等区域转为不透明,如图39C所示。波长可为,例如810nm,取决于碳层444的化学气相沉积中所包含的物种(氢、氟、硼等)以及加入该碳层444的物种。于一实施例中,激光光束是依据所欲光罩图案作光栅扫瞄。此可将非晶形碳层444转成光罩。接着图39C的薄膜结构会暴露于光阻会感应的光波长(如UV光)下,如图38B的方块448。如图39D所示,碳层444中的不透明区域会阻挡来自光阻438的光,而碳层444的透明区域会允许光至光阻层。非晶形碳层可除去(第38B图的方块450)以形成图39E的薄膜结构。光阻层438包括未暴露于UV光的区域438a。光阻438会以显影化学物及溶剂处理(第38B图的方块452),而选择性移除光阻所暴露的区域,仅留下未暴露区域438a成为本实施例中的负光阻,如图39F所示。(或者,可利用正光阻以得相反结果)。图39F的结构接着进行蚀刻制程(图38B的方块454),其中光阻区域438a会遮档上方层436b的部分不受蚀刻剂影响,形成图39G的薄膜结构。光阻438a接着移除,完成整个制程。
可利用激光可写式非晶形碳掩膜以界定出光退火制程(例如快速热处理退火)中薄膜结构、或半导体层的不同区域对光的选择性暴露。此有利于对半导体晶片或薄膜层仅有经选择的区域选择性退火一特定时间。第一步骤(图40的方块460)是实施图10的环形等离子源CVD制程,以沉积透明非晶形碳层462于图41A所示的下方层或半导体基底464上。于较佳实施例中,非晶形碳层包含除碳以外的物种(例如,氟、氢以及类似者),并以可使非晶形碳层透明的比例存在。如本说明书先前所述,此种物种可包括在非晶形碳层中,因其构成等离子CVD制程或后CVD离子植入的制程气体的一部份。继而,激光光束以光栅扫瞄过整个非晶形碳层462(图40的方块466)。激光光束的波长及/或强度应使非晶形碳层的该等部分暴露于该光束时可由透明转为不透明材料,如图41B所示。波长可为,例如810nm,取决于非晶形的组成物。此步骤可将非晶形碳层转成光罩,以承接激光光束的光栅扫瞄所建立的图案。晶片接着作光退火(方块468)。若,例如,光退火制程为快速热处理(RTP),则可利用高强度灯具。如图41C所示,光可仅加热碳掩膜462的透明区域下方的下方层464区域,其余区域则因碳掩膜464不透光区域吸收光而被掩蔽。接着移除碳掩膜(图40的方块470)以完成制程,留下经选择性退火的层(具有经界定或不连续退火的区域)如图41D所示。
用于沟渠或栅极蚀刻的非晶形碳硬掩膜
可实施图10-19的环形等离子CVD制程以形成用于蚀刻制程的非晶形碳硬掩膜,用以形成高深宽比开口(介层洞或沟渠)、或界定如多晶硅或金属导体或栅极等特征。图42A及图42B绘示用于蚀刻高深宽比开口的制程步骤,其是利用环形等离子源CVD制程中形成的非晶形碳硬掩膜。首先图10-19前述类型的环形等离子源CVD制程可实施以沉积非晶形碳层(图42A的方块474)。此可形成图43A的薄膜结构,由基底层(例如结晶硅)476所组成。此步骤可形成可形成图43A的薄膜结构,包括下方层478及下方非晶形碳薄膜480。抗反射涂层482(图43B)是沉积于非晶形碳层476上方(图42A的方块484)。光阻层486(图43C)沉积于该抗反射涂层482上(图42A的方块488)。具有所欲图案的光罩490置于光阻486上方,并曝光(如,于UV光下)光阻,如图43D所示(图42A的方块492)。接着移除光罩490并显影光阻,移除经曝光的光阻(图42A的方块494),如图43E所示。抗反射涂层482接着利用光阻作为蚀刻掩膜而蚀刻,并接着利用灰化移除光阻(图42B的方块496)。此方式可得图43F的薄膜结构,其中抗反射涂层482在光阻被事先移除后作图案化。非晶形碳层接着利用经图案的抗反射涂层482作为掩膜而蚀刻(图42B的方块498),以形成图43G的薄膜结构,其中碳层480带有原先光罩486的图案,如图43G所示。此步骤可移除抗反射涂层482。最后,下方层478利用碳层作为掩膜而蚀刻(图42B的方块500)以形成与碳掩膜480中该等开口对齐的高深宽比开口,如图43H所示。
图44A及图44途中所示类似制程可应用以光微影界定下方层上所沉积的特征,例如介电层上沉积的多晶硅导体线或多晶硅或薄栅极氧化物层上沉积的金属栅极电极。参照图45A,上方层505(例如由铝或多晶硅组成的导体材料)是沉积于下方层510(例如由二氧化硅组成的介电材料)上(图44A的方块515)。继而,可实施图10所述类型的环形等离子CVD制程以于导电层510上沉积非晶形碳层520,如图45B所示(图44A的方块525)。非晶形碳层较佳具有的组合物应可使其较不受蚀刻剂(倾向蚀刻导电上方层505)影响。例如,非晶形碳层520可包含氢以使非晶形碳材料为碳氢化合物。其他适合的添加物种可于沉积制程期间(或紧接着其后)包纳或加至非晶形碳层520,如此说明书先前所载。图45C所示的抗反射涂层530及上方光阻层535是沉积于非晶形碳层520上方(图44A的方块540及545)。如图45D所示,电路图案是利用光罩或标线板550经暴露于光波长下(例如UV光,光阻对此波长有反应)而印于光阻535上(图44A的方块555)。光阻经显影(图44B的方块560)而移除未显影部分,如图44E所示。抗反射涂层530在光阻层535作为蚀刻掩膜下被蚀刻,接着移除光阻层535(图44B的方块565),如图45F所示。非晶形碳层520在抗反射涂层作为蚀刻掩膜下被蚀刻(图44B的方块570)。此步骤中所用的蚀刻剂较佳对于非晶形碳具选择性,且对抗反射涂层材料不具选择性。此步骤可移除抗反射涂层,并留下与原光阻图案对应的非晶形碳层图案,如图45G所示。此步骤会将非晶形碳层520转为硬掩膜。接着以非晶形碳层520作为蚀刻掩膜来蚀刻该沉积的导电层505(图44B的方块575)。此最后步骤于沉积导电层505中形成复制原光阻的图案,如图45H所示。
虽然本发明已参照该等较佳实施例详细描述,然应可理解其亦可在不悖离本发明精神及范围下作改变或润饰。

Claims (18)

1.一种利用一光学可写式掩膜处理一半导体基材上的薄膜结构的方法,该方法包括下列步骤:
将该基材置放于一反应器处理室中,该基材于其表面上具有一欲依据预定图案作蚀刻的目标层;
藉由下列方式沉积一含碳硬掩膜层于该基材上:
(a)将一含碳制程气体引入该处理室中;
(b)藉由将等离子射频电源耦合至一再进入路径的一外部的方式,于该再进入路径中形成再进入环形射频等离子电流,其中该再进入路径包括一位于该工作件上方的制程区;
(c)将射频等离子偏压电源或偏压电压耦合至该工作件;
于该含碳硬掩膜层中光微影地定义出该预定图案;及
在该硬掩膜层的存在下蚀刻该目标层。
2.如权利要求1所述的方法,其中该目标层是藉由沉积一栅电极导电层于一薄栅极氧化层上的方式形成。
3.如权利要求1所述的方法,其中该目标层是藉由沉积一导电层于一绝缘层上的方式形成。
4.如权利要求1所述的方法,其中光微影定义该含碳硬掩膜层的步骤至少包含:
沉积一抗反射涂层于该硬掩膜层上;
沉积一光阻层于该抗反射涂层上;
沉积一定义该预定图案的光罩于该光阻层上;
经由该光罩暴光该光阻;
依据该预定图案来显影该光阻并移除该光阻的数个部分;
利用将该抗反射涂层作为一蚀刻掩膜的方式蚀刻该抗反射涂层;
移除该光阻并利用将该抗反射涂层作为一蚀刻掩膜的方式来蚀刻该含碳层。
5.如权利要求1所述的方法,其中引入该含碳制程气体的步骤至少包含经由一位于工作件上方以及该再进入路径的该外部下方的气体分配板引入该制程气体。
6.如权利要求1所述的方法,其中该制程气体至少包含下列其中一者(a)一碳氢化合物;(b)一氟碳化合物。
7.如权利要求1所述的方法,其更包含藉由下列至少一者设定绝缘体及半导体之间该碳层的导电性:
(1)调整晶片表面处的离子轰击能量;
(2)调整工作件温度;
(3)依据气体的碳氢比例选择该制程气体的碳氢气体物种;
(4)以氢气稀释该制程气体;
(5)以一例如氦、氖、氩或氙的惰性气体来稀释该制程气体;
(6)将该晶片表面处的充能离子通量相对于至晶片表面的含碳自由基物种的通量作调整;
(7)于该制程气体中加入下列先驱物添加气体的其中一者:(a)半导电性强化物种;(b)电阻强化物种;
(8)于所沉积的碳层中植入下列其中一者:(a)半导电性强化物种;(b)电阻强化物种。
8.如权利要求1所述的方法,其更包括藉由下列至少一者设定该碳层的透明度或不透明度:
(1)调整晶片表面处的离子轰击能量;
(2)调整工作件温度;
(3)依据气体的碳氢比例来选择该制程气体的碳氢气体物种;
(4)以氢气稀释该制程气体;
(5)以一例如氦、氖、氩或氙的惰性气体来稀释该制程气体;
(6)将该晶片表面处的充能离子通量相对于至晶片表面的含碳自由基物种的通量作调整;
(7)于制程气体中加入下列先驱物添加气体的其中一者:(a)吸收性强化物种;(b)透明度强化物种;
(8)于所沉积的碳层中植入下列其中一者:(a)吸收性强化物种;(b)透明度强化物种。
9.如权利要求8所述的方法,其中该吸收强化物种为硼、氮、硫的一者。
10.如权利要求8所述的方法,其中该透明度强化物种为氟。
11.如权利要求1所述的方法,其更包含藉由将偏压功率或偏压电压设定至一足够高电平的方式来强化该沉积碳层对该下方工作件的粘附性。
12.如权利要求1所述的方法,其更包含藉由调整该偏压功率或偏压电压的方式,将该沉积碳层内的应力设定成压缩应力及拉伸应力的其中一者。
13.如权利要求1所述的方法,更包括藉由增加该偏压功率或偏压电压来增加该沉积碳层中的压缩应力。
14.如权利要求1所述的方法,更包括藉由设定该射频等离子电源的电平来控制该沉积碳层的保形性。
15.如权利要求1所述的方法,更包括藉由在完成碳层沉积后加热该碳层以强化该沉积碳层的光吸收性。
16.如权利要求1所述的方法,更包括加入一层强化(layer-enhancing)添加气体,以强化该沉积碳层的热特性。
17.如权利要求16所述的方法,其中该层强化添加气体包括一含硼气体与一含氮气体的组合物。
18.如权利要求17所述的方法,其中该制程气体至少包含一碳氢气体,该含硼气体至少包含B2H6,而该含氮气体至少包含N2
CN2006800292997A 2005-08-08 2006-08-07 使用低温沉积含碳硬掩膜的半导体基材制程 Expired - Fee Related CN101243544B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/199,593 US7323401B2 (en) 2005-08-08 2005-08-08 Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US11/199,593 2005-08-08
PCT/US2006/030792 WO2007019467A2 (en) 2005-08-08 2006-08-07 Semiconductor substrate process using a low temperature-deposited carbon-containing hard mask

Publications (2)

Publication Number Publication Date
CN101243544A true CN101243544A (zh) 2008-08-13
CN101243544B CN101243544B (zh) 2012-06-27

Family

ID=37718165

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006800292997A Expired - Fee Related CN101243544B (zh) 2005-08-08 2006-08-07 使用低温沉积含碳硬掩膜的半导体基材制程

Country Status (6)

Country Link
US (1) US7323401B2 (zh)
JP (1) JP2009505402A (zh)
KR (1) KR20080034976A (zh)
CN (1) CN101243544B (zh)
TW (1) TWI349954B (zh)
WO (1) WO2007019467A2 (zh)

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102859651A (zh) * 2010-03-30 2013-01-02 美光科技公司 形成多个间隔特征的方法
US8535766B2 (en) 2008-10-22 2013-09-17 Applied Materials, Inc. Patterning of magnetic thin film using energized ions
US8551578B2 (en) 2008-02-12 2013-10-08 Applied Materials, Inc. Patterning of magnetic thin film using energized ions and thermal excitation
CN102197426B (zh) * 2008-10-22 2014-11-05 应用材料公司 使用能量化离子以图案化磁性薄膜的方法
CN105940479A (zh) * 2014-01-31 2016-09-14 洛克希德马丁公司 使用宽离子场穿孔二维材料
US9834809B2 (en) 2014-02-28 2017-12-05 Lockheed Martin Corporation Syringe for obtaining nano-sized materials for selective assays and related methods of use
US9844757B2 (en) 2014-03-12 2017-12-19 Lockheed Martin Corporation Separation membranes formed from perforated graphene and methods for use thereof
US10005038B2 (en) 2014-09-02 2018-06-26 Lockheed Martin Corporation Hemodialysis and hemofiltration membranes based upon a two-dimensional membrane material and methods employing same
US10017852B2 (en) 2016-04-14 2018-07-10 Lockheed Martin Corporation Method for treating graphene sheets for large-scale transfer using free-float method
US10118130B2 (en) 2016-04-14 2018-11-06 Lockheed Martin Corporation Two-dimensional membrane structures having flow passages
US10203295B2 (en) 2016-04-14 2019-02-12 Lockheed Martin Corporation Methods for in situ monitoring and control of defect formation or healing
US10201784B2 (en) 2013-03-12 2019-02-12 Lockheed Martin Corporation Method for forming perforated graphene with uniform aperture size
US10213746B2 (en) 2016-04-14 2019-02-26 Lockheed Martin Corporation Selective interfacial mitigation of graphene defects
CN109923641A (zh) * 2016-11-08 2019-06-21 瓦里安半导体设备公司 使用固体掺杂源的等离子体掺杂
US10376845B2 (en) 2016-04-14 2019-08-13 Lockheed Martin Corporation Membranes with tunable selectivity
US10418143B2 (en) 2015-08-05 2019-09-17 Lockheed Martin Corporation Perforatable sheets of graphene-based material
US10471199B2 (en) 2013-06-21 2019-11-12 Lockheed Martin Corporation Graphene-based filter for isolating a substance from blood
US10500546B2 (en) 2014-01-31 2019-12-10 Lockheed Martin Corporation Processes for forming composite structures with a two-dimensional material using a porous, non-sacrificial supporting layer
US10653824B2 (en) 2012-05-25 2020-05-19 Lockheed Martin Corporation Two-dimensional materials and uses thereof
US10696554B2 (en) 2015-08-06 2020-06-30 Lockheed Martin Corporation Nanoparticle modification and perforation of graphene
CN112262227A (zh) * 2018-06-15 2021-01-22 应用材料公司 正形碳膜沉积
US10980919B2 (en) 2016-04-14 2021-04-20 Lockheed Martin Corporation Methods for in vivo and in vitro use of graphene and other two-dimensional materials

Families Citing this family (432)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
KR100470274B1 (ko) * 2002-11-08 2005-02-05 진 장 덮개층을 이용한 비정질 물질의 상 변화 방법
US6872909B2 (en) * 2003-04-16 2005-03-29 Applied Science And Technology, Inc. Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7429532B2 (en) * 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
JP4738959B2 (ja) * 2005-09-28 2011-08-03 東芝モバイルディスプレイ株式会社 配線構造体の形成方法
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
KR100954116B1 (ko) * 2006-11-06 2010-04-23 주식회사 하이닉스반도체 반도체 소자의 리세스패턴 형성방법
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US7981777B1 (en) 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
US7867868B2 (en) * 2007-03-02 2011-01-11 Applied Materials, Inc. Absorber layer candidates and techniques for application
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
KR100924342B1 (ko) * 2007-10-15 2009-10-30 주식회사 하이닉스반도체 포토마스크의 결함 수정 방법
US8507385B2 (en) * 2008-05-05 2013-08-13 Shanghai Lexvu Opto Microelectronics Technology Co., Ltd. Method for processing a thin film micro device on a substrate
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US7820556B2 (en) * 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7955990B2 (en) * 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8426763B2 (en) 2009-04-23 2013-04-23 Micron Technology, Inc. Rapid thermal processing systems and methods for treating microelectronic substrates
US8129284B2 (en) * 2009-04-28 2012-03-06 Dainippon Screen Mfg. Co., Ltd. Heat treatment method and heat treatment apparatus for heating substrate by light irradiation
US7842622B1 (en) * 2009-05-15 2010-11-30 Asm Japan K.K. Method of forming highly conformal amorphous carbon layer
US8043891B2 (en) * 2009-06-05 2011-10-25 Shanghai Lexvu Opto Microelectronics Technology Co., Ltd. Method of encapsulating a wafer level microdevice
US8511281B2 (en) * 2009-07-10 2013-08-20 Tula Technology, Inc. Skip fire engine control
US8362596B2 (en) * 2009-07-14 2013-01-29 International Business Machines Corporation Engineered interconnect dielectric caps having compressive stress and interconnect structures containing same
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102001616A (zh) * 2009-08-31 2011-04-06 上海丽恒光微电子科技有限公司 装配和封装微型机电系统装置的方法
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
CN102687252A (zh) 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
CN102754193A (zh) * 2010-01-06 2012-10-24 应用材料公司 使用氧化物衬垫的可流动电介质
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
US9475709B2 (en) 2010-08-25 2016-10-25 Lockheed Martin Corporation Perforated graphene deionization or desalination
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) * 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US9610546B2 (en) 2014-03-12 2017-04-04 Lockheed Martin Corporation Separation membranes formed from perforated graphene and methods for use thereof
US9744617B2 (en) 2014-01-31 2017-08-29 Lockheed Martin Corporation Methods for perforating multi-layer graphene through ion bombardment
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
JP6045975B2 (ja) 2012-07-09 2016-12-14 東京エレクトロン株式会社 カーボン膜の成膜方法および成膜装置
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
EP2969153A1 (en) 2013-03-13 2016-01-20 Lockheed Martin Corporation Nanoporous membranes and methods for making the same
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9171749B2 (en) 2013-11-13 2015-10-27 Globalfoundries U.S.2 Llc Handler wafer removal facilitated by the addition of an amorphous carbon layer on the handler wafer
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9613828B2 (en) * 2014-06-24 2017-04-04 Ultratech, Inc. Method of laser annealing a semiconductor wafer with localized control of ambient oxygen
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
FI128447B (en) 2016-04-26 2020-05-15 Teknologian Tutkimuskeskus Vtt Oy Apparatus associated with analysis of thin film layers and method of making them
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10312137B2 (en) * 2016-06-07 2019-06-04 Applied Materials, Inc. Hardmask layer for 3D NAND staircase structure in semiconductor applications
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10872760B2 (en) * 2016-07-26 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster tool and manufacuturing method of semiconductor structure using the same
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
TWI671792B (zh) 2016-12-19 2019-09-11 荷蘭商Asm知識產權私人控股有限公司 基板處理設備
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10224432B2 (en) * 2017-03-10 2019-03-05 Applied Materials, Inc. Surface treatment process performed on devices for TFT applications
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
WO2018230373A1 (ja) * 2017-06-16 2018-12-20 日本ゼオン株式会社 プラズマ処理装置のシーズニング方法及びプラズマエッチング方法
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10903109B2 (en) 2017-12-29 2021-01-26 Micron Technology, Inc. Methods of forming high aspect ratio openings and methods of forming high aspect ratio features
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
JP7005367B2 (ja) * 2018-02-05 2022-02-04 東京エレクトロン株式会社 ボロン系膜の成膜方法および成膜装置
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
JP7474700B2 (ja) * 2018-03-01 2024-04-25 アプライド マテリアルズ インコーポレイテッド デバイス製造における金属ハードマスクの形成のシステムおよび方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
JP7049883B2 (ja) * 2018-03-28 2022-04-07 東京エレクトロン株式会社 ボロン系膜の成膜方法および成膜装置
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN111954921B (zh) 2018-04-09 2024-05-31 应用材料公司 用于图案化应用的碳硬掩模及相关的方法
WO2019212799A1 (en) 2018-05-03 2019-11-07 Applied Materials, Inc. Rf grounding configuration for pedestals
JP2021523558A (ja) * 2018-05-03 2021-09-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated パターニングのための高品質c膜のパルスプラズマ(dc/rf)蒸着
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
EP3588533A1 (en) * 2018-06-21 2020-01-01 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Plasma source and method of operating the same
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11049728B2 (en) * 2018-10-31 2021-06-29 Entegris, Inc. Boron-doped amorphous carbon hard mask and related methods
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US10403492B1 (en) * 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20210132731A (ko) * 2019-03-25 2021-11-04 램 리써치 코포레이션 고 에칭 선택도, 저 응력 애시 가능 탄소 하드 마스크
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
CN113891954A (zh) 2019-05-29 2022-01-04 朗姆研究公司 通过高功率脉冲低频率rf产生的高选择性、低应力、且低氢的类金刚石碳硬掩模
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US20210159048A1 (en) * 2019-11-25 2021-05-27 Applied Materials, Inc. Dual rf for controllable film deposition
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
CN111592838B (zh) * 2020-05-28 2022-03-11 马鞍山东毅新材料科技有限公司 一种基于负性光刻胶的光学胶带及其生产工艺
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024172018A1 (ja) * 2023-02-13 2024-08-22 東京エレクトロン株式会社 プラズマ処理方法、プリコートの形成方法及びプラズマ処理装置

Family Cites Families (131)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2344138A (en) 1940-05-20 1944-03-14 Chemical Developments Corp Coating method
US3109100A (en) 1960-05-19 1963-10-29 Automatic Canteen Co Photosensitive currency testing device
US3576685A (en) 1968-03-15 1971-04-27 Itt Doping semiconductors with elemental dopant impurity
US3907616A (en) 1972-11-15 1975-09-23 Texas Instruments Inc Method of forming doped dielectric layers utilizing reactive plasma deposition
CH611938A5 (zh) 1976-05-19 1979-06-29 Battelle Memorial Institute
DE3118785A1 (de) 1981-05-12 1982-12-02 Siemens AG, 1000 Berlin und 8000 München Verfahren und vorrichtung zum dotieren von halbleitermaterial
US4465529A (en) 1981-06-05 1984-08-14 Mitsubishi Denki Kabushiki Kaisha Method of producing semiconductor device
US4385946A (en) 1981-06-19 1983-05-31 Bell Telephone Laboratories, Incorporated Rapid alteration of ion implant dopant species to create regions of opposite conductivity
US4382099A (en) 1981-10-26 1983-05-03 Motorola, Inc. Dopant predeposition from high pressure plasma source
JPH0635323B2 (ja) 1982-06-25 1994-05-11 株式会社日立製作所 表面処理方法
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4521441A (en) 1983-12-19 1985-06-04 Motorola, Inc. Plasma enhanced diffusion process
JPS60153119A (ja) 1984-01-20 1985-08-12 Fuji Electric Corp Res & Dev Ltd 不純物拡散方法
US4539217A (en) 1984-06-27 1985-09-03 Eaton Corporation Dose control method
US4584026A (en) 1984-07-25 1986-04-22 Rca Corporation Ion-implantation of phosphorus, arsenic or boron by pre-amorphizing with fluorine ions
US4698104A (en) 1984-12-06 1987-10-06 Xerox Corporation Controlled isotropic doping of semiconductor materials
JPH0763056B2 (ja) 1986-08-06 1995-07-05 三菱電機株式会社 薄膜形成装置
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4764394A (en) 1987-01-20 1988-08-16 Wisconsin Alumni Research Foundation Method and apparatus for plasma source ion implantation
US4912065A (en) 1987-05-28 1990-03-27 Matsushita Electric Industrial Co., Ltd. Plasma doping method
KR930003857B1 (ko) 1987-08-05 1993-05-14 마쯔시다덴기산교 가부시기가이샤 플라즈마 도우핑방법
US4778561A (en) 1987-10-30 1988-10-18 Veeco Instruments, Inc. Electron cyclotron resonance plasma source
US5643838A (en) 1988-03-31 1997-07-01 Lucent Technologies Inc. Low temperature deposition of silicon oxides for device fabrication
US4871421A (en) 1988-09-15 1989-10-03 Lam Research Corporation Split-phase driver for plasma etch system
US5061838A (en) 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5106827A (en) 1989-09-18 1992-04-21 The Perkin Elmer Corporation Plasma assisted oxidation of perovskites for forming high temperature superconductors using inductively coupled discharges
US5312778A (en) 1989-10-03 1994-05-17 Applied Materials, Inc. Method for plasma processing using magnetically enhanced plasma chemical vapor deposition
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5040046A (en) 1990-10-09 1991-08-13 Micron Technology, Inc. Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby
US5107201A (en) 1990-12-11 1992-04-21 Ogle John S High voltage oscilloscope probe with wide frequency response
US5288650A (en) 1991-01-25 1994-02-22 Ibis Technology Corporation Prenucleation process for simox device fabrication
JPH04280425A (ja) 1991-03-07 1992-10-06 Sony Corp 配線形成方法
JP3119693B2 (ja) 1991-10-08 2000-12-25 エム・セテック株式会社 半導体基板の製造方法及びその装置
US5290382A (en) 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
US5423945A (en) 1992-09-08 1995-06-13 Applied Materials, Inc. Selectivity for etching an oxide over a nitride
US5505780A (en) 1992-03-18 1996-04-09 International Business Machines Corporation High-density plasma-processing tool with toroidal magnetic field
US5277751A (en) 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
AU5017293A (en) 1992-09-01 1994-03-29 University Of North Carolina At Chapel Hill, The High pressure magnetically assisted inductively coupled plasma
US5510011A (en) 1992-11-09 1996-04-23 Canon Kabushiki Kaisha Method for forming a functional deposited film by bias sputtering process at a relatively low substrate temperature
US5542559A (en) 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5354381A (en) 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
JP3430552B2 (ja) 1993-05-07 2003-07-28 ソニー株式会社 ダイヤモンド半導体の製造方法
US5572038A (en) 1993-05-07 1996-11-05 Varian Associates, Inc. Charge monitor for high potential pulse current dose measurement apparatus and method
IT1263372B (it) 1993-05-26 1996-08-05 Deregibus A & A Spa Macchina perfezionata per la produzione di tubi in gomma vulcanizzata.
EP0634778A1 (en) 1993-07-12 1995-01-18 The Boc Group, Inc. Hollow cathode array
JP3382031B2 (ja) 1993-11-16 2003-03-04 株式会社東芝 半導体装置の製造方法
JP2919254B2 (ja) 1993-11-22 1999-07-12 日本電気株式会社 半導体装置の製造方法および形成装置
US5520209A (en) 1993-12-03 1996-05-28 The Dow Chemical Company Fluid relief device
US5435881A (en) 1994-03-17 1995-07-25 Ogle; John S. Apparatus for producing planar plasma using varying magnetic poles
GB2301939B (en) 1994-03-25 1998-10-21 Amoco Enron Solar Increasing Stabilized Performance of Amorphous Silicon Based Devices Produced by Highly Hydrogen Diluted Lower Temperature Plasma Deposition
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5587038A (en) 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
US5569363A (en) 1994-10-25 1996-10-29 Sony Corporation Inductively coupled plasma sputter chamber with conductive material sputtering capabilities
US5674321A (en) 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
US5888413A (en) 1995-06-06 1999-03-30 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus
US5711812A (en) 1995-06-06 1998-01-27 Varian Associates, Inc. Apparatus for obtaining dose uniformity in plasma doping (PLAD) ion implantation processes
US5683517A (en) 1995-06-07 1997-11-04 Applied Materials, Inc. Plasma reactor with programmable reactant gas distribution
US5702530A (en) 1995-06-23 1997-12-30 Applied Materials, Inc. Distributed microwave plasma reactor for semiconductor processing
US5653811A (en) 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
US5660895A (en) 1996-04-24 1997-08-26 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Low-temperature plasma-enhanced chemical vapor deposition of silicon oxide films and fluorinated silicon oxide films using disilane as a silicon precursor
US6000360A (en) 1996-07-03 1999-12-14 Tokyo Electron Limited Plasma processing apparatus
US5911832A (en) 1996-10-10 1999-06-15 Eaton Corporation Plasma immersion implantation with pulsed anode
US5654043A (en) 1996-10-10 1997-08-05 Eaton Corporation Pulsed plate plasma implantation system and method
US5770982A (en) 1996-10-29 1998-06-23 Sematech, Inc. Self isolating high frequency saturable reactor
SE510984C2 (sv) 1996-10-31 1999-07-19 Assa Ab Cylinderlås
JP4013271B2 (ja) 1997-01-16 2007-11-28 日新電機株式会社 物品表面処理方法及び装置
US6139697A (en) 1997-01-31 2000-10-31 Applied Materials, Inc. Low temperature integrated via and trench fill process and apparatus
JPH10270428A (ja) 1997-03-27 1998-10-09 Mitsubishi Electric Corp プラズマ処理装置
US6174450B1 (en) 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US6245161B1 (en) 1997-05-12 2001-06-12 Silicon Genesis Corporation Economical silicon-on-silicon hybrid wafer assembly
US6291313B1 (en) 1997-05-12 2001-09-18 Silicon Genesis Corporation Method and device for controlled cleaving process
US6582999B2 (en) * 1997-05-12 2003-06-24 Silicon Genesis Corporation Controlled cleavage process using pressurized fluid
US5897752A (en) 1997-05-20 1999-04-27 Applied Materials, Inc. Wafer bias ring in a sustained self-sputtering reactor
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6103599A (en) 1997-07-25 2000-08-15 Silicon Genesis Corporation Planarizing technique for multilayered substrates
US6321134B1 (en) 1997-07-29 2001-11-20 Silicon Genesis Corporation Clustertool system software using plasma immersion ion implantation
US5935077A (en) 1997-08-14 1999-08-10 Ogle; John Seldon Noninvasive blood flow sensor using magnetic field parallel to skin
US6041735A (en) 1998-03-02 2000-03-28 Ball Semiconductor, Inc. Inductively coupled plasma powder vaporization for fabricating integrated circuits
US5994236A (en) 1998-01-23 1999-11-30 Ogle; John Seldon Plasma source with process nonuniformity improved using ferromagnetic cores
US6265328B1 (en) 1998-01-30 2001-07-24 Silicon Genesis Corporation Wafer edge engineering method and device
US6274459B1 (en) * 1998-02-17 2001-08-14 Silicon Genesis Corporation Method for non mass selected ion implant profile control
US6132552A (en) 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
US5944942A (en) 1998-03-04 1999-08-31 Ogle; John Seldon Varying multipole plasma source
US6395150B1 (en) * 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US5998933A (en) 1998-04-06 1999-12-07 Shun'ko; Evgeny V. RF plasma inductor with closed ferrite core
US6101971A (en) 1998-05-13 2000-08-15 Axcelis Technologies, Inc. Ion implantation control using charge collection, optical emission spectroscopy and mass analysis
US6164241A (en) 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
JP3497092B2 (ja) * 1998-07-23 2004-02-16 名古屋大学長 プラズマ密度情報測定方法、および測定に用いられるプローブ、並びにプラズマ密度情報測定装置
US6020592A (en) 1998-08-03 2000-02-01 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
JP3906579B2 (ja) 1998-08-26 2007-04-18 三菱電機株式会社 イオン源装置
US6050218A (en) 1998-09-28 2000-04-18 Eaton Corporation Dosimetry cup charge collection in plasma immersion ion implantation
US6174743B1 (en) 1998-12-08 2001-01-16 Advanced Micro Devices, Inc. Method of reducing incidence of stress-induced voiding in semiconductor interconnect lines
US6096661A (en) 1998-12-15 2000-08-01 Advanced Micro Devices, Inc. Method for depositing silicon dioxide using low temperatures
US6579805B1 (en) * 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US6103624A (en) 1999-04-15 2000-08-15 Advanced Micro Devices, Inc. Method of improving Cu damascene interconnect reliability by laser anneal before barrier polish
US6239553B1 (en) 1999-04-22 2001-05-29 Applied Materials, Inc. RF plasma source for material processing
US6392351B1 (en) * 1999-05-03 2002-05-21 Evgeny V. Shun'ko Inductive RF plasma source with external discharge bridge
US6248642B1 (en) 1999-06-24 2001-06-19 Ibis Technology Corporation SIMOX using controlled water vapor for oxygen implants
US6237527B1 (en) 1999-08-06 2001-05-29 Axcelis Technologies, Inc. System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate
US6182604B1 (en) 1999-10-27 2001-02-06 Varian Semiconductor Equipment Associates, Inc. Hollow cathode for plasma doping system
US6433553B1 (en) * 1999-10-27 2002-08-13 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for eliminating displacement current from current measurements in a plasma processing system
US6335536B1 (en) * 1999-10-27 2002-01-01 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for low voltage plasma doping using dual pulses
US6341574B1 (en) * 1999-11-15 2002-01-29 Lam Research Corporation Plasma processing systems
US6426015B1 (en) * 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6350697B1 (en) * 1999-12-22 2002-02-26 Lam Research Corporation Method of cleaning and conditioning plasma reaction chamber
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6417078B1 (en) * 2000-05-03 2002-07-09 Ibis Technology Corporation Implantation process using sub-stoichiometric, oxygen doses at different energies
US6679981B1 (en) * 2000-05-11 2004-01-20 Applied Materials, Inc. Inductive plasma loop enhancing magnetron sputtering
US6418874B1 (en) * 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
KR100366623B1 (ko) * 2000-07-18 2003-01-09 삼성전자 주식회사 반도체 기판 또는 lcd 기판의 세정방법
US6403453B1 (en) * 2000-07-27 2002-06-11 Sharp Laboratories Of America, Inc. Dose control technique for plasma doping in ultra-shallow junction formations
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US6348126B1 (en) * 2000-08-11 2002-02-19 Applied Materials, Inc. Externally excited torroidal plasma source
US6551446B1 (en) * 2000-08-11 2003-04-22 Applied Materials Inc. Externally excited torroidal plasma source with a gas distribution plate
US6410449B1 (en) * 2000-08-11 2002-06-25 Applied Materials, Inc. Method of processing a workpiece using an externally excited torroidal plasma source
US6593173B1 (en) * 2000-11-28 2003-07-15 Ibis Technology Corporation Low defect density, thin-layer, SOI substrates
US6413321B1 (en) * 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
US6755150B2 (en) * 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
US20030013314A1 (en) * 2001-07-06 2003-01-16 Chentsau Ying Method of reducing particulates in a plasma etch chamber during a metal etch process
US6632728B2 (en) * 2001-07-16 2003-10-14 Agere Systems Inc. Increasing the electrical activation of ion-implanted dopants
DE10231533A1 (de) * 2002-07-11 2004-01-29 Infineon Technologies Ag Verfahren zur Metallstrukturierung
US6838695B2 (en) * 2002-11-25 2005-01-04 International Business Machines Corporation CMOS device structure with improved PFET gate electrode
US6835657B2 (en) * 2002-12-02 2004-12-28 Applied Materials, Inc. Method for recrystallizing metal in features of a semiconductor chip
EP1658635A1 (en) * 2003-08-29 2006-05-24 Advanced Micro Devices, Inc. A method of forming a TEOS cap layer at low temperature and reduced deposition rate
US7109087B2 (en) * 2003-10-03 2006-09-19 Applied Materials, Inc. Absorber layer for DSA processing
US7115993B2 (en) * 2004-01-30 2006-10-03 Tokyo Electron Limited Structure comprising amorphous carbon film and method of forming thereof
US7064078B2 (en) * 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US7097779B2 (en) * 2004-07-06 2006-08-29 Tokyo Electron Limited Processing system and method for chemically treating a TERA layer
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US8058156B2 (en) * 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8551578B2 (en) 2008-02-12 2013-10-08 Applied Materials, Inc. Patterning of magnetic thin film using energized ions and thermal excitation
US9263078B2 (en) 2008-02-12 2016-02-16 Applied Materials, Inc. Patterning of magnetic thin film using energized ions
US8535766B2 (en) 2008-10-22 2013-09-17 Applied Materials, Inc. Patterning of magnetic thin film using energized ions
CN102197426B (zh) * 2008-10-22 2014-11-05 应用材料公司 使用能量化离子以图案化磁性薄膜的方法
US8980752B2 (en) 2010-03-30 2015-03-17 Micron Technology, Inc. Method of forming a plurality of spaced features
CN102859651B (zh) * 2010-03-30 2015-11-25 美光科技公司 形成多个间隔特征的方法
CN102859651A (zh) * 2010-03-30 2013-01-02 美光科技公司 形成多个间隔特征的方法
US10653824B2 (en) 2012-05-25 2020-05-19 Lockheed Martin Corporation Two-dimensional materials and uses thereof
US10201784B2 (en) 2013-03-12 2019-02-12 Lockheed Martin Corporation Method for forming perforated graphene with uniform aperture size
US10471199B2 (en) 2013-06-21 2019-11-12 Lockheed Martin Corporation Graphene-based filter for isolating a substance from blood
US10500546B2 (en) 2014-01-31 2019-12-10 Lockheed Martin Corporation Processes for forming composite structures with a two-dimensional material using a porous, non-sacrificial supporting layer
CN105940479A (zh) * 2014-01-31 2016-09-14 洛克希德马丁公司 使用宽离子场穿孔二维材料
US9870895B2 (en) 2014-01-31 2018-01-16 Lockheed Martin Corporation Methods for perforating two-dimensional materials using a broad ion field
US9834809B2 (en) 2014-02-28 2017-12-05 Lockheed Martin Corporation Syringe for obtaining nano-sized materials for selective assays and related methods of use
US9844757B2 (en) 2014-03-12 2017-12-19 Lockheed Martin Corporation Separation membranes formed from perforated graphene and methods for use thereof
US10005038B2 (en) 2014-09-02 2018-06-26 Lockheed Martin Corporation Hemodialysis and hemofiltration membranes based upon a two-dimensional membrane material and methods employing same
US10418143B2 (en) 2015-08-05 2019-09-17 Lockheed Martin Corporation Perforatable sheets of graphene-based material
US10696554B2 (en) 2015-08-06 2020-06-30 Lockheed Martin Corporation Nanoparticle modification and perforation of graphene
US10376845B2 (en) 2016-04-14 2019-08-13 Lockheed Martin Corporation Membranes with tunable selectivity
US10213746B2 (en) 2016-04-14 2019-02-26 Lockheed Martin Corporation Selective interfacial mitigation of graphene defects
US10203295B2 (en) 2016-04-14 2019-02-12 Lockheed Martin Corporation Methods for in situ monitoring and control of defect formation or healing
US10118130B2 (en) 2016-04-14 2018-11-06 Lockheed Martin Corporation Two-dimensional membrane structures having flow passages
US10017852B2 (en) 2016-04-14 2018-07-10 Lockheed Martin Corporation Method for treating graphene sheets for large-scale transfer using free-float method
US10981120B2 (en) 2016-04-14 2021-04-20 Lockheed Martin Corporation Selective interfacial mitigation of graphene defects
US10980919B2 (en) 2016-04-14 2021-04-20 Lockheed Martin Corporation Methods for in vivo and in vitro use of graphene and other two-dimensional materials
CN109923641A (zh) * 2016-11-08 2019-06-21 瓦里安半导体设备公司 使用固体掺杂源的等离子体掺杂
CN109923641B (zh) * 2016-11-08 2022-11-29 瓦里安半导体设备公司 在工件沉积或植入掺杂物质的方法及处理工件的方法
CN112262227A (zh) * 2018-06-15 2021-01-22 应用材料公司 正形碳膜沉积
CN112262227B (zh) * 2018-06-15 2022-09-23 应用材料公司 正形碳膜沉积

Also Published As

Publication number Publication date
KR20080034976A (ko) 2008-04-22
TWI349954B (en) 2011-10-01
TW200717616A (en) 2007-05-01
WO2007019467A3 (en) 2007-05-10
US20070032054A1 (en) 2007-02-08
WO2007019467A8 (en) 2007-03-29
US7323401B2 (en) 2008-01-29
CN101243544B (zh) 2012-06-27
JP2009505402A (ja) 2009-02-05
WO2007019467A2 (en) 2007-02-15

Similar Documents

Publication Publication Date Title
CN101243544B (zh) 使用低温沉积含碳硬掩膜的半导体基材制程
TWI359208B (en) Low temperature absorption layer deposition and hi
TWI354031B (en) Low temperature plasma deposition process for carb
TWI352381B (en) A semiconductor junction formation process includi
US7312148B2 (en) Copper barrier reflow process employing high speed optical annealing
US7335611B2 (en) Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US7429532B2 (en) Semiconductor substrate process using an optically writable carbon-containing mask
US7422775B2 (en) Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7262106B2 (en) Absorber layer for DSA processing
US20070243721A1 (en) Absorber layer for dsa processing

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120627

Termination date: 20130807