CN116171337A - 高蚀刻选择性非晶碳膜 - Google Patents
高蚀刻选择性非晶碳膜 Download PDFInfo
- Publication number
- CN116171337A CN116171337A CN202180059228.6A CN202180059228A CN116171337A CN 116171337 A CN116171337 A CN 116171337A CN 202180059228 A CN202180059228 A CN 202180059228A CN 116171337 A CN116171337 A CN 116171337A
- Authority
- CN
- China
- Prior art keywords
- amorphous carbon
- carbon film
- underlayer
- degrees celsius
- ion
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 229910003481 amorphous carbon Inorganic materials 0.000 title claims abstract description 254
- 238000005530 etching Methods 0.000 title claims abstract description 19
- 238000000034 method Methods 0.000 claims abstract description 203
- 238000012545 processing Methods 0.000 claims abstract description 120
- 239000002019 doping agent Substances 0.000 claims abstract description 78
- 238000000151 deposition Methods 0.000 claims abstract description 37
- 238000000059 patterning Methods 0.000 claims abstract description 23
- 239000010410 layer Substances 0.000 claims description 76
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 73
- 229910052799 carbon Inorganic materials 0.000 claims description 73
- 238000002513 implantation Methods 0.000 claims description 71
- 239000007789 gas Substances 0.000 claims description 62
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 36
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 33
- 229910052710 silicon Inorganic materials 0.000 claims description 33
- 239000010703 silicon Substances 0.000 claims description 33
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 30
- 238000009826 distribution Methods 0.000 claims description 22
- 229910052734 helium Inorganic materials 0.000 claims description 20
- 239000001307 helium Substances 0.000 claims description 20
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 20
- 229910052786 argon Inorganic materials 0.000 claims description 19
- 229910052757 nitrogen Inorganic materials 0.000 claims description 18
- 150000002430 hydrocarbons Chemical class 0.000 claims description 17
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 claims description 16
- 229910052796 boron Inorganic materials 0.000 claims description 16
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 claims description 15
- 229910052743 krypton Inorganic materials 0.000 claims description 15
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 claims description 15
- 229910052754 neon Inorganic materials 0.000 claims description 15
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 claims description 15
- 229910052698 phosphorus Inorganic materials 0.000 claims description 15
- 239000011574 phosphorus Substances 0.000 claims description 15
- 229910052724 xenon Inorganic materials 0.000 claims description 15
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 claims description 15
- 239000004215 Carbon black (E152) Substances 0.000 claims description 14
- 229910052732 germanium Inorganic materials 0.000 claims description 14
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 claims description 14
- 229930195733 hydrocarbon Natural products 0.000 claims description 14
- 239000000203 mixture Substances 0.000 claims description 14
- 229910052790 beryllium Inorganic materials 0.000 claims description 12
- ATBAMAFKBVZNFJ-UHFFFAOYSA-N beryllium atom Chemical compound [Be] ATBAMAFKBVZNFJ-UHFFFAOYSA-N 0.000 claims description 12
- 239000002356 single layer Substances 0.000 claims description 3
- 239000000758 substrate Substances 0.000 abstract description 79
- 239000007943 implant Substances 0.000 abstract description 39
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 abstract description 10
- 239000010408 film Substances 0.000 description 169
- 150000002500 ions Chemical class 0.000 description 115
- 230000008569 process Effects 0.000 description 94
- 239000000463 material Substances 0.000 description 73
- 238000005468 ion implantation Methods 0.000 description 30
- 230000008021 deposition Effects 0.000 description 18
- 239000001257 hydrogen Substances 0.000 description 18
- 229910052739 hydrogen Inorganic materials 0.000 description 18
- 235000012431 wafers Nutrition 0.000 description 15
- 230000001965 increasing effect Effects 0.000 description 14
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 14
- 229920005591 polysilicon Polymers 0.000 description 14
- 229910052751 metal Inorganic materials 0.000 description 13
- 239000002184 metal Substances 0.000 description 13
- 229920002120 photoresistant polymer Polymers 0.000 description 13
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 12
- 239000004065 semiconductor Substances 0.000 description 12
- 150000004767 nitrides Chemical class 0.000 description 11
- 238000010586 diagram Methods 0.000 description 10
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 10
- 239000002243 precursor Substances 0.000 description 10
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 8
- 229910021417 amorphous silicon Inorganic materials 0.000 description 8
- 238000000137 annealing Methods 0.000 description 8
- 239000004020 conductor Substances 0.000 description 8
- 238000004519 manufacturing process Methods 0.000 description 8
- 238000005137 deposition process Methods 0.000 description 7
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 6
- 230000008901 benefit Effects 0.000 description 6
- 238000010438 heat treatment Methods 0.000 description 6
- 230000006872 improvement Effects 0.000 description 6
- 230000002829 reductive effect Effects 0.000 description 6
- 238000012546 transfer Methods 0.000 description 6
- 230000015572 biosynthetic process Effects 0.000 description 5
- 229910010293 ceramic material Inorganic materials 0.000 description 5
- 230000007423 decrease Effects 0.000 description 5
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 5
- 229910052721 tungsten Inorganic materials 0.000 description 5
- 239000010937 tungsten Substances 0.000 description 5
- MWUXSHHQAYIFBG-UHFFFAOYSA-N Nitric oxide Chemical compound O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 description 4
- 229910052581 Si3N4 Inorganic materials 0.000 description 4
- 239000003989 dielectric material Substances 0.000 description 4
- 238000005516 engineering process Methods 0.000 description 4
- 239000011261 inert gas Substances 0.000 description 4
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 4
- 229910052814 silicon oxide Inorganic materials 0.000 description 4
- 239000000126 substance Substances 0.000 description 4
- VXNZUUAINFGPBY-UHFFFAOYSA-N 1-Butene Chemical compound CCC=C VXNZUUAINFGPBY-UHFFFAOYSA-N 0.000 description 3
- 229910052782 aluminium Inorganic materials 0.000 description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 3
- 229910021529 ammonia Inorganic materials 0.000 description 3
- IAQRGUVFOMOMEM-UHFFFAOYSA-N butene Natural products CC=CC IAQRGUVFOMOMEM-UHFFFAOYSA-N 0.000 description 3
- 239000000919 ceramic Substances 0.000 description 3
- 229910003460 diamond Inorganic materials 0.000 description 3
- 239000010432 diamond Substances 0.000 description 3
- 239000003085 diluting agent Substances 0.000 description 3
- 150000002431 hydrogen Chemical class 0.000 description 3
- 238000002347 injection Methods 0.000 description 3
- 239000007924 injection Substances 0.000 description 3
- 238000002955 isolation Methods 0.000 description 3
- 150000002829 nitrogen Chemical class 0.000 description 3
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- 229910001220 stainless steel Inorganic materials 0.000 description 3
- 239000010935 stainless steel Substances 0.000 description 3
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 2
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 2
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 2
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 2
- VQTUBCCKSQIDNK-UHFFFAOYSA-N Isobutene Chemical compound CC(C)=C VQTUBCCKSQIDNK-UHFFFAOYSA-N 0.000 description 2
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 2
- JUJWROOIHBZHMG-UHFFFAOYSA-N Pyridine Chemical compound C1=CC=NC=C1 JUJWROOIHBZHMG-UHFFFAOYSA-N 0.000 description 2
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 2
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 2
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 2
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- 238000005452 bending Methods 0.000 description 2
- 239000012159 carrier gas Substances 0.000 description 2
- 150000001793 charged compounds Chemical class 0.000 description 2
- 229910052802 copper Inorganic materials 0.000 description 2
- 239000010949 copper Substances 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 229910021419 crystalline silicon Inorganic materials 0.000 description 2
- 230000003247 decreasing effect Effects 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 238000011161 development Methods 0.000 description 2
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 2
- 238000007654 immersion Methods 0.000 description 2
- 238000000671 immersion lithography Methods 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 230000003993 interaction Effects 0.000 description 2
- 238000005224 laser annealing Methods 0.000 description 2
- 230000000670 limiting effect Effects 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- 230000007246 mechanism Effects 0.000 description 2
- 239000012528 membrane Substances 0.000 description 2
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 229910052750 molybdenum Inorganic materials 0.000 description 2
- 239000011733 molybdenum Substances 0.000 description 2
- 239000000178 monomer Substances 0.000 description 2
- 230000003287 optical effect Effects 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 229910052594 sapphire Inorganic materials 0.000 description 2
- 239000010980 sapphire Substances 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- 239000002210 silicon-based material Substances 0.000 description 2
- 239000010936 titanium Substances 0.000 description 2
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- PIGFYZPCRLYGLF-UHFFFAOYSA-N Aluminum nitride Chemical compound [Al]#N PIGFYZPCRLYGLF-UHFFFAOYSA-N 0.000 description 1
- PMPVIKIVABFJJI-UHFFFAOYSA-N Cyclobutane Chemical compound C1CCC1 PMPVIKIVABFJJI-UHFFFAOYSA-N 0.000 description 1
- 238000010485 C−C bond formation reaction Methods 0.000 description 1
- OTMSDBZUPAUEDD-UHFFFAOYSA-N Ethane Chemical compound CC OTMSDBZUPAUEDD-UHFFFAOYSA-N 0.000 description 1
- VGGSQFUCUMXWEO-UHFFFAOYSA-N Ethene Chemical compound C=C VGGSQFUCUMXWEO-UHFFFAOYSA-N 0.000 description 1
- 239000005977 Ethylene Substances 0.000 description 1
- 229910001200 Ferrotitanium Inorganic materials 0.000 description 1
- PPWPWBNSKBDSPK-UHFFFAOYSA-N [B].[C] Chemical compound [B].[C] PPWPWBNSKBDSPK-UHFFFAOYSA-N 0.000 description 1
- 150000001335 aliphatic alkanes Chemical class 0.000 description 1
- -1 aliphatic amines Chemical class 0.000 description 1
- HSFWRNGVRCDJHI-UHFFFAOYSA-N alpha-acetylene Natural products C#C HSFWRNGVRCDJHI-UHFFFAOYSA-N 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- 238000005280 amorphization Methods 0.000 description 1
- 239000002194 amorphous carbon material Substances 0.000 description 1
- 238000010420 art technique Methods 0.000 description 1
- 230000008033 biological extinction Effects 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 238000006664 bond formation reaction Methods 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 150000001722 carbon compounds Chemical class 0.000 description 1
- 229910002092 carbon dioxide Inorganic materials 0.000 description 1
- 239000001569 carbon dioxide Substances 0.000 description 1
- 239000011203 carbon fibre reinforced carbon Substances 0.000 description 1
- 239000007833 carbon precursor Substances 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 230000002860 competitive effect Effects 0.000 description 1
- 230000003750 conditioning effect Effects 0.000 description 1
- 238000000280 densification Methods 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 238000010790 dilution Methods 0.000 description 1
- 239000012895 dilution Substances 0.000 description 1
- XNMQEEKYCVKGBD-UHFFFAOYSA-N dimethylacetylene Natural products CC#CC XNMQEEKYCVKGBD-UHFFFAOYSA-N 0.000 description 1
- 238000005315 distribution function Methods 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 125000002534 ethynyl group Chemical group [H]C#C* 0.000 description 1
- 230000001747 exhibiting effect Effects 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 229910002804 graphite Inorganic materials 0.000 description 1
- 239000010439 graphite Substances 0.000 description 1
- 230000001939 inductive effect Effects 0.000 description 1
- 238000003780 insertion Methods 0.000 description 1
- 230000037431 insertion Effects 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- VNXBKJFUJUWOCW-UHFFFAOYSA-N methylcyclopropane Chemical compound CC1CC1 VNXBKJFUJUWOCW-UHFFFAOYSA-N 0.000 description 1
- 150000002825 nitriles Chemical class 0.000 description 1
- 230000036961 partial effect Effects 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 239000000047 product Substances 0.000 description 1
- QQONPFPTGQHPMA-UHFFFAOYSA-N propylene Natural products CC=C QQONPFPTGQHPMA-UHFFFAOYSA-N 0.000 description 1
- 125000004805 propylene group Chemical group [H]C([H])([H])C([H])([*:1])C([H])([H])[*:2] 0.000 description 1
- 238000010926 purge Methods 0.000 description 1
- UMJSCPRVCHMLSP-UHFFFAOYSA-N pyridine Natural products COC1=CC=CN=C1 UMJSCPRVCHMLSP-UHFFFAOYSA-N 0.000 description 1
- 230000008707 rearrangement Effects 0.000 description 1
- 238000002310 reflectometry Methods 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 239000000243 solution Substances 0.000 description 1
- 238000001179 sorption measurement Methods 0.000 description 1
- 125000006850 spacer group Chemical group 0.000 description 1
- 238000013517 stratification Methods 0.000 description 1
- 238000005728 strengthening Methods 0.000 description 1
- 238000003786 synthesis reaction Methods 0.000 description 1
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 1
- 125000000383 tetramethylene group Chemical group [H]C([H])([*:1])C([H])([H])C([H])([H])C([H])([H])[*:2] 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 238000000427 thin-film deposition Methods 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/32055—Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0338—Process specially adapted to improve the resolution of the mask
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/02—Pretreatment of the material to be coated
- C23C16/0272—Deposition of sub-layers, e.g. to promote the adhesion of the main coating
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
- C23C16/042—Coating on selected surface areas, e.g. using masks using masks
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/26—Deposition of carbon only
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/509—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
- C23C16/5096—Flat-bed apparatus
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/56—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02115—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02321—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02337—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
- H01L21/0234—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0332—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0335—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
- H01L21/31122—Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/3115—Doping the insulating layers
- H01L21/31155—Doping the insulating layers by ion implantation
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- General Physics & Mathematics (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Organic Chemistry (AREA)
- Metallurgy (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- Plasma & Fusion (AREA)
- Chemical Vapour Deposition (AREA)
- Formation Of Insulating Films (AREA)
- Carbon And Carbon Compounds (AREA)
- Drying Of Semiconductors (AREA)
Abstract
提供了用于在基板上沉积非晶碳膜的方法和技术。在一个示例中,方法包括在第一处理区域中的位于基座上的底层上沉积非晶碳膜。方法进一步包括在第二处理区域中将掺杂剂或惰性物种注入到非晶碳膜中。在一些组合中的注入物种、能量、剂量以及温度可用以增强硬模硬度。方法进一步包括将经掺杂的非晶碳膜图案化。方法进一步包括蚀刻底层。
Description
技术领域
本文中描述的实施方案大体上涉及集成电路的制造。更具体地,本文中描述的实施方案提供了用于在基板上沉积非晶碳膜的技术。
相关技术说明
集成电路已经发展成可在单个芯片上包括数百万个晶体管、电容器和电阻器的复杂装置。芯片设计的发展持续地涉及更快的电路和更大的电路密度。对具有更大电路密度的更快电路的需求对用以制造这种集成电路的材料提出了相应的要求。特别地,随着集成电路部件的尺寸减小到亚微米尺度,低电阻率的导电材料以及低介电常数的绝缘材料用以从这些部件获得合适的电性能。
对更大集成电路密度的需求也对在集成电路部件的制造中使用的处理顺序提出了要求。例如,在使用常规光刻技术的处理顺序中,在沉积在基板上的材料层的堆叠之上形成能量敏感刻胶层。将能量敏感刻胶层暴露于图案的图像,以形成光刻胶掩模。此后,使用蚀刻处理将掩模图案转移到堆叠的材料层的一个或多个。选择在蚀刻处理中使用的化学蚀刻剂以使堆叠的材料层具有比能量敏感刻胶的掩模更大的蚀刻选择性。也就是说,化学蚀刻剂以比能量敏感刻胶快得多的速率蚀刻材料堆叠的一个或多个层。在刻胶之上对堆叠的一个或多个材料层的蚀刻选择性防止能量敏感刻胶在完成图案转移之前被消耗。
随着图案尺寸减小,能量敏感刻胶的厚度相应地减小,以便控制图案分辨率。由于化学蚀刻剂的侵蚀,这种薄的刻胶层可能不足以在图案转移处理期间遮蔽下面的材料层。通常在能量敏感刻胶层和下面的材料层之间使用称为硬模(hardmask)的中间层(如,氮氧化硅、硅卡宾(silicon carbine)或碳膜),以促进图案转移,因为其对化学蚀刻剂具有更大的抵抗力。具有高蚀刻选择性和高沉积速率的硬模材料是可期望的。随着临界尺寸(CD)减小,当前的硬模材料相对于下面的材料(如,氧化物和氮化物)缺乏目标蚀刻选择性并且通常难以沉积。
因此,本领域存在有一种改进的硬模层和用于沉积改进的硬模层的方法的需求。
发明内容
本文中描述的实施方案大体上涉及集成电路的制造。更具体地,本文中描述的实施方案提供了用于在基板上沉积非晶碳膜的技术。在一个实施方案中,提供了一种形成非晶碳膜的方法。方法包括在第一处理区域中的位于基座上的底层上沉积非晶碳膜。方法进一步包括通过在第二处理区域中将掺杂剂或惰性物种注入到非晶碳膜中来形成经掺杂的非晶碳膜。掺杂剂或惰性物种选自碳、硼、氮、氮二聚物、硅、磷、氩、氦、氖、氪、氙或其组合。方法进一步包括将经掺杂的非晶碳膜图案化并蚀刻底层。
在另一个实施方案中,提供了一种形成非晶碳膜的方法。方法包括在第一处理区域中的位于基座上的底层上沉积非晶碳膜。方法进一步包括通过在第二处理区域中将掺杂剂注入到非晶碳膜中来形成经掺杂的非晶碳膜。掺杂剂或惰性物种选自碳、硼、氮、氮二聚物硅、磷、氩、氦、氖、氪、氙或其组合。方法进一步包括将经掺杂的非晶碳膜图案化。方法进一步包括蚀刻底层,其中经掺杂的非晶碳膜在633nm处具有从约2.1至约2.2的折射率。
在又一实施方案中,提供一种包括非晶碳膜的硬模层。非晶碳膜通过等离子体增强化学气相沉积处理随后通过碳注入处理而形成。掺杂剂或惰性物种选自碳、硼、氮、氮二聚物、硅、磷、氩、氦、氖、氪、氙或其组合。非晶碳膜在用于半导体应用的蚀刻处理中用作硬模层。
在又另一实施方案中,提供了一种形成非晶碳膜的方法。方法包括在位于第一处理区域中的基座上的底层上沉积非晶碳膜。方法进一步包括通过在第二处理区域中将掺杂剂或惰性物种注入到非晶碳膜中来形成经掺杂的非晶碳膜。掺杂剂或惰性物种选自碳、硼、氮、硅、磷、氩、氦、氖、氪、氙、铍、锗或其组合,其中注入掺杂剂或惰性物种期间的目标温度在约摄氏-100度和约摄氏550度之间。方法进一步包括图案化掺杂的非晶碳膜和蚀刻底层。
在又另一实施方案中,提供了一种形成非晶碳膜的方法。方法包括在位于第一处理区域中的基座上的底层上沉积非晶碳膜。方法进一步包括通过将掺杂剂或惰性物种注入到第二处理区域中的非晶碳膜中来形成掺杂的非晶碳膜。掺杂剂或惰性物种选自碳、硼、氮、硅、磷、氩、氦、氖、氪、氙、铍、锗或其组合,其中注入掺杂剂或惰性物种期间的目标温度在约-100摄氏度和约550度摄氏之间。方法包括将经掺杂的非晶碳膜图案化和蚀刻底层,其中经掺杂的非晶碳膜在633nm处具有从约2.1至约2.2的折射率。
在又另一实施方案中,提供了一种形成非晶碳膜的方法。方法包括在位于第一处理区域中的基座上的底层上沉积非晶碳膜。方法进一步包括通过在第二处理区域中将碳掺杂剂注入到非晶碳膜中来形成经掺杂的非晶碳膜,其中注入碳掺杂剂期间的目标温度在约-100摄氏度和约550摄氏度之间。方法进一步包括将经掺杂的非晶碳膜图案化和蚀刻底层,其中经掺杂的非晶碳膜具有从约14GPa至约22GPa的硬度(GPa)。
在又另一实施方案中,提供了一种形成非晶碳膜的方法。方法包括在第一处理区域中的位于基座上的底层上沉积非晶碳膜。方法进一步包括将非晶碳层图案化。方法进一步包括通过在第二处理区域中将掺杂剂或惰性物种注入到图案化非晶碳膜中来形成经掺杂的图案化非晶碳膜。掺杂剂或惰性物种选自碳、硼、氮、硅、磷、氩、氦、氖、氪、氙、铍、锗或其组合,其中注入掺杂剂或惰性物种期间的目标温度为在约-100摄氏度和约550摄氏度之间。方法进一步包括蚀刻底层。
在又另一实施方案中,提供了一种形成非晶碳膜的方法。方法包括在第一处理区域中的位于基座上的底层上沉积非晶碳膜。方法进一步包括将非晶碳层图案化。方法进一步包括通过在第二处理区域中将掺杂剂或惰性物种注入到图案化非晶碳膜中来形成经掺杂的图案化非晶碳膜。掺杂剂或惰性物种选自碳、硼、氮、硅、磷、氩、氦、氖、氪、氙、铍、锗或其组合,其中注入掺杂剂或惰性物种期间的目标温度在约-100摄氏度和约550摄氏度之间。可使用特定物种以0度的倾斜角进行注入从而提高底层的蚀刻速率,或者以在0.1度和80度之间的倾斜角进行注入,其中相关联的扭转角在0和360度之间。由于可能导致底层蚀刻速率降低的物种的性质,相关联的倾斜角使离子能够仅穿透硬模。对于在一个方向而不是两个垂直方向上延伸的碳硬模线和空间特征,可将注入分为两个单独的注入,每个注入的剂量为所期望的剂量的一半,例如,1.0×1016离子/cm2的剂量变为5.0×1015离子/cm2的剂量。基板每旋转180度就会进行一次注入,从而达到所期望的1.0×1016离子/cm2的剂量。结果,碳硬模特征的两侧都被均匀地注入。方法可进一步包括掺杂图案化的未掺杂非晶碳膜并蚀刻底层,其中经掺杂的非晶碳膜在633nm处具有从约2.1至约2.2的折射率。
在又另一实施方案中,提供了一种形成非晶碳膜的方法。方法包括在第一处理区域中的位于基座上的底层上沉积非晶碳膜。方法进一步包括将非晶碳膜图案化。方法进一步包括通过在第二处理区域中将碳掺杂剂注入到图案化非晶碳膜中来形成经掺杂的图案化非晶碳膜,其中注入碳掺杂剂期间的目标温度在约-100摄氏度和约550摄氏度之间。方法进一步包括蚀刻底层,其中经掺杂的图案化非晶碳膜具有从约14GPa至约22GPa的硬度(GPa)。
在又另一实施方案中,一种非瞬态计算机可读介质,具有存储在其上的指令,当由处理器执行时,所述指令使得处理执行上述设备和/或方法的操作。
附图说明
为了详细地理解本公开的上述特征的方式,可通过参考实施方案获得对上面简要概述的实施方案进行更具体的描述,其中一些实施方案在附图中示出。然而,应注意附图仅示出了这份公开的典型实施方案,并且因此不应视为限制本公开的范围,因为本公开可允许其他等效的实施方案。
图1描绘了可用于实践本文中描述的实施方案的设备的示意图;
图2描绘了根据本公开的一个或多个实施方案的用于在设置在基板上的膜堆叠上形成非晶碳硬模层的方法的处理流程图;
图3A-图3H描绘了图示了根据本公开的一个或多个实施方案的硬模形成顺序的基板结构的示意性剖视图;
图4描绘了根据本公开的一个或多个实施方案的用于在设置在基板上的膜堆叠上形成非晶碳硬模层的方法的处理流程图;
图5A描绘了与使用先前技术形成的非晶碳膜相比,根据本公开的实施方案形成的非晶碳膜的平面内变形与膜应力(MPa)的关系图;
图5B描绘了图5A的非晶碳膜的杨氏模量(GPa)与膜应力(MPa)的关系图;
图6描绘了根据本公开的一个或多个实施方案的用于在设置在基板上的膜堆叠上形成非晶碳硬模层的另一种方法的处理流程图;以及
图7A-图7I描绘了图示了根据本公开的一种或多种实施方案的硬模形成顺序的基板结构的示意性剖视图。
为促进理解,在可能的情况下,使用相同的附图标记来表示附图中共有的相同元件。可预期一个实施方案的元件和特征可有利地并入其他实施方案中而无需进一步叙述。
具体实施方式
以下的公开内容描述了用于在基板上沉积类金刚石碳膜的技术。某些细节在以下描述和图1-图7I中阐述,以提供对本公开的各种实施方案的透彻理解。描述通常与等离子体处理和离子注入相关联的已知结构和系统的其他细节未在以下的公开内容中阐述,以避免不必要地模糊对各种实施方案的描述。
附图中所示的许多细节、尺寸、角度和其他特征仅仅是对特定实施方案的说明。因此,在不背离本公开的精神或范围的情况下,其他实施方案可具有其他细节、部件、尺寸、角度和特征。另外,可在没有下面描述的若干细节的情况下实施本公开的进一步实施方案。
下面将参考PECVD沉积处理和离子注入处理来描述本文中所述的实施方案,PECVD沉积处理和离子注入处理可使用任何合适的薄膜沉积和注入系统来执行。合适系统的示例包括可使用处理腔室的/>系统、PRECISION/>系统、/>系统、/>GTTM系统、/>XP PrecisionTM系统、/>SETM系统、/>处理腔室和MesaTM处理腔室,所有这些都可从加州圣克拉拉市的应用材料公司商购获得。离子注入处理可通过束线或等离子体注入工具执行。用以执行注入处理的示例性系统包括例如,VARIAN/>TRIDENT系统、VARIAN/>热离子系统、VARIAN介质流热离子系统、/>3000XP系统、/>900XP系统、/>HCP系统、/>Trident CrionTM系统和/>PLAD系统,可从加州圣克拉拉市的应用材料公司获得。其他能够执行PECVD和/或离子注入处理的工具也可适用于受益于本文中所述的实施方案。另外,可使用能够实现本文中所述的PECVD和/或离子注入处理的任何系统而得利。本文中描述的设备描述是说明性的,且不应所述被理解或解释为限制本文中描述的实施方案的范围。
缩小集成电路尺度的物理限制导致了与平面晶片表面正交的集成电路的扩展,即,高深宽比(HAR),装置空间的三维利用。用以适应动态蚀刻选择性和越来越严格的制造公差的纳米制造策略已经导致了硬模(HM)材料库,诸如掺杂有硅、钛、钨或硼的碳膜;以及介电氧化硅-氮化物(ON/OP)膜。以结合方式使用,这些材料在蚀刻选择性和低到1X节点的图案化中提供了优势。非晶碳硬模材料的创新对于在下一代装置结构中实现高深宽比(HAR)基准是期望的。与金属和电介质溶液相比,非晶碳是可清洗的,相对于下面的ON/OP硬模膜提供高选择性。非晶碳硬模的另一个好处是可调谐以提供对准的图案化特征的透明度的非晶碳硬模的相应光学性质,因此消除了对部分硬模开放处理的需要。然而,目前用于非晶碳硬模的整合硬件和处理相对于金属掺杂和电介质硬模对应物表现出相对差的机械性质。对于具有高sp3含量的膜而言,可看到当前生成的纯碳膜(如,纳米晶金刚石、超纳米晶金刚石、类金刚石碳和物理气相沉积碳)的最高蚀刻选择性,类似于金刚石的混种。类金刚石碳硬模中长期存在的高价值问题是由于sp3混种碳导致的>1GPa的压缩膜应力,由于光刻覆盖和静电吸附限制,这限制了图案化性能。
具有64x层堆叠应用和100:1深宽比的下一代3D NAND产品需要允许图案化同时抵抗变形并同时展示改进的光刻覆盖的薄膜。类金刚石碳膜将碳物种特定的蚀刻选择性和优异的结构完整性结合。这些类金刚石碳膜将只有在其机械性质(其预兆为杨氏模量)能够在减小的应力和平面内变形(“IPD”)值下进一步改进时才能保持竞争力。
本公开的一些实施方案提供了使用现有硬件且对产出或实现成本的影响很小的处理。本公开的一些实施方案解决了光刻覆盖的高价值问题,以及与差的杨氏模量(E)关联的高应力。本公开的一些实施方案提供了通过调谐等离子体沉积机制而将非晶碳的模数增加到约2倍(如,从约64GPa增加到约138GPa)的一种独特处理。通过离子注入实现膜性质的进一步改进,离子注入使非晶碳膜的杨氏模量增加附加的30%(~180GPa),同时将压缩应力降低75%(从约-1200降低到约-300MPa)。此外,与当前一代的纯碳硬模膜相比,PECVD加上离子注入的组合提供了实现显著更低的平面内变形(<3纳米覆盖误差)的非晶碳膜。
在改进非晶碳硬模膜的性能方面,本公开的第一方面定义了新的处理窗口。这个新的处理窗口的目标是尽管存在高应力(如,约-1200GPa)但改进模量的低平面内变形。不受理论的束缚,但据信这些改进是通过通过降低压力而增加等离子体的鞘尺寸和增加处理间隔导致等离子体温度降低来实现的。尽管合成温度降低,但沉积速率的显著降低证实了更高的鞘势和Bohm速度。这有利于更多地形成碳-碳键,同时降低膜中的氢含量。在一个实施方案中,沉积后在633nm处测量的消光系数值为0.72,表明更高的C=C,石墨特征。此外,降低等离子体的密度增加了平均自由路径、轰击能量并改进了晶片表面上的离子能量分布函数的均匀性。因为较弱的等离子体(通过轰击使非晶化最小化)导致的膜内特质显示杨氏模量(E)、硬度和密度的增加。不受理论束缚,但据信增加的平均自由路径导致针对光刻覆盖的更低的平面内变形(IPD)。
本公开的第二方面(在线离子注入)用于将非晶碳膜的应力分量降低高达约75%(如,从约-1200降低到约-300MPa),进一步改进杨氏模量(如,从约138改进到约177GPa)并使平面内变形分布更中心对称。离子注入可在一定温度范围(如,从约摄氏-100度至约摄氏550度)下进行。本文中建立的是,降低非晶碳膜的离子注入温度使注入的掺杂剂的重排最小化-证实了注入的有益效果,诸如致密化、sp3强化和氢还原。不受理论束缚,但据信离子注入重新分布了局部应力并有助于将整个晶片应力减小到(例如)膜后沉积值的约25%。基于进入的晶片模量来开发用于注入的正确处理方案,以在减小应力的同时最佳地强化模量,以绕过膜改进的饱和度的HVP。
与当前可获得的纯碳硬模膜相比,所得到的非晶碳膜显示30-50%的蚀刻选择性的改进,同时还匹配先前的覆盖要求。
在本公开的一些实施方案中,经由等离子体增强化学气相沉积将非晶碳膜沉积到裸硅覆盖晶片上。在一些实施方案中,碳前驱物为C3H6,其中等离子体分布和均匀性由氩气和氦气维持。这项工作的范围还涵盖使用C4H8、C2H6、C2H4、C2H2、CO2和CF4等。这个应用的高频RF为13.56MHz。单晶片硬件可在高达650摄氏度的温度下进行沉积,并使用气体盒、带有平面加热器边缘环配置的喷头组合来保持从中心到边缘的等离子体稳定性。等离子体分布和与晶片表面的耦合可通过RF的分层而进一步调谐,以横向和垂直于晶片表面分布。
在一些实施方案中,在单晶片处理工具中执行离子注入。热交换器使温度控制和冷注入技术的发展能够达到-100摄氏度。本公开中所示的产生高性能的物种是保持膜的纯碳特性的可清洗的离子。
图1是根据本公开的各种实施方案而配置的等离子体处理腔室100的示意性剖视图。作为示例,图1中的等离子体处理腔室100的实施方案是根据PECVD系统而描述的,但是任何其他等离子体处理腔室可落入实施方案的范围内,包括其他等离子体沉积腔室或等离子体蚀刻腔室。等离子体处理腔室100包括壁102、底部104和腔室盖124,它们一起包围基座105和处理区域146。等离子体处理腔室100进一步包括真空泵114、第一RF发生器151、第二RF发生器152、RF匹配器153、气体源154、顶部RF电流调谐器155、底部RF电流调谐器157和系统控制器158,每个都如图所示在外部耦接到等离子体处理腔室100。
壁102和底部104可包括导电材料,诸如铝或不锈钢。穿过一个或多个壁102,可存在狭缝阀开口,狭缝阀开口被配置为促进将基板110插入到等离子体处理腔室100中并将基板110从等离子体处理腔室100移除。被配置成密封狭缝阀开口的狭缝阀可设置在等离子体处理腔室100的内侧或外侧中的任一侧。为清楚起见,图1中未显示狭缝阀或狭缝阀开口。
真空泵114耦接到等离子体处理腔室100且被配置成调节其中的真空水平。如图所示,阀116可耦接在等离子体处理腔室100与真空泵114之间。真空泵114通过阀116在基板处理之前抽空等离子体处理腔室100,并且在处理期间从等离子体处理腔室100移除处理气体。阀116可为可调节的,以促进调节等离子体处理腔室100的抽空速率。穿过阀116的抽空速率和来自气体源154的进入气体流速确定等离子体处理腔室100中的腔室压力和处理气体驻留时间。
气体源154经由穿过腔室盖124的管123耦接到等离子体处理腔室100。管123流体地耦接到在背板106与气体分配喷头128之间的气室148,气体分配喷头128被包括在腔室盖124中。在操作期间,从气体源154引入到等离子体处理腔室100中的处理气体填充气室148,并接着穿过形成在气体分配喷头128中的气体通道129,以均匀地进入处理区域146。在替代实施方案中,除了气体分配喷头128之外或代替气体分配喷头128,处理气体可经由附接到壁102的入口和/或喷嘴(未显示)而引入到处理区域146中。
基座105可包括用于在由等离子体处理腔室100处理期间支撑基板的任何技术上可行的设备,诸如图1中的基板110。在一些实施方案中,基座105设置在轴112上,轴112被配置成升高和降低基座105。在一个实施方案中,轴112和基座105可至少部分地由导电材料形成或含有导电材料,诸如钨、铜、钼、铝或不锈钢。替代地或附加地,基座105可至少部分地由陶瓷材料形成或含有陶瓷材料,诸如氧化铝(Al2O3)、氮化铝(AlN)、二氧化硅(SiO2)等。在等离子体处理腔室100是电容耦合等离子体腔室的实施方案中,基座105可被配置成含有电极113。在这样的实施方案中,金属杆115或其他导体电耦合到电极113且被配置成提供将RF功率传送到等离子体处理腔室100的接地路径的一部分。即,金属杆115使得传送到等离子体处理腔室100的RF功率能够通过电极113并离开等离子体处理腔室100以接地。
在一些实施方案中,电极113还被配置成提供来自DC电源(未图示)的电偏压,以在等离子体处理期间能够将基板110静电吸附到基座105上。在这样的实施方案中,基座105通常包括主体,主体包括一种或多种陶瓷材料(诸如上述的陶瓷材料)或适用于静电吸盘的任何其他陶瓷材料。在这样的实施方案中,电极113可为网孔,诸如RF网孔,或由钼(Mo)、钨(W)或热膨胀系数基本上类似于陶瓷材料或被包括在基座105的主体中的材料的热膨胀系数的其他材料所制成的穿孔材料片。电极113和气体分配喷头128一起界定等离子体形成在其中的处理区域146的边界。例如,在处理期间,基座105和基板110可升高并定位在气体分配喷头128的下表面附近(如,在10-30mm内),以形成至少部分地封闭的处理区域146。
第一RF发生器151是射频(RF)电源,被配置成经由RF匹配器153向放电电极126提供第一RF频率的高频功率。类似地,第二RF发生器152是RF电源,被配置成经由通过RF匹配器153向放电电极126提供第二RF频率的高频功率。在一些实施方案中,第一RF发生器151包括能够以高频(HF)(例如,约13.56MHz)生成RF电流的RF电源。替代地或附加地,第一RF发生器151包括能够生成VHF功率(诸如在约20MHz到200MHz或更高的频率下的VHF功率)的VHF发生器。与之相比,第二RF发生器152包括能够以所谓的低频(LF)RF(例如,约350kHz)生成RF电流的RF电源。替代地或附加地,第二RF发生器152包括能够以在约1kHz至约1MHz之间的频率下生成RF功率的RF发生器。第一RF发生器151和第二RF发生器152被配置成促进在放电电极126和基座105之间生成等离子体。
放电电极126可包括处理气体分配元件,诸如气体分配喷头128(如图1所示)和/或气体注入喷嘴阵列,处理气体通过气体注入喷嘴阵列引入到处理区域146中。放电电极126(即气体分配喷头128)可基本上平行于基板110的表面定向,并且将等离子体源功率电容耦合到处理区域146中,处理区域146设置在基板110与气体分配喷头128之间。
RF匹配器153可以是耦接在第一RF发生器151与等离子体处理腔室100的供电电极(即,气体分配喷头128)之间的任何技术上可行的阻抗匹配设备。RF匹配器153也耦接在第二RF发生器152与等离子体处理腔室100的供电电极之间。RF匹配器153被配置成使负载阻抗(等离子体处理腔室100)与源或驱动源(第一RF发生器151、第二RF发生器152)的内部阻抗相匹配,以实现从第一RF发生器151和第二RF发生器152到等离子体处理腔室100的RF功率的最大传输。
形成壁102的一部分的是上隔离器107、调谐环108和下隔离器109。上隔离器107被配置成将(由导电材料形成的)调谐环108与背板106电隔离,所述背板106在一些实施方案中在操作期间以RF功率供能。因此,上隔离器107位于背板106与调谐环108之间,并防止调谐环108经由背板106被RF功率供能。在一些实施方案中,上隔离器107被配置为围绕处理区域146同心地定位的陶瓷环或环形物(annulus)。类似地,下隔离器109被配置为将调谐环108与壁102电隔离。壁102通常由导电材料形成,且因此可用作在处理期间将RF功率的一部分传送到等离子体处理腔室100的接地路径。因此,下隔离器109使得调谐环108能够成为将RF功率传送到等离子体处理腔室100的除了壁102的接地路径之外的不同接地路径的一部分。在一些实施方案中,上隔离器107被配置成陶瓷环,或被配置成包括围绕处理区域146同心地定位的陶瓷环。
调谐环108设置在上隔离器107与下隔离器109之间,由导电材料形成,且邻近处理区域146设置。例如,在一些实施方案中,调谐环108由合适的金属形成,诸如铝、铜、钛或不锈钢。在一些实施方案中,调谐环108是在基板110的处理期间围绕基座105和基板110同心地定位的金属环或环形物(annulus)。此外,如图所示,调谐环108经由导体156并经由顶部RF电流调谐器155电耦合到接地。因此,调谐环108不是供电电极,且通常设置在处理区域146的外侧和周围。在一个示例中,调谐环108位于与基板110基本平行的平面中,且是用以在处理区域146中形成等离子体的RF能量的接地路径的一部分。结果,经由顶部RF电流调谐器155在气体分配喷头128与接地之间建立附加的RF接地路径141。因此,通过改变顶部RF电流调谐器155在特定频率处的阻抗,在那个特定频率处的RF接地路径141的阻抗改变,引起在那个频率处耦合到调谐环108的RF场的变化。因此,处理区域146中的等离子体的形状可沿着+/-X和Y方向针对与第一RF发生器151或第二RF发生器152相关联的RF频率独立地调制。即,在处理区域146中形成的等离子体的形状、体积或均匀性可通过使用(例如)调谐环108而在基板110的表面上独立地调制,或使用电极113而垂直地在基板110与气体分配喷头128之间针对多个RF频率独立地调制。
系统控制器158被配置成控制等离子体处理腔室100的部件和功能,诸如真空泵114、第一RF发生器151、第二RF发生器152、RF匹配器153、气体源154、顶部RF电流调谐器155和底部RF电流调谐器157。这样,系统控制器158接收传感器输入,如,来自顶部RF电流调谐器155和底部RF电流调谐器157的电压-电流输入,并传输用于等离子体处理腔室100的操作的控制输出。系统控制器158的功能可包括任何技术上可行的实施方案,包括经由软件、硬件和/或固件,且可在与等离子体处理腔室100相关联的多个单独的控制器之间划分。
不受理论束缚,但据信通过在等离子体增强沉积处理期间将不同频率的RF功率输送到等离子体处理腔室的处理区域,可调节沉积膜的性质。例如,调节输送到处理区域146的低频RF等离子体功率和/或频率(即,以1kHz至1MHz的方式形成RF等离子体)可有利于调节一些沉积膜性质(诸如膜应力),而调节输送到处理区域146的高频RF等离子体功率和/或频率(即,以1MHz至200MHz的方式形成RF等离子体)可有利于调节其他沉积膜性质(诸如厚度均匀性)。根据本公开的各种实施方案,调谐设备能够在多个RF频率下独立控制在等离子体处理腔室100中的RF电流的流动。在一些实施方案中,在等离子体处理腔室100中的多个位置处采用这种调谐设备,即,顶部RF电流调谐器155和底部RF电流调谐器157。
如上所述,顶部RF电流调谐器155电耦合到调谐环108并且端接到接地,从而为等离子体处理腔室100提供可控的RF接地路径141。类似地,底部RF电流调谐器157电耦合到金属杆115并且端接到接地,从而为等离子体处理腔室100提供不同的可控RF接地路径142。如本文中所述,顶部RF电流调谐器155和底部RF电流调谐器157每个都被配置成控制多个RF频率下的RF电流的到接地的流动。因此,在调谐环108与金属杆115之间处于第一RF频率的RF电流的分布可独立于在调谐环108和金属杆115之间处于第二RF频率的RF电流的分布而控制。
在电极113与放电电极126之间的处理区域146中形成等离子体180。在电极113的底表面与基座105的顶表面之间的距离或“间隔”由“X”表示。
其他沉积腔室也可受益于本公开,且上面列出的参数可根据用以形成非晶碳层的特定沉积腔室而变化。例如,其他沉积腔室可具有更大或更小的体积,需要比可从应用材料公司获得的沉积腔室所述的气体流速更大或更小的气体流速。在一个实施方案中,可使用可从加州圣克拉拉市的应用材料公司商购获得的XP PrecisionTM处理系统沉积硼-碳膜。
掺杂剂或惰性物种掺入非晶碳膜中的原子百分比计算如下:(以cm-3计的掺杂剂浓度除以预期用于特定密度的碳膜的每cm-3的碳原子数。非晶碳膜可含有至少0.1、1或10原子百分比的掺杂剂或惰性物种。非晶碳膜可含有高达1、10或30原子百分比的掺杂剂或惰性物种。非晶碳膜可含有从约1至约30原子百分比的掺杂剂或惰性物种。非晶碳膜可含有从约10至约30原子百分比的掺杂剂或惰性物种。非晶碳膜可含有至少3、5或10原子百分比的氢。非晶碳膜可含有高达5、10或15原子百分比的氢。非晶碳膜可含有从约3至约15原子百分比的氢。
在掺杂剂是碳的一种实施方案中,碳掺入非晶碳膜中的原子百分比计算如下:((C/(H+C))%)。非晶碳膜可含有至少85、90或95原子百分比的碳。非晶碳膜可含有高达90、95或97原子百分比的碳。非晶碳膜可含有从约85至约97原子百分比的碳。非晶碳膜可含有从约90至约97原子百分比的碳。非晶碳膜可含有至少3、5或10原子百分比的氢。非晶碳膜可含有高达5、10或15原子百分比的氢。非晶碳膜可含有从约3至约15原子百分比的氢。
通常,以下的示例性沉积处理参数可用以本文中所述的非晶碳膜沉积处理的PECVD部分。处理参数的范围可从约100摄氏度到约700摄氏度(如,在约300摄氏度至约700摄氏度之间)的晶片温度。腔室压力的范围可从约1托(Torr)到约20托(如,在约2托和约8托之间;或在约5托和约8托之间)。含烃气体的流速可为从约100sccm至约5,000sccm(如,在约100sccm和约2,000sccm之间;或在约160sccm和约500sccm之间)。稀释气体的流速的范围可分别地从约0sccm至约5,000sccm(如,从约2,000sccm至约4,080sccm)。惰性气体的流速的范围可分别地从约0sccm至约10,000sccm(如,从约0sccm至约2,000sccm;从约200sccm至约2,000sccm)。RF功率可在1,000瓦(Watt)和3,000瓦之间。在基板110的顶表面与气体分配喷头128之间的板间距可设定在约200密耳至约1,000密耳之间(如,在约200密耳和约600密耳之间;在约300密耳至约1,000密耳之间;或在约400密耳和约600密耳之间)。可沉积非晶碳膜以具有在约和约/>之间(如,在约/>和约/>之间;或在约/>至约之间)的厚度。上述处理参数为非晶碳膜提供了范围为约/>/分钟至约/>/分钟(如,从约/>/分钟至约/>/分钟)的且可在可从加州圣克拉拉市的应用材料公司获得的沉积腔室中的300mm基板上实现的典型的沉积速率。
在注入之前所沉积的非晶碳膜可具有大于1.9(例如大约2.2(如,从约2.1至约2.5))的折射率(n)(633nm)。例如,所沉积的非晶碳膜可具有小于1.0(如,从约0.6至约0.8)的k值(k(在633nm处))。所沉积的非晶碳膜可具有从约50至约200GPa(如,从约60至约140GPa;或从约100至约140GPa)的杨氏模量(GPa)。所沉积的非晶碳膜可具有从约10GPa至约22GPa(如,从约10GPa至约15GPa;或从约12GPa至约14GPa)的硬度(GPa)。所沉积的非晶碳膜可具有从约-1300MPa至约0MPa(如,从约-1300MPa至约-250MPa;从约-1250MPa至约-1000MPa)的应力(MPa)。所沉积的非晶碳膜可具有从约1.7g/cc至约1.87g/cc(如,从约1.74g/cc至约1.85g/cc)的密度(g/cc)。
在碳注入之后所沉积的非晶碳膜可具有大于2.04(例如大约2.2(如,从约2.1至约2.2))的折射率(n)(633nm)。例如,所沉积的非晶碳膜可具有小于1.0(如,从约0.5至约0.8;从约0.6至约0.7)的k值(k(在633nm处))。注入后所沉积的非晶碳膜可具有从约70至约200GPa(如,从约120至约180GPa;或从约130至约170GPa)的杨氏模量(GPa)。注入后所沉积的非晶碳膜可具有从约14GPa至约22GPa(如,从约15GPa至约20GPa;或从约16GPa至约19GPa)的硬度(GPa)。注入后所沉积的非晶碳膜可具有从约-600MPa至约0MPa(如,从约-400MPa至约0Pa;从约-350MPa至约0MPa)的应力(MPa)。所沉积的非晶碳膜可具有大于1.9g/cc,例如大约2.1g/cc(如,从约1.95g/cc至约2.1g/cc)的密度(g/cc)。
图2描绘了根据本公开的一个或多个实施方案的用于在设置在基板上的膜堆叠上形成非晶碳硬模层的方法200的处理流程图。图3A-图3H描绘了示出了根据方法200的硬模形成顺序的基板结构的示意性剖视图。尽管下面参考可在用于三维半导体装置的膜堆叠中制造类楼梯结构的膜堆叠上形成的硬模层来描述方法200,方法200还可用以在其他装置制造应用中得利。此外,还应所述理解图2中描绘的操作可同时地执行和/或以与图2中所示的顺序不同的顺序执行。
方法200通过将基板302定位到处理腔室(诸如图1中所示的等离子体处理腔室100)中而在操作210处开始。基板302可为图1中所示的基板110。基板302可为在其上形成的膜堆叠300的一部分。
在一个实施方案中,基板110的表面(如图1所示)基本上是平面的。替代地,基板110可具有图案化结构,例如,其中形成有沟槽、孔或通孔的表面。基板110还可具有基本平坦的表面,基本平坦的表面具有在其上或其中以目标高度形成的结构。虽然基板110被示出为单个主体,但是应当理解基板110可含有在形成半导体装置中使用的一种或多种材料,诸如金属接触件、沟槽隔离件、闸极、位线或任何其他互连特征。基板110可包括用以制造半导体装置的一个或多个金属层、一个或多个介电材料、半导体材料及其组合。例如,取决于应用,基板110可包括氧化物材料、氮化物材料、多晶硅材料等。在以存储器应用为目标的一个实施方案中,基板110可包括其中带有或不带有多晶硅的硅基板材料、氧化物材料和氮化物材料。
在另一实施方案中,基板110可包括沉积在基板110的表面上的多个交替的氧化物和氮化物材料(即,氧化物-氮化物-氧化物(ONO))(未示出)。在各种实施方案中,基板110可包括多个交替的氧化物和氮化物材料、一种或多种氧化物或氮化物材料、多晶硅或非晶硅材料、与非晶硅交替的氧化物、与多晶硅交替的氧化物、与经掺杂的硅交替的未掺杂硅、与经掺杂的多晶硅交替的未掺杂多晶硅或与经掺杂的非晶硅交替的未掺杂非晶硅。基板110可为在其上执行膜处理的任何基板或材料表面。例如,基板110可为诸如晶体硅、氧化硅、氮氧化硅、氮化硅、应变硅、硅锗、钨、氮化钛、经掺杂或未掺杂的多晶硅、经掺杂或未掺杂的硅晶片以及经图案化或非图案化的晶片、绝缘体上硅(SOI)、经碳掺杂的氧化硅、氮化硅、经掺杂的硅、锗、砷化镓、玻璃、蓝宝石、低k电介质以及它们的组合的材料。
膜堆叠300包括基板302和底层304。如本文中所用,底层304包括设置在非晶碳硬模下方的任何层。例如,非晶碳硬模306可直接设置在底层304的上方,使得非晶碳硬模306和底层304彼此实质接触。在一个实施方案中,底层304包括单个层。在另一实施方案中,底层304包括电介质堆叠。
在操作220处,非晶碳硬模306形成在设置在基板302上方的底层304上,如图3B所示。通过在底层304上方覆盖式沉积处理而沉积非晶碳硬模306。在一些实施方案中,根据图4的处理流程图中描述的方法400沉积非晶碳硬模306。可将非晶碳硬模306沉积到一定厚度,所述厚度对应于底层304的后续蚀刻要求。在一个示例中,非晶碳硬模具有在约0.5μm和约1.5μm之间(诸如约1.0μm)的厚度。
在操作230处,离子注入处理用掺杂剂掺杂非晶碳硬模306,以形成掺杂有掺杂剂的经掺杂的非晶碳硬模312,如图3C所示。可使用任何合适的掺杂技术。在一个实施方案中,采用等离子体浸没离子注入技术来注入掺杂剂或惰性物种。在一个实施方案中,采用束线注入技术来注入掺杂剂或惰性物种。在一个实施方案中,可采用共形掺杂技术(诸如等离子体掺杂(PLAD)技术)来注入掺杂剂或惰性物种。
合适的离子物种可由各种前驱物材料产生,诸如含碳、硼、氮、硅、磷、氦、氩、氖、氪、氙、铍和锗材料。在一个实施方案中,掺杂剂或惰性物种选自碳、硼、氮、硅、磷、氩、氦、氖、氪、氙、铍、锗或其组合。含碳前驱物气体的示例包括CH4。在一个实施方案中,各种前驱物材料由前驱物材料的组合生成,包括(例如)CH4/N2、CH4/He、N2/He、CH4/Ne、CH4/Ar、CH4/Ne、CH4/Kr或CH4/Xe。
在示意图中,离子310轰击非晶碳硬模306且通常穿透非晶碳硬模306,以形成注入有掺杂剂或惰性物种的经掺杂的非晶碳硬模312。离子310穿透非晶碳硬模306到各种深度,这取决于离子的类型和尺寸以及用以对离子310通电的功率和偏压。离子310的物种可被订制以提供底层304的增加的蚀刻选择性。因此,注入的物种可以是适以增强非晶碳硬模306的蚀刻选择性的任何单体或分子离子。
可通过束线或等离子体注入工具执行离子注入处理。用以执行注入处理的示例性系统包括例如,可从加州圣克拉拉市的应用材料公司获得的VARIANTrident系统、VARIAN/>热离子系统、VARIAN/>介质流热离子系统、/>3000XP系统、/>900XP系统、/>HCP系统和/>PLAD系统。尽管关于上述系统进行了描述,但是可预期来自其他制造商的系统也可用以执行离子注入处理。
在一个实施方案中,离子注入处理将掺杂剂或惰性物种注入非晶碳硬模306中。掺杂剂或惰性物种选自碳、硼、氮、硅、磷、氩、氦、氖、氪、铍、锗、氙或其组合。在一个实施方案中,对于碳硬模厚度应用在到/>的范围中的3D NAND应用而言,用以对掺杂剂通电的注入能量在约60keV和约300keV之间(可以施加单个或多个注入能量。作为用于碳注入的示例,300keV的能量将修改约/>的碳硬模。可以施加多种注入能量来修改碳硬模,例如,60keV、120keV、180keV、240keV、300keV或一些变化的多种能量。注入物种和多能量选择将取决于所用掺杂剂的类型、用作非晶碳硬模306的材料类型以及碳硬模所需的均匀厚度修改。在另一个实施方案中,对于碳硬模的厚度范围可从/>到/>的DRAM或其他技术(诸如逻辑或新兴的存储器技术)而言,用以对掺杂剂通电的注入能量在约1keV和至约60keV之间(如,在约5keV和约60keV之间;在约1keV和约15keV之间;在约10keV和约35keV之间;在约20keV和约30keV之间;或在约20keV和约25keV之间),这取决于所用掺杂剂的类型、用作非晶碳硬模306的材料的类型以及目标的注入深度。
在一种实施方案中,对于碳硬模厚度的范围可在到/>的3D NAND应用而言,离子剂量(离子/cm2)在约1×1013离子/cm2和约5×1015离子/cm2之间(如,在约1×1014离子/cm2和约3×1015离子/cm2之间;在约5×1014离子/cm2和约2×1015离子/cm2之间),这取决于所用掺杂剂的类型、用作非晶碳硬模306的材料类型以及目标的注入深度。在另一种实施方案中,对于碳硬模厚度的范围可从/>到/>的DRAM或其他技术(例如,逻辑或新兴存储器技术)而言,离子剂量(离子/cm2)在约5×1013离子/cm2和约5×1016离子/cm2(如,在约1×1014离子/cm2和约5×1016离子/cm2之间;在约5×1014离子/cm2和约2×1016离子/cm2之间;在约1×1015离子/cm2和约1×1016离子/cm2之间;取决于所用掺杂剂的类型、用作非晶碳硬模306的材料的类型和目标的注入深度。
在使用PLAD注入技术的一种实施方案中,用以对掺杂剂或惰性物种通电的注入能量在约1kV和约15kV之间(如,在约1kV和约15kV之间;在约1kV和约15kV之间;在约2kV和约12kV之间;在约4kV和约10kV之间;或在约20kV和约25kV之间),离子剂量范围在约1×1015离子/cm2和约5×1017离子/cm2之间(如,在约3×1015离子/cm2和约3×1017离子/cm2之间;在约5×1015离子/cm2和约5×1016离子/cm2之间;在约5×1015离子/cm2和约2×1016离子/cm2之间;或在约5×1015离子/cm2和约1×1016离子/cm2之间)。在掺杂剂是氦的一种实施方案中,用以对掺杂剂通电的注入能量在约1kV至约15kV之间,离子剂量范围在约1×1015离子/cm2和约3×1017离子/cm2之间。
在一个实施方案中,目标温度在约-100摄氏度和约550摄氏度之间(如,在约-100摄氏度和约200摄氏度之间;在约-100摄氏度和约0摄氏度之间;在约-100摄氏度和约50摄氏度之间;在约0摄氏度和约100摄氏度之间;或在约150摄氏度和约550摄氏度之间)。在另一个实施方案中,目标温度在约-100摄氏度和约500摄氏度之间(如,在约-100摄氏度和约200摄氏度之间;在约-100摄氏度和约0摄氏度之间;在约-100摄氏度和约50摄氏度之间;在约0摄氏度和约50摄氏度之间;或在约50摄氏度和约400摄氏度之间)。在执行冷注入的一个示例中,用于注入的目标温度为从约-摄氏100度至约摄氏0度。在执行室温注入的另一个示例中,用于注入的目标温度为从约10摄氏度至约100摄氏度。在执行热注入的又另一个示例中,用于注入的目标温度为从约150摄氏度至约550摄氏度。
通常,在非晶碳硬模306打开之后,增加的非晶碳硬模306的硬度提供了底层304中的高深宽比结构的减少的线弯曲。据信注入的离子310从非晶碳硬模306的悬空碳-氢键中提取残留的氢原子,并在非晶碳硬模306内形成碳化物结构。当与未掺杂的硬模相比时,碳化物结构表现出增加的硬度。附加地,据信注入的离子310占据存在于非晶碳硬模306内的间隙空隙,这导致非晶碳硬模306的密度增加。增加的密度进一步增加了非晶碳硬模306的机械完整性。
在一个实施方案中,在离子注入处理之后,对膜堆叠300进行热处理。合适的离子注入后热处理技术包括UV处理、热退火和激光退火。经掺杂的非晶碳硬模312的热处理进一步将注入的离子310结合到经掺杂的非晶碳硬模312的框架中。例如,注入的离子310可在经掺杂的非晶碳硬模312内重新分布,以形成更均匀的掺杂分布。据信热处理可增加在经掺杂的非晶碳硬模312的非晶碳与注入的离子310之间的相互作用和键合。注入的离子310的重新分布和键合可用以进一步增加经掺杂的非晶碳硬模312的硬度、密度和蚀刻选择性。在一种实施方案中,退火处理在等离子体处理腔室(诸如等离子体处理腔室100)中执行。在另一种实施方案中,退火处理在单独的退火腔室中执行。
在操作240处,在掺杂有掺杂剂或惰性物种的经掺杂的非晶碳硬模312之上形成经图案化的光刻胶层320,如图3D所示。可利用能量源(诸如光能)从光掩模将特征或图案转移到光刻胶层320。在一个实施方案中,光刻胶层320是聚合物材料,且图案化处理通过193纳米浸没式光刻处理或其他类似的光刻处理来执行。类似地,激光也可用以执行图案化处理。
在操作250处,通过(例如)等离子体蚀刻处理打开经掺杂的非晶碳硬模312,以形成经掺杂的图案化非晶碳硬模322,如图3E所示。等离子体蚀刻处理可在类似于关于图3C描述的腔室的腔室中执行。
在操作260处,移除光刻胶层320,如图3F所示。可通过各种有利的光刻胶移除处理来移除光刻胶层320。
在操作270处,蚀刻底层304,如图3G所示。底层304蚀刻可在等离子体处理腔室(诸如关于图1B描述的腔室和系统)中进行。蚀刻剂(诸如碳氟化合物)移除底层304的暴露部分。蚀刻剂的活性物种基本上不与经掺杂的图案化非晶碳硬模322的材料(注入的离子310)反应。因此,蚀刻剂对于底层304的材料具有选择性。蚀刻剂的合适示例包括CF4、CHF3、HBr、BCl3和Cl2等。可用惰性载气提供蚀刻剂。
在操作280处,移除经掺杂的图案化非晶碳硬模322。可通过任何有利的硬模移除处理来移除经掺杂的图案化非晶碳硬模322。在一个示例中,利用氧等离子体来移除经掺杂的图案化非晶碳硬模322。所得的膜堆叠300包括底层304,底层304具有形成在其中的特征324(诸如高深宽比特征)。膜堆叠300可接着经受进一步处理以形成功能半导体装置。
图4是描绘根据本文中描述的实施方案的用于沉积非晶碳膜的方法400的一个实施方案的处理流程图。在一个实施方案中,方法400可用以沉积操作220的非晶碳膜。方法400在操作410处通过在处理腔室的处理区域中提供基板而开始。处理腔室可以是图1中所示的等离子体处理腔室100。基板也可以是在图1中所示的基板110,或图3A-图3H中所示的基板302。
在操作420处,将含烃气体混合物流到处理区域146中。含烃气体混合物可从气体源154通过气体分配喷头128流到处理区域146中。气体混合物可包括至少一个烃源和/或含碳源。气体混合物可进一步包括惰性气体、稀释气体、含氮气体或其组合。烃源和/或含碳源可为任何液体或气体。在一个示例中,前驱物在室温下是蒸气,其简化用于材料计量、控制和输送到腔室的硬件。
在一个实施方案中,烃源是气态烃,诸如线性烃。在一个实施方案中,烃化合物具有通式CxHy,其中x具有在1和20之间的范围,y具有在1和20之间的范围。在一个实施方案中,烃化合物为烷烃。合适的烃化合物包括例如,甲烷(CH4)、乙炔(C2H2)、乙烯(C2H4)、乙烷(C2H6)、丙烯(C3H6)和丁烯(C4H8)、环丁烷(C4H8)和甲基环丙烷(C4H8)。合适的丁烯包括1-丁烯、2-丁烯和异丁烯。其他合适的含碳气体包括二氧化碳(CO2)和四氟化碳(CF4)。在一个示例中,C3H6由于形成更稳定的中间物种而是优选的,这允许更多的表面迁移率。
可将合适的稀释气体(诸如氦气(He)、氩气(Ar)、氢气(H2)、氮气(N2)、氨气(NH3)或其组合等)加入到气体混合物中。Ar、He和N2用以控制非晶碳层的密度和沉积速率。在一些情况下,添加N2和/或NH3可用以控制非晶碳层的氢比例,如下所述。替代地,在沉积期间可不使用稀释气体。
含氮气体可与含烃气体混合物一起供应到等离子体处理腔室100中。合适的含氮化合物包括例如,吡啶、脂族胺、胺、腈、氨和类似化合物。
惰性气体(诸如氩气(Ar)和/或氦气(He))可与含烃气体混合物一起供应到等离子体处理腔室100中。其他惰性气体(诸如氮气(N2)和一氧化氮(NO))也可用以控制非晶碳层的密度和沉积速率。附加地,可将各种其他处理气体添加到气体混合物,以改变非晶碳材料的性质。在一个实施方案中,处理气体可以是反应性气体,诸如氢气(H2)、氨气(NH3)、氢气(H2)和氮气(N2)的混合物或其组合。加入H2和/或NH3可用以控制沉积的非晶碳层的氢比例(如,碳与氢的比例)。存在于非晶碳膜中的氢比例提供对层性质(诸如反射率)的控制。
任选地,在操作430处,将处理区域中的压力稳定长达预定义的RF接通延迟时间段。预定义的RF接通延迟时间段是固定的时间延迟,其定义为在操作430中在将含烃气体混合物引入处理区域中与撞击或生成等离子体之间的时间段。可使用任何合适的固定时间延迟以达到目标条件。通常选择RF接通延迟时间段的长度,使得含烃或含碳气体混合物在处理区域中不开始热分解或基本上热分解。
在操作440处,在处理区域中生成RF等离子体以沉积非晶碳膜,诸如非晶碳硬模306。等离子体可通过电容或电感手段而形成,且可通过将RF功率耦合到前驱物气体混合物中来通电。RF功率可以是具有高频分量和低频分量的双频RF功率。RF功率通常以在约50W和约2,500W之间(如,在约2,000W至约2,500W之间)的功率水平施加,其可以全部是高频RF功率(例如在约13.56MHz的频率下),或可以是高频功率和低频功率(例如在约300kHz的频率下)的混合。对于大多数应用而言,将等离子体保持一定时间段以沉积具有厚度在约和约/>之间的非晶碳层。当达到非晶碳膜的目标厚度时,可停止含烃气体混合物的流动。操作440的处理可与操作420和操作430的处理同时执行、依序执行,或操作440的处理可与操作420和操作430的处理部分地重叠。
在本文中所述的任何PECVD实施方案中,在沉积非晶碳膜期间,腔室、晶片或两者可维持在约200摄氏度至约700摄氏度之间的温度(如,在约400摄氏度至约700摄氏度之间;或在约500摄氏度至约700摄氏度之间)。腔室压力的范围可从约1托到约10托(如,在约2托和约8托之间;或在约4托和约8托之间)。在基座与气体分配喷头之间的距离(即,“间隔”)可设定在约200密耳至约1,000密耳之间(如,在约200密耳和约600密耳之间;在约300密耳至约1,000密耳之间;或在约400密耳和约600密耳之间)。
通过执行任选的吹扫/抽空处理,可接着从处理区域移除任何过量的处理气体和来自沉积调节层的副产物。
图5A描绘了与使用先前技术所形成非晶碳膜(510、512和514)相比,根据本公开的实施方案所形成的非晶碳膜(520、522和530、532)的平面内变形与膜应力(MPa)的关系图500。应注意在碳掺杂剂注入之前描绘了根据本公开的实施方案所形成的非晶碳膜(520、522和530、532)。图5B描绘了图5A的非晶碳膜的杨氏模量(GPa)与膜应力(MPa)的关系图550。如图5A-图5B所示,根据本文中所述的实施方案所形成的非晶碳膜(520、522和530、532)实现了尽管高应力(如,-1200MPa)但低的平面内变形和改进的模量。本文中所述的后续碳掺杂剂注入处理将压缩膜应力减小了大约4倍,同时将模量增加了大约1.4倍。
图6描绘了根据本公开的一个或多个实施方案的用于在设置在基板上的膜堆叠上形成非晶碳硬模层的方法600的处理流程图。图7A-图7I描绘了示出了根据方法600的硬模形成顺序的基板结构的示意性剖视图。方法600和图7A-图7I描绘了图案化后注入,其中在将非晶碳膜图案化之后注入非晶碳膜。图案化后注入可以零度的注入倾斜角或以一些角度执行到非晶碳膜中,其中相关联的扭转角从0到约360度,这使得离子只能穿透硬模。在一个示例中,对于在一个方向而不是两个垂直方向上延伸的碳硬模线和空间特征,注入被分为两个单独的注入,每个注入的剂量为期望剂量的一半,所以例如,1×1016离子/cm2的剂量变成5.0×1015离子/cm2的剂量。基板每旋转180度进行一次注入,从而达到期望的1×1016离子/cm2的剂量。结果,碳硬模特征的两侧都被均匀地注入。尽管下面参考可在用于三维半导体装置的膜堆叠中制造类楼梯结构的膜堆叠上形成的硬模层来描述方法200,方法600还可用以在其他装置制造应用中得利。此外,还应该理解图6中描绘的操作可同时地执行和/或以与图6中所示的顺序不同的顺序执行。
方法600通过将基板702定位到处理腔室(诸如图1中所示的等离子体处理腔室100)中而在操作610处开始。基板702可以是图1中所示的基板110。基板702可以是其上形成有附加层的膜堆叠700的一部分。
在一种实施方案中,基板702的表面(如图1所示)基本上是平面的。替代地,基板702可具有图案化结构,例如,其中形成有沟槽、孔或通孔的表面。基板702还可具有基本平坦的表面,基本平坦的表面具有在其上或其中以目标高度形成的结构。虽然基板702被显示为单个主体,但是应理解基板702可含有在形成半导体装置中使用的一种或多种材料,诸如金属接触件、沟槽隔离件、闸极、位线或任何其他互连特征。基板702可包含用以制造半导体装置的一个或多个金属层、一个或多个介电材料、半导体材料及其组合。例如,取决于应用,基板702可包括氧化物材料、氮化物材料、多晶硅材料等。在以存储器应用为目标的一个实施方案中,基板702可包括其中带有或不带有多晶硅的硅基板材料、氧化物材料和氮化物材料。
在另一实施方案中,基板702可包括沉积在基板702的表面上的多个交替的氧化物和氮化物材料(即,氧化物-氮化物-氧化物(ONO))(未示出)。在各种实施方案中,基板702可包括多个交替的氧化物和氮化物材料、一种或多种氧化物或氮化物材料、多晶硅或非晶硅材料、与非晶硅交替的氧化物、与多晶硅交替的氧化物、与经掺杂的硅交替的未掺杂硅、与经掺杂的多晶硅交替的未掺杂多晶硅或与经掺杂的非晶硅交替的未掺杂非晶硅。基板702可以是在其上进行膜处理的任何基板或材料表面。例如,基板702可以是诸如晶体硅、氧化硅、氮氧化硅、氮化硅、应变硅、硅锗、钨、氮化钛、经掺杂或未掺杂的多晶硅、经掺杂或未掺杂的硅晶片以及经图案化或非图案化的晶片、绝缘体上硅(SOI)、经碳掺杂的氧化硅、氮化硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石、低k电介质以及他们的组合的材料。
膜堆叠700包括基板702和底层704。如本文中所用,底层704包括设置在非晶碳硬模下方的任何层。例如,非晶碳硬模706可直接设置在底层704的上方,使得非晶碳硬模706和底层704彼此实质接触。在一个示例中,底层704包括单个层。在另一个示例中,底层704包括多个层,诸如电介质堆叠。
在操作620处,非晶碳硬模706形成在设置在基板702上方的底层704上,如图7B所示。通过在底层704上方覆盖式沉积处理来沉积非晶碳硬模706。在一些实施方案中,根据图4的处理流程图中描述的方法400来沉积非晶碳硬模706。可将非晶碳硬模706沉积到一定厚度,所述厚度对应于底层704的后续蚀刻要求。在一个示例中,非晶碳硬模具有在约0.5μm和约1.5μm之间(诸如约1.0μm)的厚度。
在操作630处,在非晶碳硬模706上方形成经图案化的光刻胶层720,如图7C所示。可利用能量源(诸如光能)从光掩模将特征或图案转移到光刻胶层720。在一个实施方案中,光刻胶层320是聚合物材料,且图案化处理通过193纳米浸没式光刻处理或其他类似的光刻处理来执行。类似地,激光也可用以执行图案化处理。
在操作640处,通过(例如)等离子体蚀刻处理打开非晶碳硬模706,以形成具有开口或孔口的图案化非晶碳硬模722,如图7D所示。可使用任何合适的等离子体蚀刻处理。在一个示例中,等离子体蚀刻处理可在类似于关于图7F描述的腔室的腔室中执行。
在操作650处,移除光刻胶层720,以暴露图案化非晶碳硬模722的顶表面,如图7E所示。可通过各种有利的光刻胶移除处理来移除光刻胶层320。
在操作660处,离子注入处理用掺杂剂或惰性物种来掺杂图案化非晶碳硬模722,以形成掺杂有掺杂剂或惰性物种的经掺杂的图案化非晶碳硬模732,如图7F所示。操作660的离子注入处理可以零度的注入倾斜角(正交于由图案化非晶碳硬模722的顶表面界定的平面)或以大于零度的注入倾斜角(正交于由图案化非晶碳硬模722的顶表面界定的平面±θ)执行。如图7F所示,对于提高底层704的蚀刻速率的一些离子物种而言,可以零度执行注入处理,从而得到被修改和/或掺杂的修改部分742a和742b。在一些示例中,底层704的选择性修改导致修改部分742a、742b的蚀刻特性的改进。在另一示例中,对于导致减慢底层704的蚀刻速率的一些离子物种而言,可以注入倾斜角执行离子注入处理,使得底层704的暴露部分不被修改或掺杂,如图7G中所示。注入倾斜角通常取决于图案化非晶碳硬模中开口的尺寸。图案化后注入可以零度的注入倾斜角或以一些角度执行到非晶碳膜中,其中相关联的扭转角在0和360度之间,这使得离子只能穿透硬模。注入可分为多个注入,每个注入以不同的倾斜角进行。总期望剂量可除以多次注入以确定多个注入的每一个的剂量。例如,对于在一个方向而不是两个垂直方向上延伸的碳硬模线和空间特征,可将注入分为两个单独的注入,每个注入的剂量为所期望剂量的一半,例如,1×1016离子/cm2的剂量变为5.0×1015离子/cm2的剂量。基板每旋转180度就会进行一次注入,从而达到所期望的1×1016离子/cm2的剂量。结果,碳硬模特征的两侧都被均匀地注入。大范围的注入倾斜角是可能的,例如,可使用从约0.1度到约80度(如,约1度到约80度;或从约10度到约50度)的注入角,不包括零度。
可使用任何合适的掺杂技术。在一个示例中,采用等离子体浸没离子注入技术来注入掺杂剂或惰性物种。在另一个示例中,采用束线注入技术来注入掺杂剂或惰性物种。在又另一个示例中,采用共形掺杂技术(诸如等离子体掺杂(PLAD)技术)来注入掺杂剂或惰性物种。
合适的离子物种可以由各种前驱物材料产生,诸如含碳、硼、氮、硅、磷、氦、氩、氖、氪、氙、铍和锗材料。在一个实施方案中,掺杂剂或惰性物种选自碳、硼、氮、硅、磷、氩、氦、氖、氪、氙、铍、锗或其组合。含碳前驱物气体的示例包括CH4。在一个实施方案中,各种前驱物材料由前驱物材料的组合生成,包括例如,CH4/N2、CH4/He、N2/He、CH4/Ne、CH4/Ar、CH4/Ne、CH4/Kr或CH4/Xe。
在图7F的示意图中,离子710以零度的注入倾斜角轰击图案化非晶碳硬模722,且通常穿透图案化非晶碳硬模722和底层704的暴露部分,以形成经掺杂的图案化非晶碳硬模732和修改部分742a、742b,它们被修改和/或注入有掺杂剂或惰性物种。离子710穿透图案化非晶碳硬模722和底层704到各种深度,这取决于离子的类型和尺寸以及用以对离子710通电的功率和偏压。离子710的物种可被订制以提供底层704的增加的蚀刻选择性。因此,注入的物种可为适以增强图案化非晶碳硬模722的蚀刻选择性的任何单体或分子离子。
替代地,如图7G的示意图所示,以一定角度执行注入处理或操作660,使得底层704的暴露部分不被修改或掺杂。注入倾斜角取决于开口的尺寸。注入可进一步包括扭转角。大范围的注入倾斜角是可能的,例如,可使用从约0.1度到约80度(如,约1度到约80度)和从约-0.1度到约-80度(如,约-1度到-80度左右)的注入角,不包括零度。扭转角可在0和360度之间,这使得离子只能穿透硬模。如图7G所示,离子750轰击图案化非晶碳硬模722且通常穿透图案化非晶碳硬模722,但通常不穿透底层704的暴露部分。
离子注入处理可通过束线或等离子体注入工具执行。用以执行注入处理的示例性系统包括例如,可从加州圣克拉拉市的应用材料公司获得的VARIANTrident系统、VARIAN/>热离子系统、VARIAN/>介质流热离子系统/>3000XP系统、900XP系统、/>HCP系统和VIISta PLAD系统。虽然关于上述系统进行了描述,但预期来自其他制造商的系统也可用以执行离子注入处理。
在一个实施方案中,操作660的离子注入处理将掺杂剂或惰性物种注入到图案化非晶碳硬模722中。掺杂剂或惰性物种选自碳、硼、氮、硅、磷、氩、氦、氖、氪、氙、铍、锗或其组合。在一个实施方案中,用以对掺杂剂通电的注入能量在约60keV和约300keV之间(如,在约60keV和约300keV之间)。取决于所用的掺杂剂类型、用作图案化非晶碳硬模722的材料类型以及目标的注入深度,可在60keV和300keV之间的单个能量或多个能量下执行注入。在另一个实施方案中,用以对掺杂剂通电的注入能量在约1keV和约60keV之间(如,在约5keV和约60keV之间;在约1keV和约15keV之间;在约10keV和约35keV之间;在约20keV和约30keV之间;或在约20keV至约25keV之间)。取决于所用的掺杂剂类型、用作图案化非晶碳硬模722的材料类型以及目标的注入深度,可在1keV和60keV之间范围内的单个能量或多个能量下执行注入。
在一种实施方案中,离子剂量(离子/cm2)在约1×1013离子/cm2和约5×1017离子/cm2之间(如,在约1×1015离子/cm2和约3×1017离子/cm2之间;在约1×1014离子/cm2和约5×1016离子/cm2之间;在约1×1014离子/cm2和约2×1016离子/cm2之间;在约1×1015离子/cm2和约1×1016离子/cm2之间;在约5×1015离子/cm2和约1×1016离子/cm2之间),这取决于所用的掺杂剂类型、用作非晶碳硬模306的材料类型以及目标的注入深度。在另一种实施方案中,离子剂量(离子/cm2)在约5×1013离子/cm2和约5×1017离子/cm2之间(如,在约1×1015离子/cm2和约3×1017离子/cm2之间;在约1×1014离子/cm2和约5×1016离子/cm2;在约1×1014离子/cm2和约2×1016离子/cm2之间;在约1×1015离子/cm2和约1×1016离子/cm2之间;在约5×1015离子/cm2和约1×1016离子/cm2之间),这取决于所用的掺杂剂类型、用作图案化非晶碳硬模722的材料类型以及目标的注入深度。
在使用PLAD注入技术的一种实施方案中,用以对掺杂剂或惰性物种通电的注入能量在约1kV和约15kV之间(如,在约1kV和约12kV之间;在约2kV和约10Kv之间;在约1×1015离子/cm2和约5×1017离子/cm2之间(如,在约2×1015离子/cm2和约3×1017离子/cm2之间;在约5×1015离子/cm2和在约5×1016离子/cm2之间;或在约1×1016离子/cm2和约1×1017离子/cm2之间的离子剂量范围下。在掺杂剂是氦的一个示例中,用以对掺杂剂通电的注入能量在约1kV至约15kV之间,离子剂量范围在约1×1015离子/cm2和约3×1017离子/cm2之间。
在一个实施方案中,用于注入处理或操作660的目标温度在约-100摄氏度和约550摄氏度之间(如,在约-100摄氏度和约200摄氏度之间;在约-100摄氏度和约0摄氏度之间;在约-100摄氏度和约50摄氏度之间;在约0摄氏度和约100摄氏度之间;或在约150摄氏度和约550摄氏度之间)。在另一个实施方案中,用于注入的目标温度在约-100摄氏度和约500摄氏度之间(如,在约-100摄氏度和约200摄氏度之间;在约-100摄氏度和约0摄氏度之间;在约-100摄氏度和约50摄氏度之间;在约0摄氏度和约50摄氏度之间;或在约50摄氏度和约400摄氏度之间。)在执行冷注入的一个示例中,用于注入的目标温度为从约-100摄氏度至约0摄氏度。在执行室温注入的另一个示例中,用于注入的目标温度为从约10摄氏度至约100摄氏度。在执行热注入的又另一个示例中,用于注入的目标温度为从约150摄氏度至约550摄氏度。
在一个实施方案中,在离子注入处理之后,对膜堆叠700进行热处理。合适的离子注入后热处理技术包括UV处理、热退火和/或激光退火。经掺杂的图案化非晶碳硬模732的热处理进一步将注入的离子710结合到经掺杂的图案化非晶碳硬模732的框架中。例如,注入的离子710可在经掺杂的图案化非晶碳硬模732内重新分布,以形成更均匀的掺杂分布。据信热处理可增加经掺杂的图案化非晶碳硬模732的非晶碳与注入的离子710之间的相互作用和键合。注入的离子710的重新分布和键合可用以进一步增加经掺杂的图案化非晶碳硬模732的硬度、密度和蚀刻选择性。在一种实施方案中,退火处理在等离子体处理腔室(诸如等离子体处理腔室100)中执行。在另一种实施方案中,退火处理在单独的退火腔室中执行。
通常,增加的经掺杂的图案化非晶碳硬模732的硬度提供了底层704中的高深宽比结构的减少的线弯曲。据信注入的离子710、750从非晶碳硬模706的悬空碳-氢键中提取残留的氢原子,并在非晶碳硬模706内形成碳化物结构。当与未掺杂的硬模相比时,碳化物结构表现出增加的硬度。另外,据信注入的离子710、750占据存在于经掺杂的图案化非晶碳硬模732内的间隙空隙,这导致经掺杂的图案化非晶碳硬模732的密度增加。此外,据信注入的离子710、750增加了非晶碳硬模706中SP3碳与碳键的形成。增加的密度进一步增加了掺杂的图案化非晶碳硬模732的机械完整性。
在操作670处,蚀刻底层304,如图7H所示。底层704蚀刻可在等离子体处理腔室(诸如关于图1描述的腔室和系统)中执行。蚀刻剂(诸如碳氟化合物)移除底层704的暴露部分。蚀刻剂的活性物种基本上不与经掺杂的图案化非晶碳硬模732的材料(注入的离子710)反应。因此,蚀刻剂对于底层704的材料具有选择性。蚀刻剂的合适示例包括CF4、CHF3、HBr、BCl3和Cl2等。可用惰性载气提供蚀刻剂。
在操作680处,移除经掺杂的图案化非晶碳硬模732,如图7I所示。可通过任何有利的硬模移除处理来移除经掺杂的图案化非晶碳硬模732。在一个示例中,利用氧等离子体来移除经掺杂的图案化非晶碳硬模732。所得的膜堆叠700包括底层704,底层704具有形成在其中的特征724(诸如高深宽比特征)。膜堆叠700可接着经受进一步处理以形成功能半导体装置。
方法200、方法400和方法600对于在半导体装置制造处理中的金属化处理之前的前端产线处理(FEOL)中使用的处理是有用的。由于他们的高蚀刻选择性,通过方法400形成的非晶碳膜可在蚀刻处理期间用作硬模层。合适的处理包括闸极制造应用、接触件结构应用、浅沟槽隔离(STI)处理等。在使用非晶碳膜用作蚀刻停止层或用作用于不同处理目的的不同膜的一些实施方案中,非晶碳膜的机械或光学性质也可调节以满足特定的处理需要。
因此,根据本文中所述的实施方案,通过等离子体沉积处理随后进行碳注入处理来提供用于形成具有目标平面内变形和具有低应力的杨氏模量的高蚀刻选择性非晶碳膜的方法。方法有利地提供具有目标机械性质(诸如低应力和高杨氏模量)的非晶碳膜、碳-碳键合和氢结合的变化以及高蚀刻选择性。本公开的实施方案进一步提供了一种使用现存硬件而对产量或实现成本几乎没有影响的处理设计。本公开的一些实施方案提供了通过调谐等离子体沉积机制而将非晶碳膜的模量增加到约2倍(如,从约64GPa增加到约138GPa)的一种独特处理。通过离子注入实现膜性能的进一步改进,离子注入使非晶碳膜的杨氏模量增加30%(如,~180GPa),同时将压缩应力降低约75%(如,从约-1200降低到约-300MPa)。此外,与当前一代的纯碳硬模膜相比,PECVD加上离子注入的组合提供了实现了显著更低的平面内变形(<3纳米覆盖误差)的非晶碳膜。与当前生成的元素纯的非晶碳硬模膜相比,本文中所述的所得膜已经证明蚀刻选择性提高了大约30-50%,同时还符合先前的覆盖要求。
当介绍本公开的元件或其示例性方面或(多个)实施方案时,冠词“一(a)”、“一(an)”、“所述(the)”和“所述(said)”旨在表示存在一个或多个要素。
术语“包含(comprising)”、“包括(including)”和“具有(having)”旨在是包括性的,且意味着可能存在除所列要素之外的其他要素。
虽然前述内容涉及本公开的实施方案,但是可在不背离本公开的基本范围的情况下设计本公开的其他和进一步的实施方案,且本公开的范围由以下的权利要求确定。
Claims (20)
1.一种处理底层的方法,包含:
在第一处理区域中的位于基座上的底层上沉积非晶碳膜;
通过在第二处理区域中将掺杂剂或惰性物种注入到所述非晶碳膜中来形成经掺杂的非晶碳膜,其中所述掺杂剂或所述惰性物种选自碳、硼、氮、硅、磷、氩、氦、氖、氪、氙、铍、锗或其组合,其中在注入所述掺杂剂或所述惰性物种期间的目标温度在约-100摄氏度和约500摄氏度之间;
将所述经掺杂的非晶碳膜图案化;以及
蚀刻所述底层。
2.如权利要求1所述的方法,其特征在于,所述底层包含单个层或电介质堆叠。
3.如权利要求1所述的方法,其特征在于,在所述底层上沉积所述非晶碳膜的步骤包含:
使含烃气体混合物流到所述第一处理区域中;以及
在所述第一处理区域中生成RF等离子体,以在所述底层上形成所述非晶碳膜。
4.如权利要求3所述的方法,其特征在于,在所述第一处理区域中的气体分配喷头与所述基座之间的距离在约200密耳和约1,000密耳之间。
5.如权利要求4所述的方法,其特征在于,所述第一处理区域内的压力在约4托和约8托之间。
6.如权利要求1所述的方法,其特征在于,用以对所述掺杂剂或所述惰性物种通电的注入能量在约5keV和约300keV之间。
7.如权利要求6所述的方法,其特征在于,离子剂量在约1×1013离子/cm2和约5×1016离子/cm2之间。
8.如权利要求6所述的方法,其特征在于,将所述掺杂剂或所述惰性物种注入到所述图案化非晶碳膜中是在约1度和约80度之间的角度下执行。
9.一种处理底层的方法,包含:
在第一处理区域中的位于基座上的底层上沉积非晶碳膜;
通过在第二处理区域中将掺杂剂或惰性物种注入到所述非晶碳膜中来形成经掺杂的非晶碳膜,其中所述掺杂剂或所述惰性物种选自碳、硼、氮、硅、磷、氩、氦、氖、氪、氙、铍、锗或其组合,其中在注入所述掺杂剂或所述惰性物种期间的目标温度在约-100摄氏度和约550摄氏度之间;
将所述经掺杂的非晶碳膜图案化;以及
蚀刻所述底层,其中所述经掺杂的非晶碳膜在633nm处具有从约2.1至约2.2的折射率。
10.如权利要求9所述的方法,其特征在于,所述经掺杂的非晶碳膜在633nm处的k值小于1.0。
11.如权利要求9所述的方法,其特征在于,所述经掺杂的非晶碳膜具有从约70至约200GPa的杨氏模量(GPa)。
12.如权利要求11所述的方法,其特征在于,所述经掺杂的非晶碳膜具有从约14GPa至约22GPa的硬度(GPa)。
13.如权利要求12所述的方法,其特征在于,所述经掺杂的非晶碳膜具有从约-600MPa至约0MPa的应力(MPa)。
14.如权利要求13所述的方法,其特征在于,所述经掺杂的非晶碳膜具有从约1.95g/cc至约2.1g/cc的密度(g/cc)。
16.一种处理底层的方法,包含:
在第一处理区域中的位于基座上的底层上沉积非晶碳膜;
通过在第二处理区域中将碳掺杂剂注入到所述非晶碳膜中来形成经掺杂的非晶碳膜,其中在注入所述碳掺杂剂期间的目标温度在约-100摄氏度和约550摄氏度之间;
将所述经掺杂的非晶碳膜图案化;以及
蚀刻所述底层,其中所述经掺杂的非晶碳膜具有约14GPa至约22GPa的硬度(GPa)。
17.如权利要求16所述的方法,其特征在于,所述经掺杂的非晶碳膜在633nm处具有从约2.1至约2.2的折射率。
18.如权利要求17所述的方法,其特征在于,所述经掺杂的非晶碳膜在633nm处具有小于1.0的k值。
19.如权利要求16所述的方法,其特征在于,所述经掺杂的非晶碳膜具有从约70至约200GPa的杨氏模量(GPa)。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201762593668P | 2017-12-01 | 2017-12-01 | |
US16/939,316 US11469107B2 (en) | 2017-12-01 | 2020-07-27 | Highly etch selective amorphous carbon film |
US16/939,316 | 2020-07-27 | ||
PCT/US2021/042466 WO2022026257A1 (en) | 2017-12-01 | 2021-07-21 | Highly etch selective amorphous carbon film |
Publications (1)
Publication Number | Publication Date |
---|---|
CN116171337A true CN116171337A (zh) | 2023-05-26 |
Family
ID=66658164
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201880084977.2A Pending CN111587474A (zh) | 2017-12-01 | 2018-11-13 | 高蚀刻选择性的非晶碳膜 |
CN202180059228.6A Pending CN116171337A (zh) | 2017-12-01 | 2021-07-21 | 高蚀刻选择性非晶碳膜 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201880084977.2A Pending CN111587474A (zh) | 2017-12-01 | 2018-11-13 | 高蚀刻选择性的非晶碳膜 |
Country Status (7)
Country | Link |
---|---|
US (4) | US10727059B2 (zh) |
JP (3) | JP7326275B2 (zh) |
KR (3) | KR102612989B1 (zh) |
CN (2) | CN111587474A (zh) |
SG (1) | SG11202005150YA (zh) |
TW (3) | TW202325879A (zh) |
WO (2) | WO2019108376A1 (zh) |
Families Citing this family (205)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
KR20180099776A (ko) | 2016-01-26 | 2018-09-05 | 어플라이드 머티어리얼스, 인코포레이티드 | 웨이퍼 에지 링 리프팅 솔루션 |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US9947517B1 (en) * | 2016-12-16 | 2018-04-17 | Applied Materials, Inc. | Adjustable extended electrode for edge uniformity control |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10553404B2 (en) | 2017-02-01 | 2020-02-04 | Applied Materials, Inc. | Adjustable extended electrode for edge uniformity control |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11075105B2 (en) | 2017-09-21 | 2021-07-27 | Applied Materials, Inc. | In-situ apparatus for semiconductor process module |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
SG11202005150YA (en) * | 2017-12-01 | 2020-06-29 | Applied Materials Inc | Highly etch selective amorphous carbon film |
US11043400B2 (en) | 2017-12-21 | 2021-06-22 | Applied Materials, Inc. | Movable and removable process kit |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TW202325889A (zh) | 2018-01-19 | 2023-07-01 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
KR20200108016A (ko) | 2018-01-19 | 2020-09-16 | 에이에스엠 아이피 홀딩 비.브이. | 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법 |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
CN111699278B (zh) | 2018-02-14 | 2023-05-16 | Asm Ip私人控股有限公司 | 通过循环沉积工艺在衬底上沉积含钌膜的方法 |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US10811257B2 (en) * | 2018-03-27 | 2020-10-20 | Varian Semiconductor Equipment Associates, Inc. | Techniques for forming low stress etch-resistant mask using implantation |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US10600623B2 (en) | 2018-05-28 | 2020-03-24 | Applied Materials, Inc. | Process kit with adjustable tuning ring for edge uniformity control |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11935773B2 (en) | 2018-06-14 | 2024-03-19 | Applied Materials, Inc. | Calibration jig and calibration method |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
TWI815915B (zh) | 2018-06-27 | 2023-09-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
CN112292478A (zh) | 2018-06-27 | 2021-01-29 | Asm Ip私人控股有限公司 | 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构 |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR20200030162A (ko) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
US11049728B2 (en) * | 2018-10-31 | 2021-06-29 | Entegris, Inc. | Boron-doped amorphous carbon hard mask and related methods |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11921427B2 (en) | 2018-11-14 | 2024-03-05 | Lam Research Corporation | Methods for making hard masks useful in next-generation lithography |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US11289310B2 (en) | 2018-11-21 | 2022-03-29 | Applied Materials, Inc. | Circuits for edge ring control in shaped DC pulsed plasma process device |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
US11270890B2 (en) * | 2018-12-14 | 2022-03-08 | Lam Research Corporation | Etching carbon layer using doped carbon as a hard mask |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
EP3918104B1 (en) * | 2019-02-01 | 2023-11-01 | Primetals Technologies Austria GmbH | Use of a dlc coated part in a galvanizing bath |
CN111524794B (zh) * | 2019-02-02 | 2023-07-07 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法 |
CN111593319B (zh) | 2019-02-20 | 2023-05-30 | Asm Ip私人控股有限公司 | 用于填充在衬底表面内形成的凹部的循环沉积方法和设备 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
JP2020133004A (ja) | 2019-02-22 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材を処理するための基材処理装置および方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11101115B2 (en) | 2019-04-19 | 2021-08-24 | Applied Materials, Inc. | Ring removal from processing chamber |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US12009236B2 (en) | 2019-04-22 | 2024-06-11 | Applied Materials, Inc. | Sensors and system for in-situ edge ring erosion monitor |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) * | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
US11011378B2 (en) * | 2019-07-01 | 2021-05-18 | Micron Technology, Inc. | Atom implantation for reduction of compressive stress |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TW202113936A (zh) | 2019-07-29 | 2021-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN112323048B (zh) | 2019-08-05 | 2024-02-09 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112563121B (zh) * | 2019-09-26 | 2023-07-07 | 长鑫存储技术有限公司 | 图形转移方法 |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
CN112635282A (zh) | 2019-10-08 | 2021-04-09 | Asm Ip私人控股有限公司 | 具有连接板的基板处理装置、基板处理方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
US20210125830A1 (en) * | 2019-10-23 | 2021-04-29 | Nanya Technology Corporation | Method of forming an ashable hard mask and patterning method |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11139168B2 (en) * | 2019-12-02 | 2021-10-05 | Applied Materials, Inc. | Chamber deposition and etch process |
JP2021090042A (ja) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11885013B2 (en) | 2019-12-17 | 2024-01-30 | Asm Ip Holding B.V. | Method of forming vanadium nitride layer and structure including the vanadium nitride layer |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
EP3908882A4 (en) | 2020-01-15 | 2022-03-16 | Lam Research Corporation | UNDERCOAT FOR PHOTOCOAT ADHESION AND DOSE REDUCTION |
TW202129068A (zh) | 2020-01-20 | 2021-08-01 | 荷蘭商Asm Ip控股公司 | 形成薄膜之方法及修飾薄膜表面之方法 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
TW202146715A (zh) | 2020-02-17 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於生長磷摻雜矽層之方法及其系統 |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
US11854807B2 (en) * | 2020-03-02 | 2023-12-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Line-end extension method and device |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
CN115605978A (zh) * | 2020-03-26 | 2023-01-13 | 应用材料公司(Us) | 硼和碳膜的催化形成 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
US11437230B2 (en) * | 2020-04-06 | 2022-09-06 | Applied Materials, Inc. | Amorphous carbon multilayer coating with directional protection |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202140831A (zh) | 2020-04-24 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含氮化釩層及包含該層的結構之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
KR20230006004A (ko) * | 2020-05-05 | 2023-01-10 | 램 리써치 코포레이션 | 하드 마스크 선택도 (selectivity) 개선을 위한 불활성 가스 주입 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR20210145080A (ko) | 2020-05-22 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220006455A (ko) | 2020-07-08 | 2022-01-17 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
US11674222B2 (en) | 2020-09-29 | 2023-06-13 | Applied Materials, Inc. | Method of in situ ceramic coating deposition |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
US20220178026A1 (en) * | 2020-12-03 | 2022-06-09 | Applied Materials, Inc. | Carbon cvd deposition methods to mitigate stress induced defects |
US20220178017A1 (en) * | 2020-12-03 | 2022-06-09 | Applied Materials, Inc. | Cfx layer to protect aluminum surface from over-oxidation |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
CN113594031A (zh) * | 2021-07-29 | 2021-11-02 | 上海华力微电子有限公司 | 半导体器件的制备方法 |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
US20230326737A1 (en) * | 2022-03-28 | 2023-10-12 | Tokyo Electron Limited | Technologies for high aspect ratio carbon etching with inserted charge dissipation layer |
WO2023196846A1 (en) * | 2022-04-07 | 2023-10-12 | Lam Research Corporation | Hydrogen reduction in amorphous carbon films |
CN114735625B (zh) * | 2022-04-13 | 2024-04-05 | 浙江大学杭州国际科创中心 | 一种非晶碳膜加工用惰性物质植入设备 |
JP2024004377A (ja) * | 2022-06-28 | 2024-01-16 | 東京エレクトロン株式会社 | 炭素含有膜の形成方法 |
Family Cites Families (29)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7223676B2 (en) * | 2002-06-05 | 2007-05-29 | Applied Materials, Inc. | Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer |
US6884733B1 (en) * | 2002-08-08 | 2005-04-26 | Advanced Micro Devices, Inc. | Use of amorphous carbon hard mask for gate patterning to eliminate requirement of poly re-oxidation |
US6750127B1 (en) * | 2003-02-14 | 2004-06-15 | Advanced Micro Devices, Inc. | Method for fabricating a semiconductor device using amorphous carbon having improved etch resistance |
JP4158550B2 (ja) * | 2003-02-18 | 2008-10-01 | 日本ゼオン株式会社 | 積層体 |
US7312162B2 (en) | 2005-05-17 | 2007-12-25 | Applied Materials, Inc. | Low temperature plasma deposition process for carbon layer deposition |
PT1915472T (pt) * | 2005-08-18 | 2018-12-06 | Oerlikon Surface Solutions Ag Pfaeffikon | Substrato revestido com uma estrutura em camadas compreendendo uma camada de carbono tetraédrico e uma camada exterior mais macia |
US20090093128A1 (en) | 2007-10-08 | 2009-04-09 | Martin Jay Seamons | Methods for high temperature deposition of an amorphous carbon layer |
JP2011207223A (ja) * | 2010-03-12 | 2011-10-20 | Toray Ind Inc | 遠赤外線反射性積層体 |
US8598006B2 (en) * | 2010-03-16 | 2013-12-03 | International Business Machines Corporation | Strain preserving ion implantation methods |
US8361906B2 (en) * | 2010-05-20 | 2013-01-29 | Applied Materials, Inc. | Ultra high selectivity ashable hard mask film |
TW201216331A (en) * | 2010-10-05 | 2012-04-16 | Applied Materials Inc | Ultra high selectivity doped amorphous carbon strippable hardmask development and integration |
US9499901B2 (en) | 2012-01-27 | 2016-11-22 | Applied Materials, Inc. | High density TiN RF/DC PVD deposition with stress tuning |
TWI725303B (zh) * | 2012-02-10 | 2021-04-21 | 美商布魯克斯自動機械公司 | 基材處理設備 |
US8679987B2 (en) | 2012-05-10 | 2014-03-25 | Applied Materials, Inc. | Deposition of an amorphous carbon layer with high film density and high etch selectivity |
KR102151611B1 (ko) * | 2013-03-15 | 2020-09-03 | 어플라이드 머티어리얼스, 인코포레이티드 | 초-콘포말한 탄소 막 증착 |
US20140273461A1 (en) * | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Carbon film hardmask stress reduction by hydrogen ion implantation |
WO2014188710A1 (ja) * | 2013-05-24 | 2014-11-27 | 三井化学株式会社 | ペリクル、及びこれらを含むeuv露光装置 |
KR102311036B1 (ko) * | 2014-01-08 | 2021-10-07 | 어플라이드 머티어리얼스, 인코포레이티드 | 비정질 탄소 막들 내로의 이온 주입에 의한 고 에칭 선택성 하드마스크 재료의 개발 |
WO2016003575A2 (en) | 2014-07-02 | 2016-01-07 | Applied Materials, Inc. | Localized stress modulation for overlay and epe |
US9624577B2 (en) * | 2014-07-22 | 2017-04-18 | Applied Materials, Inc. | Deposition of metal doped amorphous carbon film |
US9390910B2 (en) | 2014-10-03 | 2016-07-12 | Applied Materials, Inc. | Gas flow profile modulated control of overlay in plasma CVD films |
US9777378B2 (en) * | 2015-01-07 | 2017-10-03 | Applied Materials, Inc. | Advanced process flow for high quality FCVD films |
AT14701U1 (de) * | 2015-03-19 | 2016-04-15 | Plansee Composite Mat Gmbh | Beschichtungsquelle zur Herstellung dotierter Kohlenstoffschichten |
US9711360B2 (en) | 2015-08-27 | 2017-07-18 | Applied Materials, Inc. | Methods to improve in-film particle performance of amorphous boron-carbon hardmask process in PECVD system |
US10418243B2 (en) | 2015-10-09 | 2019-09-17 | Applied Materials, Inc. | Ultra-high modulus and etch selectivity boron-carbon hardmask films |
CN108352298B (zh) | 2015-11-09 | 2023-04-18 | 应用材料公司 | 底部处理 |
US10109462B2 (en) | 2017-03-13 | 2018-10-23 | Applied Materials, Inc. | Dual radio-frequency tuner for process control of a plasma process |
SG11202005150YA (en) * | 2017-12-01 | 2020-06-29 | Applied Materials Inc | Highly etch selective amorphous carbon film |
US11049728B2 (en) | 2018-10-31 | 2021-06-29 | Entegris, Inc. | Boron-doped amorphous carbon hard mask and related methods |
-
2018
- 2018-11-13 SG SG11202005150YA patent/SG11202005150YA/en unknown
- 2018-11-13 JP JP2020529688A patent/JP7326275B2/ja active Active
- 2018-11-13 KR KR1020207018768A patent/KR102612989B1/ko active IP Right Grant
- 2018-11-13 WO PCT/US2018/060628 patent/WO2019108376A1/en active Application Filing
- 2018-11-13 CN CN201880084977.2A patent/CN111587474A/zh active Pending
- 2018-11-13 KR KR1020237042207A patent/KR20230169487A/ko not_active Application Discontinuation
- 2018-11-13 US US16/188,514 patent/US10727059B2/en active Active
- 2018-11-19 TW TW112100073A patent/TW202325879A/zh unknown
- 2018-11-19 TW TW107140950A patent/TWI791678B/zh active
-
2020
- 2020-07-27 US US16/939,316 patent/US11469107B2/en active Active
-
2021
- 2021-07-21 JP JP2023505424A patent/JP2023535772A/ja active Pending
- 2021-07-21 CN CN202180059228.6A patent/CN116171337A/zh active Pending
- 2021-07-21 KR KR1020237003249A patent/KR20230043858A/ko unknown
- 2021-07-21 WO PCT/US2021/042466 patent/WO2022026257A1/en active Application Filing
- 2021-07-27 TW TW110127519A patent/TW202212601A/zh unknown
-
2022
- 2022-10-10 US US17/963,059 patent/US20230029929A1/en active Pending
- 2022-10-11 US US17/963,841 patent/US12014927B2/en active Active
-
2023
- 2023-08-02 JP JP2023126244A patent/JP2023162196A/ja active Pending
Also Published As
Publication number | Publication date |
---|---|
KR102612989B1 (ko) | 2023-12-11 |
US12014927B2 (en) | 2024-06-18 |
TW202325879A (zh) | 2023-07-01 |
JP2021504967A (ja) | 2021-02-15 |
KR20200084365A (ko) | 2020-07-10 |
JP7326275B2 (ja) | 2023-08-15 |
US11469107B2 (en) | 2022-10-11 |
CN111587474A (zh) | 2020-08-25 |
JP2023535772A (ja) | 2023-08-21 |
SG11202005150YA (en) | 2020-06-29 |
US20190172714A1 (en) | 2019-06-06 |
TW202212601A (zh) | 2022-04-01 |
US20200357640A1 (en) | 2020-11-12 |
WO2019108376A1 (en) | 2019-06-06 |
TW201932635A (zh) | 2019-08-16 |
JP2023162196A (ja) | 2023-11-08 |
US20230041963A1 (en) | 2023-02-09 |
KR20230169487A (ko) | 2023-12-15 |
US20230029929A1 (en) | 2023-02-02 |
WO2022026257A1 (en) | 2022-02-03 |
KR20230043858A (ko) | 2023-03-31 |
TWI791678B (zh) | 2023-02-11 |
US10727059B2 (en) | 2020-07-28 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US12014927B2 (en) | Highly etch selective amorphous carbon film | |
KR102483741B1 (ko) | 진보된 패터닝 프로세스에서의 스페이서 퇴적 및 선택적 제거를 위한 장치 및 방법들 | |
KR101644732B1 (ko) | Finfet 방식용 게이트 스페이서 프로파일, 핀 손실 및 하드 마스크 손실 개선을 위한 종횡비 종속 성막 | |
CN110622280A (zh) | 用于硬掩模及其他图案化应用的高密度低温碳膜 | |
KR20190014123A (ko) | 전자 빔 플라즈마 프로세스에 의해 형성된 다이아몬드상 탄소 층 | |
JP7366072B2 (ja) | 薄膜の応力を軽減するためのインシトゥ高電力注入 | |
KR20140037202A (ko) | 산화물 표면 대신 베어 실리콘 상의 폴리머 막들의 선택적 증착 | |
US20240021433A1 (en) | Forming a doped hardmask | |
US11404263B2 (en) | Deposition of low-stress carbon-containing layers | |
US11495454B2 (en) | Deposition of low-stress boron-containing layers |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination |