TWI725303B - 基材處理設備 - Google Patents

基材處理設備 Download PDF

Info

Publication number
TWI725303B
TWI725303B TW107113574A TW107113574A TWI725303B TW I725303 B TWI725303 B TW I725303B TW 107113574 A TW107113574 A TW 107113574A TW 107113574 A TW107113574 A TW 107113574A TW I725303 B TWI725303 B TW I725303B
Authority
TW
Taiwan
Prior art keywords
substrate
transfer
arm
conveying
arm link
Prior art date
Application number
TW107113574A
Other languages
English (en)
Other versions
TW201839895A (zh
Inventor
亞力山大 庫魯比雪夫
亞瑟 吉爾克萊史特
羅勃 卡維尼
丹尼爾 巴比斯
Original Assignee
美商布魯克斯自動機械公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商布魯克斯自動機械公司 filed Critical 美商布魯克斯自動機械公司
Publication of TW201839895A publication Critical patent/TW201839895A/zh
Application granted granted Critical
Publication of TWI725303B publication Critical patent/TWI725303B/zh

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/02Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type
    • B25J9/04Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type by rotating at least one arm, excluding the head movement itself, e.g. cylindrical coordinate type or polar coordinate type
    • B25J9/041Cylindrical coordinate type
    • B25J9/042Cylindrical coordinate type comprising an articulated arm
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J18/00Arms
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G47/00Article or material-handling devices associated with conveyors; Methods employing such devices
    • B65G47/74Feeding, transfer, or discharging devices of particular kinds or types
    • B65G47/90Devices for picking-up and depositing articles or materials
    • B65G47/904Devices for picking-up and depositing articles or materials provided with rotary movements only
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/061Lifting, gripping, or carrying means, for one or more sheets forming independent means of transport, e.g. suction cups, transport frames
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2201/00Indexing codes relating to handling devices, e.g. conveyors, characterised by the type of product or load being conveyed or handled
    • B65G2201/02Articles
    • B65G2201/0214Articles of special size, shape or weigh
    • B65G2201/022Flat

Abstract

一種用來在一傳送室內運送基材的傳送設備,該傳送室具有第一端及第二端及兩個延伸在該第一及第二端之間的側邊。該傳送設備包括一驅動部份、至少一基座手臂,其一端固定至該傳送室且包括至少一可轉動地耦合至該驅動部份的手臂連桿及至少一傳送手臂,其可轉動地耦合至該基座手臂的一共同端,該至少一傳送手臂具有兩個末端作用器。該驅動部份具有馬達,該等馬達具有三個獨立的轉動軸(axis),其界定三個自由度。一個自由度水平地移動該至少一基座手臂移以運送該至少一傳送手臂,及兩個自由度驅動該至少一傳送手臂,用以伸展及縮回該至少一傳送手臂並交換該兩個末端作用器。

Description

基材處理設備 〔相關申請案〕
本申請案和2012年2月10日提申的美國暫時申請案第61/597,507號;及2012年6月18日提申的美國暫時申請案第61/660,900號有關;及2012年6月21日提申的美國暫時申請案第61/662,690號,該等申請案的揭示內容藉此參照被併於本文中。
本發明的示範性實施例大體上係關於機器人運送設備,更具體地係關於用來運送基材至多個基材保存位置的機器人運送設備。
大體上,在運送基材至多個被並排地(side by side)配置在一直線的細長形傳送室內的基材保存位置的機器人運送系統中使用了不只一個傳送機器人,使得該基材沿著該直線的細長形傳送室的長度從一個機器人被轉交(hand off)至另一個機器人。在另一種態樣中,一被 安裝在一直線的滑件上的單一機器人運送作業被用來運送基材穿過該直線的細長形傳送室。
能夠在無需將基材轉交於傳送機器人之間且無需使用到一直線的滑件的情形下將基材運送於多個被直線地配置及/或被並排地配置的基材保存位置以減少對於該傳送室內的密封環境的干擾是較有利的。
又,大體上,在叢集式(cluster)的工具配置中,該等基材保存位置被可聯通地耦合至一共同的主要傳送室。
讓該傳送室之用於該叢集式工具的部分和該傳送室的其它部分密封隔開亦是有利的。它的好處在用於處理450mm半導體晶圓的工具架構方面及整個工具組態中與其相關聯的尺寸上的增加方面是特別重要。
此外,通常原本的設備製造商/製程供應商將真空叢集式工具和大氣設備前端模組(EFEM)裝載器連接在一起以提供一種維持無塵環境的方式,該無塵環境係用來將晶圓從移動的存放載具運送至該等處理模組。在將晶圓送入該處理室中的每一晶圓循環期間,晶圓從大氣環境被運送至真空環境中,然後再回到大氣環境。在一些情形中,在經過處理的晶圓被曝露於大氣環境之後,它們和濕的空氣起反應且會變成酸性並提高了對於晶圓及搬運設備的傷害。
在將基材運送於相鄰的工具之間的時候,將現有的處理模組及/或叢集式工具連接以保持一控制下的 環境是更有利的。將該EFEM設置在遠離該等處理室/叢集式工具亦是有利的。
100‧‧‧工具站
101‧‧‧前端
102‧‧‧負載鎖定室
103‧‧‧後端
120‧‧‧控制器
105‧‧‧裝載埠模組
106‧‧‧迷你環境
110‧‧‧基材匣盒
104‧‧‧裝載埠
113‧‧‧傳送機器人
130‧‧‧傳送機器人
125‧‧‧傳送室
140‧‧‧處理站
200‧‧‧驅動部分
202‧‧‧安裝凸緣
210‧‧‧傳送手臂部分
220‧‧‧基座手臂連桿
214‧‧‧傳送手臂
230‧‧‧基材對準器
214’‧‧‧傳送手臂
213‧‧‧上手臂連桿
212‧‧‧前臂連桿
211‧‧‧末端作用器
203‧‧‧Z軸驅動器
250‧‧‧密封件
299‧‧‧箭頭
201MB‧‧‧馬達
201MU‧‧‧馬達
201MF‧‧‧馬達
262‧‧‧內驅動軸桿
261‧‧‧中間驅動軸桿
260‧‧‧外驅動軸桿
280‧‧‧第一驅動軸滑輪
281‧‧‧第二驅動軸滑輪
270‧‧‧內驅動軸桿
271‧‧‧外驅動軸桿
282‧‧‧第一肩部滑輪
283‧‧‧第二肩部滑輪
290‧‧‧傳動件
201M‧‧‧馬達模組
201MH‧‧‧殼體
201MS‧‧‧界面部分
201SS‧‧‧密封件
289‧‧‧密封件
270’‧‧‧軸桿
271’‧‧‧軸桿
201MUR‧‧‧轉子
201MUS‧‧‧定子
201MFS‧‧‧定子
245‧‧‧密封件
241‧‧‧軸承
242‧‧‧軸承
240A‧‧‧編碼器
240B‧‧‧編碼器
102A‧‧‧負載鎖定室
102B‧‧‧負載鎖定室
140A‧‧‧處理站(模組)
140B‧‧‧處理站(模組)
140C‧‧‧處理站(模組)
140S1‧‧‧處理站(模組)
140S2‧‧‧處理站(模組)
140S3‧‧‧處理站(模組)
140S4‧‧‧處理站(模組)
399‧‧‧點
140D‧‧‧處理站
398‧‧‧點
651‧‧‧驅動臂連桿
652‧‧‧驅動臂連桿
661‧‧‧被動臂連桿
662‧‧‧被動臂連桿
663‧‧‧被動臂連桿
664‧‧‧被動臂連桿
EE4‧‧‧末端作用器
EE5‧‧‧末端作用器
140G‧‧‧處理站
502‧‧‧負載鎖定室
502A‧‧‧負載鎖定室
502B‧‧‧負載鎖定室
106A‧‧‧迷你環境
106B‧‧‧迷你環境
600‧‧‧工具
625‧‧‧傳送室
140H‧‧‧處理站
140I‧‧‧處理站
630‧‧‧傳送機器人
700‧‧‧驅動部分
702‧‧‧安裝凸緣
710‧‧‧傳送手臂部分
720‧‧‧基座手臂
721‧‧‧上手臂連桿
722‧‧‧前臂連桿
701‧‧‧殼體
780‧‧‧驅動軸滑輪
783‧‧‧從動滑輪
791‧‧‧傳動件
784‧‧‧惰滑輪
763‧‧‧軸桿
765‧‧‧軸桿
781‧‧‧驅動軸滑輪
787‧‧‧第二惰滑輪
789‧‧‧肩部滑輪
782‧‧‧驅動軸滑輪
785‧‧‧惰滑輪
788‧‧‧肩部滑輪
762‧‧‧內驅動軸桿
764‧‧‧軸桿
786‧‧‧第二惰滑輪
793‧‧‧傳動件
625‧‧‧傳送室
626‧‧‧傳送室
1002‧‧‧負載鎖定室
1002A‧‧‧負載鎖定室
1002B‧‧‧負載鎖定室
1100‧‧‧工具站
1103‧‧‧後端
1125‧‧‧傳送室
1140A‧‧‧處理站
1140B‧‧‧處理站
1140C‧‧‧處理站
1140D‧‧‧處理站
1140E‧‧‧處理站
1140F‧‧‧處理站
1130‧‧‧傳送機器人
1130R1‧‧‧傳送手臂
1130A‧‧‧傳送機器人
1130B‧‧‧傳送機器人
1100’‧‧‧工具站
1702A‧‧‧負載鎖定室
1702B‧‧‧負載鎖定室
1702C‧‧‧負載鎖定室
1702D‧‧‧負載鎖定室
1400‧‧‧工具站
1125A‧‧‧傳送室
1125B‧‧‧傳送室
1125C‧‧‧傳送室
1125D‧‧‧傳送室
1401‧‧‧負載鎖定室(暫存站)
1402‧‧‧負載鎖定室(暫存站)
1403‧‧‧負載鎖定室(暫存站)
1404‧‧‧負載鎖定室(暫存站)
1430‧‧‧傳送手臂
1450‧‧‧傳送機器人
1451‧‧‧傳送機器人
1125E1‧‧‧端部
1125E2‧‧‧端部
1125E‧‧‧傳送室
1400’‧‧‧工具站
1400”‧‧‧工具站
1500‧‧‧工具站
1525‧‧‧傳送室
1530‧‧‧機器人
1500’‧‧‧工具站
1600‧‧‧工具站
1640A‧‧‧處理站
1640B‧‧‧處理站
1640C‧‧‧處理站
1640D‧‧‧處理站
1640E‧‧‧處理站
1640F‧‧‧處理站
1640G‧‧‧處理站
1640H‧‧‧處理站
1625‧‧‧傳送室
1130R2‧‧‧傳送手臂
1130R3‧‧‧傳送手臂
2000‧‧‧處理設備
2020‧‧‧處理工具模組
2020A‧‧‧處理工具模組
2020B‧‧‧處理工具模組
2020C‧‧‧處理工具模組
2060‧‧‧批次裝載器界面
2010‧‧‧真空通道
2010A‧‧‧真空通道
2010B‧‧‧真空通道
2050‧‧‧真空通道
2021‧‧‧處理室
2022‧‧‧處理室
2023‧‧‧處理室
2024‧‧‧傳送室
2025‧‧‧負載鎖定室
2026‧‧‧負載鎖定室
2030‧‧‧自動化模組
2030IN‧‧‧基材索引器/升降器
2030E1‧‧‧第一端
2030E2‧‧‧第二端
2030S1‧‧‧側邊
2030S2‧‧‧側邊
2030P1‧‧‧連接埠
2030P2‧‧‧連接埠
2030P3‧‧‧連接埠
2030P4‧‧‧連接埠
2030P5‧‧‧連接埠
2030’‧‧‧自動化模組
2030P6‧‧‧連接埠
2080‧‧‧運送機器人
2040‧‧‧真空模組
2050‧‧‧真空通道
2100‧‧‧處理設備
2120A‧‧‧處理工具模組
2120B‧‧‧處理工具模組
2060‧‧‧EFEM
2061‧‧‧裝載埠
2062‧‧‧裝載埠
2063‧‧‧裝載埠
2064‧‧‧裝載埠
2065‧‧‧基材匣盒
2180‧‧‧傳送機器人
2140A‧‧‧負載鎖定室
2140B‧‧‧負載鎖定室
2081‧‧‧驅動部分
2082‧‧‧手臂
2083‧‧‧末端作用器
2400‧‧‧箭頭
2401‧‧‧箭頭
2439‧‧‧傳送機器人
2450‧‧‧基座連桿
2451‧‧‧手臂
2452‧‧‧手臂
2451D‧‧‧驅動部分
2452D‧‧‧驅動部分
2450D‧‧‧驅動器
2490‧‧‧伸展/縮回軸
2491‧‧‧伸展/縮回軸
2492‧‧‧伸展/縮回軸
2471‧‧‧基材夾持站
2121‧‧‧傳送模組
2121TC1‧‧‧傳送室
2121TC2‧‧‧傳送室
2160A‧‧‧基材夾持站
2160B‧‧‧基材夾持站
2170‧‧‧模組
2040A‧‧‧真空模組
2120C‧‧‧處理工具模組
2120D‧‧‧處理工具模組
2200‧‧‧處理設備
2040’‧‧‧真空模組
2040C1‧‧‧埠口
2040C2‧‧‧埠口
2040C3‧‧‧埠口
2040C4‧‧‧埠口
2040B‧‧‧真空模組
2040C‧‧‧真空模組
2060A‧‧‧EFEM
2060B‧‧‧EFEM
2030A‧‧‧自動化模組
2030B‧‧‧自動化模組
2060C‧‧‧EFEM
2500A-2500n‧‧‧真空通道模組
2500‧‧‧真空通道模組
2500P‧‧‧連接埠
2510‧‧‧運送台車導件
2520‧‧‧馬達構件
2530‧‧‧運送台車
2531‧‧‧運送台車
2530’‧‧‧運送台車
2531’‧‧‧運送台車
2530B‧‧‧基座
2530B’‧‧‧基座
2530S‧‧‧基材夾持具
2530S’‧‧‧基材夾持具
2531S‧‧‧基材夾持具
2531S’‧‧‧基材夾持具
2520’‧‧‧馬達構件
2521‧‧‧馬達構件
2521’‧‧‧馬達構件
2510’‧‧‧運送台車導件
2500’‧‧‧真空通道模組
2698‧‧‧平面
2699‧‧‧平面
2530P‧‧‧磁性平台
2520W‧‧‧繞組
2610‧‧‧位置回饋裝置
2800‧‧‧真空通道
2800E1‧‧‧縱長向端部
2800E2‧‧‧縱長向端部
2800’‧‧‧真空通道
2820‧‧‧界面模組
2820E‧‧‧基材支撐件
2899‧‧‧箭頭
S‧‧‧基材
2820SS‧‧‧感測器
2898‧‧‧箭頭
3030‧‧‧運送台車
3030B‧‧‧基座
3030S‧‧‧基材夾持具支撐部分
3030S1‧‧‧基材夾持具
3030M‧‧‧驅動耦合件
3030L‧‧‧固態鎖合機構
3100‧‧‧真空通道
3120‧‧‧定向模組
3120R‧‧‧圓形的部分
3110‧‧‧驅動器
2930‧‧‧批次基材夾持具
2930S1‧‧‧基材支撐件
2930S2‧‧‧基材支撐件
3200‧‧‧運送台車
3200A‧‧‧手臂
3201‧‧‧基座連桿
3203‧‧‧基材夾持具
3200C‧‧‧凸輪
3301‧‧‧馬達構件
3302‧‧‧馬達構件
3310A‧‧‧平台
3310B‧‧‧平台
3400A‧‧‧批次負載鎖定器
3400B‧‧‧批次負載鎖定器
3400C‧‧‧批次負載鎖定器
3400D‧‧‧批次負載鎖定器
3400‧‧‧批次負載鎖定器
3420‧‧‧裝載埠
3420A‧‧‧裝載埠
3420B‧‧‧裝載埠
3420C‧‧‧裝載埠
3420D‧‧‧裝載埠
3420‧‧‧裝載埠
3510‧‧‧自動化材料搬運系統(AMHS)
3430‧‧‧基材載具
3610‧‧‧負載鎖定室
3620A‧‧‧基材夾持地點
3620B‧‧‧基材夾持地點
3610’‧‧‧負載鎖定室
2820E‧‧‧基材支撐件
3030S2‧‧‧雙端式基材夾持具
3670‧‧‧運送台車
3651‧‧‧端部
3650‧‧‧端部
3620A‧‧‧索引器
3620B‧‧‧索引器
201MFR‧‧‧轉子
該等被揭示之本發明的實施例的前述態樣及其它特徵將參考附圖在下面的描述中加以說明,其中:圖1是依據本發明被揭示的實施例的一個態樣的處理設備的示意圖;圖2A是依據本發明被揭示的實施例的一個態樣的運送設備的示意圖;圖2B-2D為是依據本發明被揭示的實施例的一個態樣的圖2A的運送設備的示意圖;圖2E-2F為依據本發明被揭示的實施例的一個態樣的運送設備的示意圖;圖2G為依據本發明被揭示的實施例的一個態樣的處理設備的一部分的示意圖;圖2H-2J為依據本發明被揭示的實施例的一個態樣的運送設備的一部分的示意圖;圖3A及3B為依據本發明被揭示的實施例的一個態樣的處理設備的一部分的示意圖;圖4A及4B為依據本發明被揭示的實施例的一個態樣的處理設備的一部分的示意圖;圖5A、5B、5C及5D為依據本發明被揭示的實施例的諸態樣之不同的處理設備的示意圖; 圖6為依據本發明被揭示的實施例的一個態樣的處理設備的一部分的示意圖;圖6A為依據本發明被揭示的實施例的一個態樣的運送設備的一部分的示意圖;圖7A據本發明被揭示的實施例的一個態樣的運送設備的示意圖;圖7B為是依據本發明被揭示的實施例的一個態樣的圖7A的運送設備的一部分的示意圖;圖8A、8B及8C為依據本發明被揭示的實施例的一個態樣的處理設備的一部分的示意圖;圖9A、9B及9C為依據本發明被揭示的實施例的一個態樣的處理設備的一部分的示意圖;圖10A、10B、10C及10D為依據本發明被揭示的實施例的諸態樣之不同的處理設備的示意圖;圖11為依據本發明被揭示的實施例的一個態樣的處理設備的一部分的示意圖;圖11A-11C為依據本發明被揭示的實施例的一個態樣的處理設備的示意圖;圖12為依據本發明被揭示的實施例的一個態樣的處理設備的一部分的示意圖;圖13為依據本發明被揭示的實施例的一個態樣的處理設備的示意圖;圖14為依據本發明被揭示的實施例的一個態樣的處理設備的示意圖; 圖14A為依據本發明被揭示的實施例的一個態樣的處理設備的示意圖;圖15為依據本發明被揭示的實施例的一個態樣的處理設備的示意圖;圖16為依據本發明被揭示的實施例的一個態樣的處理設備的示意圖;圖17為依據本發明被揭示的實施例的一個態樣的處理設備的示意圖;圖18為依據本發明被揭示的實施例的一個態樣的處理設備的示意圖;圖19為依據本發明被揭示的實施例的一個態樣的處理設備的示意圖;圖19A為依據本發明被揭示的實施例的一個態樣的處理設備的示意圖;圖20A、20B、20C、20D及20E為依據本發明被揭示的實施例的一個態樣的處理設備的諸部分的示意圖;圖21A、21B及21C為依據本發明被揭示的實施例的一個態樣的處理設備的示意圖;圖22A、22B及22C為依據本發明被揭示的實施例的一個態樣的處理設備的示意圖;圖23A及23B為依據本發明被揭示的實施例的一個態樣的處理設備的示意圖;圖24A、24B、24C及24D為依據本發明被揭 示的實施例的一個態樣的處理工具的一部分的示意圖;圖25A及25B為依據本發明被揭示的實施例的態樣的運送通道的示意圖;圖26A、26B及26C為依據本發明被揭示的實施例的態樣的運送通道的一部分的示意圖;圖27A及27B為依據本發明被揭示的實施例的態樣的運送通道的示意圖;圖28A、28B及28C為依據本發明被揭示的實施例的態樣的運送通道的一部分的示意圖;圖29為依據本發明被揭示的實施例的態樣的基材運送車的示意圖;圖30A及30B為依據本發明被揭示的實施例的態樣的基材運送車的示意圖;圖31A、31B及31C為依據本發明被揭示的實施例的態樣的處理設備的一部分的示意圖;圖32為依據本發明被揭示的實施例的一個態樣的處理設備的一部分的示意圖;圖33為依據本發明被揭示的實施例的一個態樣的處理設備的一部分的示意圖;圖34A及34B為依據本發明被揭示的實施例的一個態樣的處理設備的一部分的示意圖;圖35A、35B及35C為依據本發明被揭示的實施例的一個態樣的處理設備的一部分的示意圖;及圖36A、36B、36C及36D為依據本發明被揭 示的實施例的一個態樣的處理設備的一部分的示意圖。
【發明內容與實施方式】
描述於本文中之依據本發明被描述的實施例的諸態樣的處理設備包括一或多個傳送機器,其藉由使用一固定不動的驅動部份來讓基材被運送到至少兩個依序直線地配置的處理站。本發明被揭示的實施例的諸態樣在不使用線性軸承或線性馬達下允許一線性機器人架構,同時允許使用該轉動軸的一靜態真空密封(當該機器人被使用於真空環境中的時候),其中該等轉動軸都被保持在該傳送機器人的一共同基座或驅動部份中。被描述的實施例的該等態樣亦允許在直線地配置的處理站或叢集式處理站和負載鎖定室(其在本文中通常被稱為基材夾持站)之間使用具有一固定不動的基座的一或多個傳送機器人的基材傳送。雖然該被描述的實施例的諸態樣將參考圖式加以描述,但應被理解的是,該被描述的實施例的諸態樣可被體現成許多其它形式。此外,可使用任何適合的元件尺寸、形狀或任何適合的元件或材料的種類。
參考圖1,依據發明被揭示的實施例的該處理設備,例如一半導體工具站100,被示出。雖然半導體工具被示於圖式中,但描述於本文中之實施例的態樣可被運用至任何的工具站或使用機器人操作的應用中。在此態樣中,該工具100為了描述的目的被顯示為被稱作叢集式工具的工具,其具有一直線的細長形傳送室(其被例示為一 細長的雙叢集式傳送室),但該等被揭示的實施例的態樣可被運用至任何適合的工具站,例如直線式工具站(譬如,描述於2006年5月26日提申之名稱為“Linearly Distributed Semiconductor Workpiece Processing Tool”的美國專利申請案第11/442,511號中的工具站,該申請案的內容藉由參照而被併於本文中。該工具站100大體上包括大氣前端101、一或多個真空負載鎖定室102及一真空後端103。在其它態樣中,該工具站100可具有任何適合的組態。該大氣前端101、真空負載鎖定室102及真空後端103的每一者的一或多個構件可被連接至一控制器120,其可以是任何適合的控制架構(譬如,一叢集式控制架構)的一部分。該控制系統可以是一閉迴圈式的控制器,其具有一主控制器、叢集式控制器及自主式(autonomous)遠端控制器,譬如描述於2005年7月11日提申之名稱為“Scalable Motion control System”的美國專利申請案第11/178,615號中的控制器,該申請案的揭示內容藉此參照被併於本文中。在其它態樣中,任何適合的控制器及/或控制系統都可被使用。
在被揭示的實施例的該等態樣中,該前端101大體上包括裝負載埠模組105及一迷你環境106,譬如一設備前端模組(EFEM)。該裝載埠模組105可以是依循用於300mm裝載埠、前端開口或底部開口的箱子/莢艙及匣盒的SEMI標準E15.1、E47.1、E62、E19.5、或E1.9起釘器/裝載器對工具標準(box opener/loader to tool standard,BOLTS)界面。在其它態樣中,該等裝載埠模組可被建構成200mm、300mm或450mm晶圓界面或其它任何適合的基材界面,譬如更大或更小的晶圓或用於平板顯示器、發光二極體、有機發光二極體或太陽能電池陣列的平板。因此,其它構件或相關聯的特徵構造,如同將於下文中詳細被描述的,可分別被建構用以和相應的晶圓或工件互動或對相應的晶圓或工件操作。雖然有三個裝載埠模組被示於圖1中,但在其它態樣中,任何數量的裝載埠模組都可包括在該前端101中。裝載埠模組105可建構來從頭頂式運送系統、自動導引式車輛、人員導引式車輛、軌道導引式車輛或從任何其它適合的運動方式接受基材載具或匣盒110。裝載埠模組105可透過裝載埠104和該迷你環境106介接(interfacing)。裝載埠104可允許基材通行於基材匣盒110和該迷你環境106之間。
該迷你環境106大致上包括任何適合的傳送機器人113。在被揭示的實施例的一個態樣中,該機器人113可以是一安裝在軌道上的機器人,譬如描述在例如美國專利第6,002,840號中的機器人,該專利的內容藉此參照被併於本文中。在其它態樣中,該傳送機器人可實質地類似於在該真空後端103內的傳送機器人130,其將於下文中詳下地描述。該迷你環境106可提供,例如,一用於多個裝載埠模組之間的基材傳送之受控制的無塵環境。
該真空負載鎖定室102可被設置在該迷你環境106和該後端103之間且被連接至該迷你環境106和該 後端103。該負載鎖定室102大致上包括大氣狹槽閥和真空狹槽閥。該等狹槽閥可提供環境隔離,其被用來在將基材從該大氣前端載入之後排空該負載鎖定室並在用鈍態氣體(譬如,氮氣)對該負載鎖定室通氣時維持該傳送室內的該真空。該負載鎖定室102亦可包括一對準器,用來將該基材的一基準點對準一用於處理及/或任何其它適合的基材處理特徵(譬如,加熱、冷卻等等)之所想要的位置。在其它態樣中,該真空負載鎖定室可被設置在該處理設備之任何適合的位置且具有任何適合的組態。應指出的是,該負載鎖定室可彼此被疊置成一實質垂直的列或配置成二維度的陣列,這將參照圖11A-11C於下文中詳細描述,使得該負載鎖定室的數量可在不增加該工具100的覆蓋面積下被實質地增加。
該真空後端103大致上包括一傳送室125、一或多個處理站(其大致地被稱為處理站140)、及一或多個傳送機器人130。應指出的是,該等處理站亦可彼此被疊置成一實質垂直的列或配置成二維度的陣列,這將參照圖11A-11C於下文中詳細描述。該傳送機器人130將於下文中被描述且可被設置在該傳送室125內,用以將基材傳送於該負載鎖定室102和各處理站140之間。該等處理站140可透過各式的沉積、蝕刻、或其它種類的處理來在基材上操作以形成電子電路或其它所想要的結構於基材上。典型的處理包括但不侷限於使用真空的薄膜處理,譬如電漿蝕刻或其它蝕刻處理、化學氣相沉積(CVD)、金屬有機化學氣相沉積(MOCVD)、電漿氣相沉積(PVD)、佈植(譬如,離子佈植)、量測、快速熱處理(RTP)、乾帶(dry strip)原子沉積(ALD)、氧化/擴散、氮化物的形成、真空微影術、磊晶術(EPI)、打線及蒸發或其它使用真空壓力的薄膜處理。該等處理站140被連接至該傳送室125以允許基材從該傳送室125被送至該等處理站140及反之亦然。
現參考圖2A、2B、2C及2D,該傳送機器人130大致上包括一驅動部份200、一安裝凸緣202,其被建構來將該傳送機器人130安裝於該大氣前端101或真空後端103的一者中、及一傳送手臂部份210。
該傳送手臂部份210可包括一基座手臂連桿220或吊桿(boom)及一傳送手臂214,其被安裝至該基座手臂連桿220。該基座手臂連桿220被顯示為在近端具有一樞軸X及在遠端具有一樞軸SX的單一連桿(“近”及“遠”等詞係相關於該被提及的參考座標系的相對性用詞)。該基座手臂連桿220係實質堅硬的,在樞軸與樞軸之間沒有鉸接式連結(joints)且在下文中為了描述的目的被稱為單連桿(monolink)或無鉸接的手臂連桿(unarticulated arm link)。應指出的是,描述於本文中的其它手臂的“連桿(link)”係實質地類似於該基座手臂連桿220,因為它們亦可被視為單連桿。該基座手臂連桿220可具有任何適合的長度L及組態。在一態樣中,一基材對準器230(如,用來將該基材的對準特徵定位於一預定的位置)可被安裝在該基 座手臂連桿220的任何適當的位置以允許該傳送手臂214將基材傳送至該對準器230及從對準器230傳送回來。
該傳送手臂214被可轉動地安裝至該基座手臂連桿220的一肩部軸SX處。如從圖2D所示中可瞭解的,該傳送手臂可被安裝在該基座手臂連桿220的任一水平表面上(如,頂部及/或底部,其中該“頂部”及“底部”等詞係相對性的用詞,其和該傳送手臂是否被安裝至該傳送室TC的頂部TCT或底部TCB有關,參見圖2G)。只為了舉例的目的,在圖2D中,傳送手臂214被顯示為安裝至該基座手臂連桿220的頂部,而傳送手臂214’被顯示為安裝至該基座手臂連桿的底部。應指出的是,傳送手臂214,214’的任一者或傳送手臂214,214’兩者可被安裝至該基座手臂連桿220。可被理解的是,當兩個傳送手臂被安裝至同一基座手臂連桿時,該驅動部份200可包括一單一的驅動軸,用來轉動該基座手臂連桿220及用於兩個傳送手臂的每一者的兩個驅動軸,其中各別傳送手臂的傳送手臂連桿係以一種實質地類似於描述在下文中的方式(如,適當數量的驅動軸桿(drive shaft)及傳動件被添加至同軸式驅動軸桿配置,用以驅動在同一基座手臂連桿上的兩個傳送手臂)被連接至各別的驅動軸。在其它態樣中,該等傳送手臂可被任何適當數量的驅動軸(drive axes)驅動。多個傳送機器人亦可以一種實質類似於將在下文中描述的方式被設置在單一傳送室內。亦可被理解的是,在有兩個或更多個傳送手臂(及/或兩個或更多個傳送機器人,參見圖2G、2F、13、14及15-18)可被設置在一傳送室的例子中,用於該等傳送手臂/機器人的控制器(譬如,控制器120)可被建構來操作該等傳送手臂/機器人,使得一手臂/機器人的操作不會干涉到該等手臂/機器人的另一者的操作。
該傳送手臂214可以是任何適合的傳送手臂,其包括但不侷限於選擇性順從的鉸接式(articulated)機器人手臂(SCARA手臂)、蛙腳式手臂、蛙跳式手臂(leapfrog arm)、雙對稱型手臂、無效運動(lost motion)機械開關型手臂或任何具有一或多個末端作用器之可使用二維度驅動的其它適合的手臂。當多個傳送手臂被設置的時候,該等末端作用器可被建構來固持單一基材或多片水平地並排配置及/或垂直地堆疊配置或這兩種配置的任何組合的基材。可被使用或被採用,用以和被描述的實施例的態樣一起使用的傳送手臂的適合的例子包括那些描述在2008年5月8日提申之美國專利申請案第11/179,762號(之前藉由參照被併於本文中)及12/117,415號中,以及美國專利第5,899,658號;第5,720,590號;第5,180,276號;第5,743,704號;第6,299,404號;第5,647,724號;第6,485,250號;及第7,946,800號中的傳送手臂,這些專利文獻的揭示內容藉此參照被併於本文中。在其它態樣中,該傳送手臂可被一具有任何適合數目的自由度的驅動器驅動。應指出的是,該傳送手臂部份將於本文中被大致地稱為傳送手臂部份 210且在圖式中被例示為具有不同的傳送手臂組態。例如,在圖2A中,該傳送手臂214被例示為SCARA型手臂,其具有一上手臂連桿213、一繞著一肘部軸E可轉動地耦合至該上手臂連桿213的前臂(forearm)連桿212、及一繞著一腕部軸W可轉動地耦合至該前臂連桿212的末端作用器211,但如上文中提及的,該傳送手臂可以是任何具有兩個自由度及一或多個末端作用器之適當的手臂類型,如該末端作用器的轉動被該上手臂連桿控制,用以順著該手臂的伸展及縮回的路徑。在其它態樣中,該傳送手臂可具有三個自由度,使得該上手臂連桿、該前臂連桿及該末端作用器的的每一者都可獨立地轉動。
在一態樣中,該驅動部份200可包括,例如,一殼體201,其被建構來容納任何適合的三軸驅動系統或任何其它適合的驅動系統,其具有同軸驅動馬達或水平地偏置的驅動馬達其驅動一同軸式驅動軸桿配置。在其它態樣中,該等驅動馬達相對於彼此可具有任何適合的空間配置。該驅動部份可包括驅動馬達1701MB,用來繞著軸X轉動地驅動該基座手臂連桿220、一驅動馬達1701MU,用來繞著肩部軸SX轉動地驅動該上手臂連桿213、及一驅動馬達1701MF,用來繞著肘部軸E轉動地驅動該前臂連桿212。在其它態樣中,該驅動部份200可包括任何適當數量的驅動馬達及該同軸式驅動軸桿配置中任何適當數量之對應的軸桿。
將可被理解的是,一個驅動軸可被用來轉動 及/或伸展該基座手臂220,而其它兩個驅動軸可被用來獨立於該基座手臂220之外地伸展、縮回及轉動該傳送手臂214。在其它態樣中,在該傳送手臂有三個自由度的例子中,該驅動部份可包括四個驅動馬達,其具有任何適當的組態(如,一個驅動軸可被用來轉動及/或伸展該基座手臂220,而其它三個驅動軸可被用來獨立於該基座手臂220之外地伸展、縮回及轉動該傳送手臂214。可使用或被採用來和被揭示的實施例的態樣一起使用的驅動系統的合適的例子包括那些描述在2005年7月11日提申之美國專利申請案第11/179,762號、2011年10月11日提申的美國專利申請案第13/270,844號及2008年6月27日提申的美國專利申請案第12/163,996號、美國專利第7,891,935號、第6,845,250號、第5,899,658號、第5,813,823號及第5,720,590號、以及2010年10月8日提申的美國暫時申請案第61/391,380號及2011年5月27日提申的第61/490,864號中的驅動系統,該等專利文獻的內容藉此參照被併於本文中。在其它態樣中,該驅動部份可以是具有任何數量的驅動軸之適合的驅動部份,譬如該等驅動馬達可被整合於該傳送室的室壁內、一或多個驅動馬達可被設置在該等手臂連桿內及/或被安裝至用來驅動該傳送手臂214的手臂的關節處,例如一諧合驅動器(harmonic drive)(或任何其它適合的驅動器)被設置來驅動該基座手臂連桿220(這將於下文中予以詳細描述)及以一種實質上類似於描述在2011年7月13日提申 的美國暫時申請案第61/507,276號及2011年7月22日提申的第61/510,819號、2011年10月11日提申的美國專利申請案第13/270,844號及美國專利第7,578,649號中的方式來驅動。在一態樣中,該驅動部份200亦可包括一Z軸驅動器203,用來將該傳送手臂部份210直線地移動於一實質垂直於該傳送手臂部份210的伸展及縮回軸線的方向上。在該等負載鎖定室和處理站如本文所述地被一個疊置在另一個之上的例子中,該Z軸驅動器203可被建構來提供足夠的移動距離將基材傳送至被疊置的負載鎖定室及/或處理站的不同高度。一伸縮軟管或其它適合的撓性密封件250可被設置在該驅動部份200和該安裝凸緣202之間,用以在維持該傳送手臂部份210於其內操作之密封的或受控制的氛圍(如,該傳送室125的密封環境或該EFEM 106的受控制的環境)的同時允許Z軸運動(參見箭頭299)。在其它態樣中,該驅動部份200可不具有Z軸驅動器。
參考圖2B,在一態樣中,該驅動部份200的馬達(201MB,201MU,201MF-參見圖2D)可被建構來驅動一同軸式驅動軸桿配置,其具有一內驅動軸桿262、一中間驅動軸桿261、及一外驅動軸桿260。任何適合的編碼器可和該等馬達及/或驅動軸桿一起被設置,用以追縱(tracking)該等驅動軸桿的轉動及用以送出適當的訊號至例如控制器120用以控制該等軸桿及相對應的手臂連桿的轉動。該等驅動馬達的一或多者可以是一諧合驅動馬 達,其和描述於2011年10月11日提申之美國利申請案第13/270,844號中的驅動馬達實質地類似,該申請案的內容藉此參照被併於本文中。如上文中提到的,在兩個傳送手臂被安裝在單一基座手臂連桿上的例子中,額外的驅動軸桿可被添加至該同軸驅動軸桿配置中,用來透過傳動件(其和下文中描述的傳動件實質相類似)驅動該額外的傳送手臂。該外驅動軸桿260可被耦合至該基座手臂連桿220,使得當外驅動軸桿260轉動時,該基座手臂連桿220和它一起轉動。在一態樣中,該基座手臂連桿220可被建構成用來繞著軸X實質地無限轉動以允許該肩部軸SX相對於軸X實質360度放置。該中間驅動軸桿可被耦合至一第一驅動軸滑輪280,使得當該中間驅動軸桿261轉動時,該第一驅動軸滑輪280和它一起轉動。該內驅動軸桿262可被耦合至一第二驅動軸滑輪281,使得當該內驅動軸桿262轉動時,該第二驅動軸滑輪和它一起轉動。一第二同軸式軸桿配置可在該基座手臂連桿220之遠離該基座手臂連桿220的轉動軸X的一端被可轉動地至少部分地安裝在該基座手臂連桿220內。該第二同軸軸桿配置包括一外驅動軸桿271及一內驅動軸桿270。該內驅動軸桿270可被耦合至一第一肩部滑輪282,使得當該滑輪282轉動時,該內驅動軸桿270和它一起轉動。該外驅動軸桿271可被耦合至一第二肩部滑輪283,使得當該第二肩部滑輪283轉動時,該外驅動軸桿和它一起轉動。該內驅動軸桿270(及其滑輪282)及外驅動軸桿271(及其滑輪 283)可用任何適合的方式從該基座手臂連桿220被支撐(譬如,藉由一或多個適合的軸承SXB),使得它們可彼此獨立地轉動且可獨立於該基座手臂連桿220之外地轉動。該第一肩部滑輪282可透過任何適當的傳動件291(譬如,皮帶、傳動帶等等)被耦合至該第一驅動軸滑輪280,使得該內驅動軸桿270被該驅動部份200的一個對應於該中間驅動軸桿261的馬達驅動。該第二肩部滑輪283可透過任何適當的傳動件290(其實質類似於傳動件291)被耦合至該第二驅動軸滑輪281,使得該外驅動軸桿271被該驅動部份200的一個對應於該內驅動軸桿262的馬達驅動。應指出的是,在一態樣中,滑輪配對280,282及281,283的每一者可具有一比一(1:1)的驅動比,而在其它態樣中,該等滑輪配對可具有任何其它適合的驅動比。該外驅動軸桿271和該內驅動軸桿270可用任何適當的方式被耦合至該傳送手臂214,用以造成該傳送手臂伸展及縮回或如一個單元般地繞著該肩部軸SX轉動。例如,相對於圖2A中所示的SCARA手臂,該外驅動軸桿271可被耦合至該上手臂連桿213及該內驅動軸桿270可被耦合至該前臂連桿212,而該末端作用器被該上手臂控制使得它保持和該傳送手臂214的伸展及縮回軸實質地對準。應指出的是,軸桿270,271的組合式轉動可允許實質無限的轉動(如,大於約360度)或可允許傳送手臂214之獨立於該基座手臂連桿220的轉動之外的轉動,使得該傳送手臂214可沿著相關於該基座手臂連桿 220之任何所想要的路徑延伸。
參考圖2E,在另一態樣中,驅動馬達201MB,201MU,201MF可用一種實質類似於描述在美國專利第7,578,649號中的方式沿著該傳送手臂部分210被散佈,該專利案的內容藉此參照被併於本文中。例如,一單一馬達201MB(其可以是一諧合驅動馬達)可被設置在軸X附近或鄰近軸X,用以可轉動地驅動該基座手臂連桿220。用來驅動該傳送手臂214的上手臂連桿213的該馬達201MU可被設置在該基座手臂連桿220的該肩部軸SX處,用以實質地直接驅動(或透過任何適當的傳動件驅動)該上手臂連桿213。用來驅動該傳送手臂214的前臂連桿212的該馬達201MF可被設置在該上手臂連桿213的該肘部軸E處,用以實質地直接驅動(或透過任何適當的傳動件驅動)該前臂連桿212。可在一個態樣中被實現的是,該末端作用器211可受該上手臂控制,而在其它態樣中,一額外的驅動馬達可被設置在任何適當的位置,用以獨立地轉動該末端作用器211。
參考圖2H、21及2J,用來可轉動地驅動該基座手臂連桿220的驅動馬達201MB(其可以是一諧合驅動馬達)可如上所述地被設置在軸X附近或鄰近軸X。用來可轉動地驅動該傳送手臂214的該上手臂連桿213及該前臂連桿212的馬達201MU及201MF可被包括在一馬達模組201M中,該馬達模組被可取下地安裝至該基座手臂連桿220的一端(如,和該基座手臂連桿220實質地同 軸),用以形成該基座手臂連桿的一部分。該馬達模組201M可包括一殼體201MH,其具有一界面部分201MS。該馬達模組201M亦可包括任何適當的蓋子及遮板(未示出)及密封件201SS,譬如含鐵流體(ferro-fluidic)密封件,用來密封(上文所述的)該馬達模組的內部的至少一部分及用來實質地防止該馬達模組產生的顆粒污染該處理環境及在該處理環境內的基材。該界面部份201MS可建構來用任何適合的方式將該馬達模組201M可取下地安裝至該基座手臂連桿220。在一態樣中,任何適當的密封件289可被設置在該界面部份201MS和該基座手臂之間,使得該馬達模組201M的內部的至少一部分可保持在和將於下文中描述之該基座手臂220的內部實質相同的壓力及氛圍。在此態樣中,該馬達模組包括馬達201MU及201MF,它們被一者設置於另一者上地同軸設置,用以驅動一同軸式軸桿配置中各別的軸桿270’,271’。馬達201MU可包括一被安裝至殼體201MH的定子201MUS及安裝至軸桿271’的轉子201MUR。馬達201MF可包括一被安裝至殼體201MH的定子201MFS及安裝至軸桿270’的轉子201MFR。密封件或軸套245可被設置於定子201MUS,201MFS的每一者上,用以將轉子所在的環境密封起來以允許馬達模組201M被使用在一真空環境中,該等驅動轉子係位在該真空環境內部及該等定子係位在該真空環境外面。將可被理解的是,該馬達模組201M可被使用在一無需設置該等密封件245的大氣環境中。
該軸桿270’可以是該內軸桿且可透過任何適當的軸承241被該殼體201MH可轉動地支撐。該軸桿271’可以是該外軸桿且可透過任何適當的軸承242被可轉動地支撐在該殼體201MH內。應指出的是,該外軸桿271’的軸承242可以一適當的方式被內軸桿270’的軸承241所支撐(如,該外軸桿被耦合至該內軸桿的軸承)。此一支撐配置的一個例子被提供在2012年3月12日提申之美國專利申請案第13/417,837號中,該專利申請案的內容藉此參照被併於本文中。用內軸桿270’的軸承241來支撐該外軸桿271’維持了軸桿270’,271’的對準,這可允許馬達模組201M模組化且實質上是可移除且一但該馬達模組201M被安裝至該基座手臂連桿220,該等軸桿就無需對準。
任何適合的編碼器240A,240B都可被設置且可被適當地安裝至該殼體201MH(且末端作用器軌道被安裝至等驅動軸桿)用以追循軸桿270’,271’的轉動運動。編碼器240A,240B可被連接至一適當的控制器(譬如,控制器120)用以送出適當的編碼器訊號至該控制器來控制各驅動軸桿及連桿的轉動。可被了解的是,該殼體201MH可包括一穿過例如該界面部份201MS的孔,用以允許用於編碼器240A,240B及馬達201MU,201MF的適當的控制電線通過以連接至該控制器120。如上文中提到的,該基座手臂連桿220的內部可被保持一非真空的環境,用以允許電線穿過該基座手臂連桿220到達該控制器 120。在其它態樣中,該等編碼器及馬達可透過任何適當的無線連線而被連接至該控制器。
參考圖3A及3B,依據被揭示的實施例的一個態樣的處理設備的一部分被示出。在此處,傳送室126是一直線細長型的傳送室,其實質類似於傳送室125,但傳送室126被建構成具有一處理站140,其組態不同於傳送室125的組態。例如,傳送室126的兩端係實質相同,使得每一端都能夠和兩個處理站140A,140B或兩個負載鎖定室102A,102B(或它們的組合)相介接,而該傳送室125的兩端則彼此不同,使得它的一端能夠和兩個負載鎖定室介接(如圖1所示)或兩個處理模組(未示出)介接,而另一端則被建構成和三個處理模組140A,140B,140C或一個負載鎖定室介接(參見圖5B)。應被理解的是,在其它態樣中,該等傳送室可具有用任何適當數量的處理模組及/或負載鎖定室附裝成任何適當的配置之任何適合的組態。在示於圖1、3A及3B中的該被揭示的實施例的態樣中,傳送室125,126具有足夠的長度,使得兩個處理模組140被直線地設置在傳送室125,126的兩側邊。該傳送機器人130可被設置在傳送室125,126內,使得驅動軸X係位在進入該處理模組140S1,140S2,140S3,140S4的基材運送路徑TP之間。該驅動軸X亦可偏離傳送室125,126的中心線CL任何適當的距離,使得當該基座手臂連桿220被轉動於第一方向上時,肩部軸SX被設置在該傳送室125,126內的位置399。該位置 399可例如被設置在進入該處理站140A,140B,140S1,140S3的運送路徑和由處理站140A,140B,140S1,140S3相關於圖3A的室126所形成的叢集(cluster)或由處理站140A-140D相關於圖1的傳送室125所形成的叢集的中心相交會處,或換言之,位在該中心處。當該基座手臂連桿被轉動於第二方向上時,該肩部軸SX可被設置在傳送室內的位置點398。該位置點398可例如被設置在進入處理站140S2,140S4及負載鎖定室102A,102B的傳送路徑和由處理站140S2,140S4及負載鎖定室102A,102B所形成的叢集的中心相交會處,或位在該中心處。在其它態樣中,該驅動部份200可被設置在傳送室125,126內任何適當的位置。
圖4A及4B例示該基座手臂連桿220被設置成使得該肩部軸SX位在位置點398,使得傳送手臂214的末端作用器例如可進出處理站140S2,140S4及負載鎖定室102A,102B的每一者。應指出的是,該傳送手臂214只是為了示範的目的而在圖4A中被例示為具有雙片式(雙端式)末端作用器的SCARA型手臂,而在圖4B中傳送手臂214被例示為具有單片式末端作用器的SCARA型手臂。在其它態樣中,該傳送手臂214可具有任何適合的組態。亦應指出的是,在一態樣中,該上手臂連桿和該前臂連桿的每一者的獨立轉動可允許該傳送手臂伸展於該肩部軸SX的相反側上,使得末端作用器EE2可進出處理站140S2及末端作用器EE1可進出處理站140S4 而無需該傳送手臂214如一單元般地繞著該肩部軸SX轉動。亦應指出的是,該傳送手臂214相對於基座手臂連桿220的獨立轉動可允許該傳送手臂214如一單元般地繞著該肩部軸SX轉動,使得末端作用器EE1可進出處理站140S2及末端作用器EE2可進出處理站140S4。可被理解的是,基材的快速交換亦可藉由將一末端作用器插入到該等處理站的一者中、將該傳送手臂繞著該肩部軸SX轉動、然後另一末端作用器插入到同一處理站中來實施。相同地,參考圖4B,該傳送手臂214相對於基座手臂連桿220的獨立轉動可允許該傳送手臂214如一單元般地繞著該肩部軸SX轉動,使得該單片式SCARA手臂的末端作用器EE3可進出處理站140S2及140S4兩者。如本文所述,傳送機器人的驅動部份包括三個獨立的轉動軸,其界定三個自由度。該驅動部份的一個自由度將該至少一基座手臂水平地移動,用來運送在該傳送室內的該至少一傳送手臂及該驅動部份的兩個自由度驅動該至少一傳送手臂,用來伸展該至少一傳送手臂、縮回該至少一傳送手臂及交換兩個末端作用器。
參考圖5B及5C,該傳送手臂214被顯示為雙臂式SCARA型傳送手臂。在此態樣中,該雙臂式SCARA型傳送手臂可藉由使用例如一機械式開關或無效運動機制(lost motion mechanism)以實質類似於描述在美國專利第7,946,800號及2008年5月8日提申之美國專利申請案第12/117,415號中的方式(該等專利文獻的揭示 內容藉此參照被併於本文中)用兩個驅動馬達(如,透過軸桿270,271)予以獨立地驅動。例如,驅動軸桿270,271中的第一者可被連接至該傳送手臂的殼體,用來將該雙臂式SCARA型傳送手臂如一單元般地繞著該肩部軸SX轉動,而驅動軸桿270,271中的第二者透過該機械式開關被耦合至兩手臂,使得驅動軸桿270,271的該第二驅動軸桿在一方向上的轉動造成該二手臂中的第一手臂伸展而該第二手臂則保持在實質縮回的形態,且驅動軸桿270,271的該第二驅動軸桿在相反方向上的轉動造成該二手臂中的第二手臂伸展而該第一手臂則保持在實質縮回的形態。可被理解的是,該雙臂式SCARA型傳送手臂如一單元般地繞著該肩部軸SX的轉動可透過該第一及第二驅動軸桿270,271的實質同步轉動來提供。應指出的是,末端作用器可以任何適當的方式被上手臂控制。
在另一態樣中,該雙臂式SCARA型傳送手臂可被兩個馬達驅動,其中該第一SCARA手臂的上手臂及該第二SCARA手臂的前臂被可驅動地耦合至軸桿270(即,一共同的驅動馬達)及該第二SCARA手臂的上手臂及第一SCARA手臂的前臂可被驅動地耦合至軸桿271(即,一共同的驅動馬達)。軸桿270,271在相同方向上的轉動可造成該雙臂式SCARA型傳送手臂繞著該肩部軸SX如單元般地轉動及軸桿270,271在相反方向上的轉動可造成該等手臂以實質類似於描述在2011年11月10日提申之美國專利申請案第13/293,717號中的方式伸 展或縮回,該專利申請案的揭示內容藉此參照被併於本文中。應指出的是,末端作用器可以任何適當的方式被上手臂控制。
在又另一態樣中,該雙臂式SCARA型傳送手臂可使用三個驅動馬達(如,該驅動部份具有獨立於任何Z軸驅動軸之外的四個驅動軸)透過軸桿270,271及一額外的軸桿(未示出)以一種實質類似於描述在美國專利第6,485,250號及2012年3月12日提申之美國專利申請案第13/417,837號中的方式來驅動,該等專利文獻的揭示內容藉此參照被併於本文中。
參考圖6及6A,該傳送手臂214被例示為一雙對稱蛙腳式傳送手臂。該蛙腳式傳送手臂可包括驅動臂連桿651,652及被動臂連桿661-664。被動臂連桿661,664將末端作用器EE4連接至驅動臂連桿651,652。被動臂連桿662,663將末端作用器EE5連接至驅動臂連桿651,652。驅動臂連桿651可以任何適當的方式被耦合至軸桿270(圖2B)及驅動臂連桿652可以任何適當的方式被耦合至軸桿271(圖2B),使得該等驅動軸桿在相反方向上的轉動造成末端作用器EE4往/返於處理站140C的伸展及縮回,及末端作用器EE5往/返於處理站140G的伸展及縮回,其往返方式係類似於描述在美國專利第5,899,658號及第5,720,590號中的方式(該等專利案的揭示內容藉此參照被併於本文中)。應指出的是,軸桿270,271在相同方向上的轉動會造成該蛙腳式傳送手臂 繞著該肩部軸SX轉動,使得該等驅動軸在相反方向上的轉動造成末端作用器EE5往/返於處理站140C的伸展及縮回,及末端作用器EE4往/返於處理站140G的伸展及縮回,其往返方式係類似於描述在美國專利第5,899,658號及第5,720,590號中的方式(該等專利案的揭示內容藉此參照被併於本文中)。可被理解的是,基材的快速交換亦可藉由將一末端作用器插入到該等處理站的一者中、將該傳送手臂繞著該肩部軸SX轉動、然後另一末端作用器插入到同一處理站中來實施。
現參考圖5A,5B及5C,依據被揭示的實施例的態樣之包括細長型雙叢集式傳送室的處理設備的不同組態被例示。再次被指出的是,在一些態樣中,該處理設備可包括多層的處理站及/或負載鎖定室(如,將一者設置在另一者之上),如參考圖11A-11C所描述的,使得處理站及/或負載鎖定室的數量可在不增加該處理設備的覆蓋面積(footprint)下被實質地增加。圖5A例示一單一傳送室組態,其實質地類似於圖1所示的組態,但圖5A的傳送室126被例示為具有不同於傳送室125的處理站配置(如,兩個處理站被設置在傳送室的端部,而不是傳送室125的三個處理站)。圖5B例示一串列式傳送室組態,其中兩個傳送室125被一單一負載鎖定室502耦接在一起,使得在該等被接合起來的傳送室內部的環境可被選擇性地彼此密封。在其它態樣中,該二傳送室可用任何適當的方式予以連接,使得在該等傳送室內部的環境彼此聯 通。圖5C例示又另一種組態,其中兩個傳送室126被兩個負載鎖定室502A,502B耦接在一起,使得在該等被接合起來的傳送室內部的環境可被選擇性地彼此密封。在其它態樣中,該二傳送室可用任何適當的方式予以連接,使得在該等傳送室內部的環境彼此聯通。可被理解的是,任何適量的傳送室125,126可透過任何適合數量的負載鎖定室用任何適當的方式彼此耦合以形成一具有任何適當長度及組態的處理模組、負載鎖定室及EFEM的組合式傳送室。例如,參考圖5D三個傳送室126被耦接在一起以形成一組合式直線細長型傳送室,使得該組合式直線細長型傳送室的每一端部具有各自的迷你環境(EFEM)106A,106B,但應被瞭解的是,傳送室125可被耦接在一起或以實質類似於圖5B及5C中所示的方式結合傳送室126耦接在一起以形成一組合式直線細長型傳送室,其具有帶有各自的迷你環境160A,160B的端部。在此態樣中,基材可在該處理設備的一端經由迷你環境160A,160B中的一者被導入該處理設備且在該處理設備的另一端經由迷你環境160A,160B中的另一者被移出該處理設備。可被理解的是,一實質類似於迷你環境160A,160B的迷你環境可取代該等處理站140中的一個處理站,使得基材可在組合式直線細長型傳送室的端部之間從該處理設備被取出或導入該處理設備。相類似地,一具有單一直線細長型傳送室(譬如,圖1及5A中所示者)的處理設備可具有一迷你環境被設置在傳送室125,126的每一端部或以實質類似 於參考圖5D所描述的方式被設置在介於傳送室125,126的端部之間。
現參考圖6,在此態樣中,該工具600被顯示為一叢集型工具,其具有直線細長型傳送室625(其被例示為一細長型三叢集式傳送室,如一個叢集是由處理站140C-140G形成、一個叢集是由處理站140B及140H形成、及一個叢集是由處理站140A,140I及負載鎖定室102A,102B形成)。該工具600可實質類似於上文中描述的工具站100,使得相同的特徵構造具有相同的標號。再次被指出的是,在一些態樣中,工具600(以及圖8A-9C中所示的工具的一些部分)可包括多層的處理站及/或負載鎖定室(如,將一者設置在另一者之上),如參考圖11A-11C所描述的,使得處理站及/或負載鎖定室的數量可在不增加該處理設備的覆蓋面積(footprint)下被實質地增加。
該真空後端103大致上包括一傳送室625、一或多個處理站140A-140I(其一般性地被稱為處理站140)、及一傳送機器人630。該傳送機器人630將於下文中描述且可被設置在該傳送室625內,用以將基材傳送於負載鎖定室102和該等處理站140之間。應指出的是,在一態樣中,該迷你環境106的傳送機器人113可實質地類似於傳送機器人630,而在其它態樣中,該傳送機器人113可以是任何適合的傳送機器人。
現參考圖7A及7B,該傳送機器人630大致 上包括一具有殼體701的驅動部份700、一安裝凸緣702其被建構來將該傳送機器人630安裝在該大氣前端101或真空後端103的一者中、及一傳送手臂部份710。該傳送手臂部份710可包括一基座手臂或鉸接式吊架(articulated boom)720及一傳送手臂214,其在肩部軸SX處被可轉動該基座手臂720。該基座手臂720可包括一上手臂連桿721及一可轉動地耦合至該上手臂連桿721的前臂連桿722。在一態樣中,該基座手臂720可包括一對準器230(圖2C),其以一種實質類似於上文所述的方式被安裝至該上手臂連桿721或該前臂連桿722的一者。應指出的是,該傳送手臂214可實質類似於上文所述的傳送手臂且被可轉動地耦合至該基座手臂720的該前臂連桿722。應再次指出的是,該傳送手臂在本文中將被一般性地稱為傳送手臂214且在許多圖式中被例示為具有不同的傳送臂組態。例如,在圖7A中,傳送手臂214被例示為一SCARA型手臂,其具有一上手臂連桿213、一被可轉動地耦合至該上手臂連桿213的前臂連桿212、及一可轉動地耦合至該前臂連桿212的末端作用器211,但如上文中提到的,該傳送手臂214可以是具有兩個自由度及一或多個末端作用器之任何適當類型的傳送手臂。
該驅動部份700可以實質地類似於上文所述的驅動部份200。在一態樣中,該驅動部份700亦可包括一Z軸驅動器203,其實質地類似於在上文中被描述的Z軸驅動器,用以將該傳送手臂部分710直線地移動於一實 質垂直於該傳送手臂部份710的伸展及回縮軸的方向上。在其它態樣中,該驅動部份700不具有Z軸驅動器。應指出的是,該驅動部份700可被設置在該傳送室內的任何適合的位置以允許該傳送手臂214進出每一耦合至該傳送室的處理站及負載鎖定室。例如,在圖6中,該驅動部份700被顯示為實質地對準一進入處理站140B,140H的基材運送路徑,但在其它態樣中,該驅動部份可被設置在任何適當的位置。
驅動部份700的馬達201MB,201MU,201MF(圖2D)可被建構來驅動一同軸式驅動軸桿配置,其具有一內驅動軸桿262、一中間驅動軸桿261、及一外驅動軸桿260。該外驅動軸桿260可被耦合至該基座手臂720的上手臂連桿721的一驅動轉動軸X附近,使得當外驅動軸桿260轉動時,該上手臂連桿721和它一起轉動。該基座手臂720的前臂連桿722受該驅動部份700的殼體701控制,使得該前臂連桿722的肩部軸SX受到侷限,用以在該基座手臂720被伸展及縮回時沿著一實質直線的路徑移動(如,一單一驅動馬達造成該基座手臂720的伸展及縮回,用以將該傳送手臂沿著該傳送室的長度移動)。例如,一驅動軸滑輪780可和該驅動轉動軸X實質同軸地予以安裝且以任何適當的方式被接地(ground)至該驅動部份700的殼體701(或該傳送設備630的任何其它適合的部分),使得該驅動軸滑輪780相對於該上手臂連桿721不移動地轉動。在其它態樣中,該驅動軸滑輪 780可用任何適當的方式予以可轉動地固定。一從動滑輪783可用任何適當的方法,譬如藉由適當的軸承EXB,被可轉動地安裝在該基座手臂720的肘部軸EX。該從動滑輪783可藉由軸桿763被耦合至該前臂連桿722,使得當該從動滑輪783轉動時,該前臂連桿722和它一起轉動。滑輪780,783可用任何適當的方式(譬如,藉由任何適當的傳動件791,例如傳動帶,皮帶等等)彼此耦合。在一態樣中,滑輪780,783可用至少兩條皮帶或纜線彼此耦合,其中該等皮帶或纜線在該等滑輪的兩端終止抵靠著彼此被拉緊以實質地消除鬆弛及反撞。在其它態樣中,任何適當的傳動件都可被用來將滑輪780,783耦合在一起。二比一(2:1)的滑輪比可被用在滑輪780,783之間從該驅動轉動軸X至該肘轉動軸EX,用以驅動該前臂連桿722的肩部軸SX的直線運動。在其它態樣中,任何適當的滑輪比都可被使用。可被瞭解的是,在該肩部軸SX被侷限而沿著該傳送室625內的一實質直線的路徑P移動的同時,該前臂連桿722的從動本質允許用單一驅動馬達透過軸桿260促使該基座手臂伸展及縮回。
一具有外軸桿271及內軸桿270的同軸式心軸(驅動軸桿配置)可以一種類似於上文中參考圖2B所描述的方式被設置在該前臂連桿722的肩部軸SX處。該外軸桿271可例如被該中間驅動軸261用任何適當的方式來驅動。例如,一驅動軸滑輪781可被耦合至該中間驅動軸261,使得當該中間驅動軸261轉動時,該驅動軸滑輪 781和它一起轉動。一惰滑輪784可被設置在該上臂連桿721內,用以繞著該肘部軸EX轉動。該惰滑輪784可被耦合至軸桿765,使得當該惰滑輪784轉動時,該軸桿765和它一起轉動。該軸桿765和該滑輪784可用任何適當的方式,譬如用任何適當的軸承EXB,予以支撐。該惰滑輪784可用任何適當的方式,譬如透過實質地類似於上文所描述之任何適當的傳動件790,耦合至滑輪781。一第二惰滑輪787亦可在該前臂連桿722內被耦合至軸桿765,使得滑輪784及787一起轉動。一肩部滑輪789可被耦合至該軸桿271,使得該軸桿271及肩部滑輪789一起轉動。該第二惰滑輪787可用任何適當的方式,譬如透過實質地類似於上文所描述之任何適當的傳動件794,被耦合至該肩部滑輪789。
該同軸式心軸的內軸桿270可例如以任何適當的方式被該內驅動軸桿262驅動。例如,一驅動軸滑輪782可被耦合至該內驅動軸桿262,使得當該內驅動軸桿262轉動時,該驅動軸滑輪782和它一起轉動。一惰滑輪785可被設置在該上臂連桿721內,用以繞著該肘部軸EX轉動。該惰滑輪785可被耦合至軸桿764,使得當該惰滑輪785轉動時,該軸桿764和它一起轉動。該軸桿764和該滑輪785可用任何適當的方式,譬如用任何適當的軸承EXB,予以支撐。該惰滑輪785可用任何適當的方式,譬如透過實質地類似於上文所描述之任何適當的傳動件792,耦合至滑輪782。一第二惰滑輪786亦可在該前 臂連桿722內被耦合至軸桿764,使得滑輪785及786一起轉動。一肩部滑輪788可被耦合至該內軸桿270,使得該軸桿270及肩部滑輪788一起轉動。該第二惰滑輪786可用任何適當的方式,譬如透過實質地類似於上文所描述之任何適當的傳動件793,被耦合至該肩部滑輪788。應指出的是,滑輪781,784,782,785,786,788,787,789可具有各自的一比一(1:1)的驅動比,但在其它態樣中,任何適當的驅動比都可被使用。在其它態樣中,驅動馬達201MU及201MF可用實質類似於上文中參考圖2E所描述的方式沿著該傳送手臂214被散佈配置。在其它態樣中,驅動馬達201MU及201MF可用實質類似於上文中參考圖2H-2J所描述的方式被設置在一馬達模組中。亦可被瞭解的是,一傳送手臂214可用實質類似於上文中參考圖2D及2G所描述的方式被設置在該基座手臂720的頂部及/或底部。
該外驅動軸桿271及該內驅動軸桿270可用適當的方式,譬如上文中所描述的方式,被耦合至該傳送手臂214,用以造成該傳送手臂伸展及縮回或如一單元般地繞著該肩部軸SX轉動。
參考圖8A-8C,實質類似於傳送室625的另一傳送室626被示出。然而,該傳送室626包括例如八個處理站140A-140H,其中該等叢集中的一個叢集包括處理站140C、140D、140E、140F,該等叢集中的另一叢集包括處理站140B及140G,而其餘的叢集包括處理站 140A、140H及負載鎖定室102A、102B。在圖8A-8B中,該基座手臂720被顯示在例如三個位置,這三個位置將傳送手臂214的該肩部軸SX對準在各別的叢集的中心位置,使得該傳送手臂214可用實質類似於上文所述的方式撿取並放置基材至各個叢集的每一處理站/負載鎖定室。圖9A-9C例示一被設置在該基座手臂720上的傳送手臂214在傳送室626內的一個用來進出處理站140A,140H及負載鎖定室102A,102B的位置。應指出的是,該傳送手臂被例示為一具有雙片式末端作用器的SCARA手臂(圖9A)、雙對稱式蛙腳型傳送手臂(圖9B)及雙手臂SCARA手臂(圖9C)只是為了示範的目的,而應被瞭解的是,如上文所述,任何適合的傳送手臂,譬如兩自由度的傳送手臂,可用任何適當的方式被安裝至該基座手臂720。
圖10A、10B及10C例示一包括依據被揭示的實施例的態樣的細長型三叢集傳送室的處理設備的不同組態。圖10A例示一實質類似於圖6所示的傳送室組態之單一傳送室組態,但圖10A中所示的是傳送室626。圖10B例示一串列式傳送室組態,其中兩個傳送室625藉由一單一負載鎖定室1002而被耦合在一起。圖10C例示又另一種組態,其中兩個傳送室625,626藉由兩個負載鎖定室1002A,1002B而被耦合在一起。可被理解的是,任何適當數量的傳送室625,626可用任何適當的方式彼此耦合以形成一具有任何適當長度及組態的處理模組、負載 鎖定室及EFEM的組合式傳送室。例如,參考圖10D,三個傳送室626被耦合在一起以形成一組合式直線細長型傳送室,使得該組合式直線細長型傳送室的每一端部具有各自的迷你環境106A,106B,但應被理解的是,傳送室625可和傳送室626被耦合在一起或用實質類似於圖10B及10C的方式和傳送室626組合在一起以形成一組合式直線細長型傳送室,其端部具有各自的迷你環境106A,106B。在此態樣中,基材可經由迷你環境106A,106B中的一者被導入該處理設備中且經由該迷你環境106A,106B中的另一者從該處理設備被移出。可被理解的是,一實質類似於迷你環境106A,106B的迷你環境可取代該等處理站140中的一個處理站,使得基材可在該組合式直線細長型傳送室的兩端之間被移出或被導入該處理設備。相類似地,一具有直線細長型傳送室(譬如圖6及10A中所示者)的處理設備可具有一迷你環境其以實質類似於參考圖10D描述的方式被設置在傳送室625,626的每一端部或介於傳送室625,626的端部之間。
參考圖11、12及13,依據被描述的實施例的態樣的一半導體工具站1100被顯示出。在此態樣中,該工具站1100包括一前端101,其包括例如裝載埠模組105及一實質類似於上文所述的迷你環境106。該工具站亦包括一真空後端1103,其透過一或多個負載鎖定室102A,102B連接至該前端101。該後端1103可實質類似於上文所描述的後端103,但在此態樣中,該後端1103包括一 實質矩形的傳送室1125。該傳送室1125的一側透過負載鎖定室102A,102B被連接至該前端101且該傳送室1125的其它側被連接至任何適當數量的處理站1140A-1140F。在此態樣中,有兩個處理站被連接至該傳送室1125的各側邊,但在其它態樣中,任何適當數量的處理站可被連接至每一側邊。在另外其它態樣中,負載鎖定室或暫存站可被設置來取代一或多個處理站,用以用實質類似於上文中參考圖5B-5D及10B-10D所描述的方式將兩個或多個實質矩形的傳送室1125連接在一起。應指出的是,處理站1140A-1140F可實質地類似於上文中所描述的處理站。
參考圖11A-11C,可被理解的是,該傳送室1125可被建構成使得處理站1140及負載鎖定室102可被連接至該傳送室1125成為一疊置的組態(如,將一者疊在另一者上)或成為二維度的陣列(如,將一者疊在另一者上且並排設置)。例如,參考圖11A,在一態樣中,負載鎖定室102可被一個設置在另一上(且並排地設置以形成負載鎖定室的陣列)且處理站1140可被一個設置在另一上(且並排地設置以形成處理站的陣列)。參考圖11B,在另一態樣中,負載鎖定室102可被一個設置在另一個上(且並排地設置以形成負載鎖定室的陣列)且處理站1140可被設置成一單一的水平列。參考圖11C,在又另一態樣中,負載鎖定室102可被設置成一單一水平列且處理站1140可被一個設置在另一個上(且並排地設置以形成處理站的陣列)。在又另一態樣中,負載鎖定室102 及處理站1140可用任何適合的方式被連接至傳送室1125。應指出的是,圖1、圖3A-6、及圖8A-10D的一者或多者中的負載鎖定室及/或處理站1140亦可用實質類似於上文中參考圖11A-11C所述的方式被設置成單一列及堆疊的任何組合。
傳送機器人1130可實質類似於上文中描述的傳送機器人130或630且被設置在該傳送室1125內,用以可繞著轉動軸X11轉動。為了示範的目的,該送機器人1130被顯示為實質類似於傳送機器人130。雖然轉動軸X11被顯示為被實質中心地設置在該傳送室1125內,但應指出的是,在其它態樣中,該轉動軸可設置在該傳送室1125內的任何適當的位置。應指出的是,圖11中的傳送手臂1130R被例示為一單一SCARA手臂,在圖12中傳送手臂1130R1被例示為雙SCARA手臂及在圖13中傳送手臂1130R1,1130R1分別被例示為一單一SCARA手臂及雙SCARA手臂,它們全都實質類似於上文中描述的傳送手臂214,214’的手臂種類。然而,在其它態樣中,傳送手臂種類的任何適當的組合(如上文所描述之每一機器人包括一單一的SCARA手臂、每一機器人包括雙SCARA手臂、一機器人包括一單一SCARA手臂及其它機器人包括雙SCARA手臂、每一手臂包括蛙腳式手臂,等等)都可被設置在各傳送機器人1130A,1130B的基座手臂220上。亦應指出的是,傳送手臂214相關於該基座手臂220的獨立轉動允許一傳送手臂的伸展及縮回的軸線對準一延 伸進/出每一處理站1140A-1140F及每一負載鎖定室102的路徑,使得任何傳送手臂可傳送基材來回於該等處理站及負載鎖定室的每一者。
參考圖2G、2F及13,多於一個傳送機器人可被設置描述於本文中的任何傳送室內。例如,在一態樣中,兩個傳送機器人1130A,1130B被設置在傳送室1125內,但在其它態樣中,任何適當數量的傳送機器人可被設置在該傳送室1125內。在一態樣中,一個傳送機器人1130A可被安裝至傳送室1125的一上TCT(圖2G),而其它傳送機器人1130B可被安裝至傳送室1125的一下TCB(圖2G)。雖然傳送機器人1130A,1130B的每一者的軸X11被例示為彼此實質地成一直線,但在其它態樣中,該等傳送機器人的每一者的軸X11可以彼此水平地間隔開,使得軸X11係實質地位在傳送室的相反端部或相對於彼此具有任何適當的空間關係。在其它態樣中,傳送機器人1130A,1130B的每一者可被同軸地配置且被連接至一共同的驅動部分200,如圖2F所示。在此態樣中,該驅動部分可包括一適當的同軸式驅動軸桿配置(及相對應的馬達)用來驅動至少該等基座手臂220,220’,其中用來驅動傳送手臂214,214’的馬達被設置成如上文中關於傳送馬達214,214’所述的配置。
亦參考圖17,一實質地類似於半導體工具站1100的半導體工具站1100’被示出。然而,在此態樣中,有四個負載鎖定室1702A-1702D被耦合至該傳送室 1125。在其它態樣中,任何適當數量的負載鎖定室可被耦合至該傳送室1125。如圖17中所見,負載鎖定室1702A-1702D中的每一者可包括一傳送機器人且可被實質直接地耦合至一設置在一個別的裝載埠105上的基材匣盒110。應指出的是,該基材匣盒110可被建構成使得該基材匣盒110的內部在被耦合至負載鎖定室1702A-1702D時被維持在真空,或該負載鎖定室可被建構成可在每次有一基材被傳送於該匣盒110和該傳送室1125之間時循環它的內部環境。
現參考圖14,一半導體工具站1400被示出。該工具站1400可實質地類似於上文中描述的工具站1100,但在此態樣中,該傳送室是由個別的傳送室1125A-1125D所形成,這些傳送室被直線(rectilinear)配置,用以將基材傳送在負載鎖定室102A,102B和處理站1140A-1140F之間。在此態樣中,有四個傳送室1125A-1125D透過負載鎖定室及/或暫存站1401-1404被可聯通地彼此耦合,用以形成2X2的傳送室陣列或網格。在其它態樣中,任何適當數量的傳送室可被設置且彼此耦合以共同形成任何適當大小的直線式傳送室(如,NxM陣列的傳送室,其中N及M為整數)。可理解的是,工具站1400(以及本文中所描述的其它工具站)可包括多層基材夾持站(如,參考圖11A-11C所描述者),使得該陣列為三維度陣列(如,一具有Y方向垂直地間隔的基材夾持站的NxM傳送室陣列)。每一傳送室可用實質類似於 描述在2006年5月26日提申之美國專利申請案第11/442,511號及2007年2月27日提申之美國專利申請案第11/679,829號以及美國專利第7,458,763號中的方式被模組化,這些專利文獻的揭示內容將藉此參照被併於本文中。應指出的是,在該等負載鎖定室可聯通地耦合該等傳送室1125A-1125D時,每一傳送室的內部環境可和其它傳送室的內部環境被選擇性地密封。可被理解的是,每一傳送室1125A-1125D可包括一傳送手臂1430,其實質類似於上文中描述的傳送手臂214。傳送手臂可被建構成透過該等負載鎖定室及/或暫存站1401-1404將基材傳送於該等傳送室之間,或直接傳送於該等機器人之間(如,機器人對機器人的傳送)。在其它態樣中,傳送室1125A-1125D可具有任何適當的傳送手臂來將基材經由個別的傳送室傳送至與之耦合的處理站及/或負載鎖定室。
參考圖14A,一實質類似於半導體工具站1400的半導體工具站1400”被示出。在此態樣中,兩個傳送室1125A,1125D被傳送室1125E所取代。傳送室1125E包括兩個傳送機器人1450,1451於一單一室內。傳送機器人1450,1451可實質地類似於上文中描述的傳送機器人。在一態樣中,在傳送機器人1450,1451的一者或兩者上的傳送手臂(或描述於本文中的任何一傳送手臂)可以一種實質類似於描述在2005年7月11日提申的美國專利申請案第11/179,762號中的方式具有長度不同的手臂連桿(如,上手臂比前臂短,或前臂比上手臂短), 該專利申請案的揭示內容藉此參照被併於本文中。在此處,該傳送室1125E包括兩個端部1125E1,1125E2及延伸在端部1125E1,1125E2之間的側邊。該傳送室1125E在其一側邊處被可聯通地耦合至三個負載鎖定室102A-102C及在其另一側邊處被可聯通地耦合至兩個傳送室1125B,1125C。在其它態樣中,可以有多於或少於三個負載鎖定室被耦合至該傳送室的側邊及有多於或少於兩個傳送室被可聯通地耦合至該傳送室的另一側邊。傳送室1125B,1125C可用任何適當的方式(譬如,透過負載鎖定室1401,1403或透過任何適當的暫存模組)被耦合至該傳送室1125E。可被理解的是,傳送機器人1450,1451,1430可被建構來直接傳送基材於機器人之間(從一機器人轉交至另一機器人)或透過使用任何適合的基材夾持站(譬如負載鎖定室或暫存站)傳送基材。一或多個處理站1140A,1140F可被設置在傳送室1125E的每一端部1125E1,1125E2。兩個機器人1450,1451可被設置在該傳送室1125E內,使得它們各自的驅動軸X彼此水平地間隔開來,使得一個手臂1451服務該傳送室的第一位置(如,負載鎖定室102A,102C,1403(如,傳送室1125B)及處理站1140F),而另一手臂1450服務該傳送室的第二位置(如,負載鎖定室102C,102B,1401(如,傳送室1125C)及處理站1140A)。可被理解的是,該傳送室1125E的該第一及第二位置可重疊,但在其它態樣中,該第一及第二位置可不重疊。在另外其它態樣 中,該傳送室1125E可包括一類似於傳送機器人630的單一傳送機器人,其被建構來使得該送手臂橫越該傳送室1125E的長度,用以進出該等基材夾持站及/或其它被可聯通地耦合至該傳送室1125E的傳送室。
亦參考圖19a,實質類似於半導體工具站1400的半導體工具站1400’被示出。然而,在此態樣中有兩個負載鎖定室1702A,1702B,其用實質類似於上文中參考圖17所述的方式將該直線式傳送室可聯通地耦合至位在各裝載埠105的基材匣盒110。可在圖19中看到的是,同樣如實質類似於上文中參考圖17所述的方式,每一負載鎖定室1702A,1702B可包括一傳送機器人。應指出的是,額外的負載鎖定室可取代處理站,反之亦然,使得基材可從該半導體工具站1400’的任一側邊或任何側邊被插入該半導體工具站1400’及/或從該半導體工具站1400’被取出。例如,參考圖19A,該處理站1140及負載鎖定室1702A,1702B被設置成使得負載鎖定室1702A,1702B被設置在該半導體工具站1400”的相反側上。在其它態樣中,負載鎖定室及處理站可具有任何適當的配置。
現參考圖15,一依據被揭示的實施例的態樣的半導體工具站1500被顯示。該工具站1500可實質類似於工具站1100,但該傳送室1525的一個側邊S1包括有角的(angled)表面,其被建構成使得進/出個別處理站(即,處理站1140C,1140D)的基材傳送路徑P1,P2相對於彼此夾了任何適當的角度α。可被瞭解的是,側邊 S1-S3中的一者可包括實質類似於側邊S1之有角度的表面,用以形成多面向的傳送室。一或多個傳送機器人1530(其實質類似於上文中所描述的傳送機器人)可被設置在該傳送室1525內,用來傳送基材穿過該傳送室並傳送基材於該等處理站和該等負載鎖定室之間。如上文中提到的,該等傳送機器人1530中的一者或多者能夠如一單元般地相對於該基座手臂220獨立地轉動的能力允許傳送手臂214的一伸展及縮回軸線無論該傳送室的每一室壁的形狀為何都可和進/出該等處理站和該等負載鎖定室的任何一者的傳送路徑對準。圖18例示一實類似於半導體工具站1500的半導體工具站1500’。然而,示於圖18的工具站1500’包括三個負載鎖定室1702A-1702C,它們實質類似於上文中參考圖17及19所描述的負載鎖定室。在其它態樣中,該工具站1500’可包括任何適當數量的負載鎖定室。
圖16例示一依據被揭示的實施例的態樣的半導體工具站1600被顯示。該工具站1600可實質類似於工具站1100,但該傳送室1625可具有五角形的形狀,使得更多的處理站1640A-1640H能夠可聯通地耦合至該傳送室1625。如同上文中所描述的工具站,在一些態樣中,該工具站1600可如參考圖11A-11C所描述地包括多層的處理站及/或負載鎖定室(將一者設置在另一者之上),使得處理站及/或負載鎖定室的數量可在不增加該工具站的覆蓋面積下被實質地增加。一或多個傳送機器人1630 (其實質類似於上文所描述的傳送機器人)可被設置在該傳送室1625內,用來傳送基材穿過該傳送室並傳送基材於該等處理站和該等負載鎖定室之間。再次地,如上文中提到的,該等傳送機器人1630中的一者或多者能夠如一單元般地相對於該基座手臂220獨立地轉動的能力允許傳送手臂214的一伸展及縮回軸線無論該傳送室的每一室壁的形狀為何都可和進/出該等處理站和該等負載鎖定室的任何一者的傳送路徑對準。
應被理解的是,雖然被揭示的實施例的態樣被例示為具有一或多個叢集式傳送室,但在其它態樣中,傳送室可具有任何適當數量的處理站及/或負載鎖定室叢集。又,雖然被揭示的實施例的態樣的基座手臂被例示為具有一基座連桿(圖2A及17)及兩個基座連桿(圖7A),但在其它態樣中,該基座手臂可具有任何適當數量的連桿,用以允許該基座手臂的肩部軸線SX(該傳送手臂214係被安裝在該肩部軸線SX周圍)能夠伸展任何適當的距離,用以沿著該等直線細長型的傳送室125,126,625,626的長度運送該傳送手臂214及/或用以在一實質矩形的傳送室1125,1525及/或一實質五角形的傳送室1625(或其它適當的多側邊式傳送室)內繞著一轉動軸運送傳送手臂1130R,1130R1,1130R2,1130R3。
現參考圖20A,一依據被揭示的實施例的態樣的處理設備2000的示意圖被示出。同時參考圖20E、34A及34B,該處理設備2000大體上包括一或多個處理 工具組件/模組2020,其被一或多個真空通道(vacuum tunnel)2010,2010A,2010B,2050連接至一或多個其它處理工具模組2020A,2020B,2020C及/或其它適合的基材處理設備,譬如EFEM或批次裝載器界面2060。該等處理工具模組可以是由許多原始的設備製造商所提供之既有的或是其它“現有的(off the shelf)”處理工具模組。可在圖20E中看到的是,處理工具模組2020,2020A,2020B可具有一叢集式組態或該處理工具模組2020C可具有一直線式組態或它們的任何適當的組合。每一處理/叢集式工具可具有不同之用於處理基材的預定的處理特性。被揭示的實施例的態樣允許這些既有的處理工具模組以一種相對立的組態被一自動化模組2030可彼此聯通地連接,其中基材係用單一次基材接觸(single touching of the substrate)(其將於下文中詳細描述)通過該自動化模組被傳送進入相對的處理工具模組中。如將於下文中描述的,該等處理工具可被傳送通道2010A,2010B,2050彼此被連接成一實質直線的配置。
應被瞭解的是,雖然“通道”2010A,2010B,2050在本文中被描述為具有真空氛圍的真空通道,但在其它態樣中,“通道”內可具有任何適當的氛圍,譬如鈍態氣體氛圍、非真空氛圍、真空氛圍或它們的任何組合。亦應被瞭解的是,在其它態樣中,一或多個形成該“通道”的模組(如,真空模組、自動化模組、定向模組、界面模組、等等,其將於下文中描述)可用任何適 當的方式(譬如,用一可允許傳送車在模組之間通過的閘閥)和該通道內的其它模組密封隔開,使得一或多個模組可具有和該通道內的其它模組不同的氛圍(如上文中提到的氛圍)。
處理工具模組2020可包括一或多個處理室2021-2023、一傳送室2024及負載鎖定室2025,2026。在一態樣中,處理工具模組2020可實質類似於上文中參考圖3A-6及8A-19A所描述的處理工具模組,而在其它態樣中,處理工具模組可具有任何適當的組態及/或構件。亦參考圖20B,在一態樣中,處理工具模組2020及該處理設備的其它模組/構件(譬如,該自動化模組2030)可被建構成使得處理室2022及/或負載鎖定室2025,2026可被耦合至設置成一疊置式組態的諸模組的埠口(即,處理室2022及/或負載鎖定室2025,2026可被設置在一或多層被垂直地間隔開或疊置的平面PL中)。在其它態樣中,處理室可以不被疊置,而是被配置在一共同平面上。參考圖20C,自動化模組2030及EFEM 2060亦可被建構成具有疊置式的傳送平面PL,使得真空通道2010可被配置在不同的平面PL上。亦應指出的是,基材索引器(indexer)/升降器2030IN可設置在該通道內,用以將基材升高/降低以進/出該通道。如可被理解的,當該等通道被疊置時,該索引器(indexer)/升降器2030IN可連接該等被疊置的通道以允許基材傳送於該等被疊置的通道之間。
被建構來實質同時地傳送一或多片晶圓的該自動化模組2030可用任何適當的模式將處理工具模組2020連接至真空通道2010A,2010B,2050。該自動化模組可包括一形成一室的殼體,其能夠維持一密封的環境於其內且具有基材埠開口,基材經由該等開口被運送進出該室。該自動化模組2030的該殼體可包括第一端部2030E1及第二端部2030E2及兩個延伸在兩端部之間的側邊2030S1,2030S2。每一側邊可具有至少兩個基材運送開口或連接埠2030P1,2030P2,2030P4,2030P5(圖24A,24B),用以耦合至例如處理工具模組2020的負載鎖定室、一真空通道、一EFEM、一裝載埠模組(如,該裝載埠模組可實質地直接連接至該自動化模組,至將於下文中描述)及/或任何其它適當的自化設備(如,用於處理或搬運基材的設備)。側邊2030S1,2030S2可界定一匹配界面,用來和一處理工具組件2020,2020A,2020B,2020C的一側邊匹配。該殼體的側邊2030S1,2030S2的至少一者可具有連接埠2030P1,2030P2,2030P4,2030P5中的不只一者,其和在該處理工具組件與在該等連接埠的該匹配界面相嚙合的基材運送開口一樣並界定一設被邊界EB於該自動化模組2030的殼體和處理工具模組2020,2020A,2020B,2020C之間。應指出的是,不同的處理工具模組2020,2020A,2020B,2020C可具有不同的預定特徵且可以是可互換地和該殼體的匹配界面嚙合。亦應指出的是,介於該等處理工具模組的連接埠之間 的間距或距離可變更且該自動化模組2030被建構成至少透過例如位在自動化模組內的傳送機器人所提供的手臂長度(reach)及各式可將自動化模組耦合至該等處理工具模組的安裝配置來適應該等處理工具模組的連接埠之間的距離變化。
應指出的是,在一態樣中,該自動化模組2030可具有任何適合的形狀,譬如具有如圖21A所示之直角的側邊(如,直角的形狀)。在其它態樣中,該自動化模組2030’可具有楔形,其中該自動化模組2030’的側邊被形成琢面(facetted),用以耦合至任何適當的處理工具或其它自動化設備,如圖20D所示。應指出的是,圖20D中的該自動化模組2030’的該等琢面式側邊被例示為具有一相對於該自動化模組2030’的內部外凸的形狀,但在其它態樣中,一或多個該等琢面式側邊具有一相對於該自動化模組2030’的內部內凹的形狀。在另外其它態樣中,該自動化模組的一個側邊可以是和端部成直角,而其它側邊可以是琢面式的側邊,如圖20A所示。可被理解的是,一楔形配接器(wedge adapter)可被提供給該直角形傳送室以允許該直角形的自動化模組連接至一處理工具模組的有角度的埠口(angled port)。相類似地,一直角形的配接器可被提供給該楔形的自動化模組,使得該楔形的自動化模組可被連接至一處理工具模組之被直角地配置的埠口。
該自動化模組2030的至少一端部可包括一埠 口2030P3,2030P6(圖24A,24B),用來將該自動化模組2030耦合至例如該運送通道、負載鎖定室、裝載埠模組及/或任何其它適當的自動化設備(如,用來處理或搬運基材的設備)。至少一運送機器人2080(其可實質類似於上文中所述的運送機器人)可被設置成至少部分在該自動化模組2030內,用來以單一次基材接觸(single touching of the substrate)來將一或多片基材從該運送通道(及/或一移動於該運送通道內的車子)傳送至該處理工具模組2020的任一負載鎖定室。在該處理設備的一或多個構件被配置在疊置式的平面上(如圖20B所示)的例子中,該運送機器人2080可包括足夠的Z運動能力,用以提供接近該等疊置式平面的每一平面的途徑。在一態樣中,該自動化模組2030可經由任何適合的真空模組2040或任何其它適合的連接模組而被連接至該等真空通道2010A,2010B(或一或多個EFEM)。該真空模組2040可以是一穿通式真空莢、一負載鎖定室、一暫存模組、一基材對準器模組、一用於位在該等真空通道2010A,2010B內之往返式載具(shuttle)或台車的往返式載具界面、及/或其它適合的模組。在另一態樣中,該自動化模組2030可被實質地直接耦合至該真空通道,譬如真空通道2050,使得在該自動化模組2030內的該傳送機器人2080可將基材直接傳送至該真空通道,譬如傳送至該真空通道2050內的往返式載具(shuttle)或台車。在另外其它態樣中,如將於下文中描述的,另一處理工具模組可 被耦合至該自動化模組2030以取代該真空通道2050,使得相對的處理工具模組被可聯通地彼此耦合且可聯通地耦合至真空通道2010A,2010B。
參考圖21A,一實質類似於處理設備2000的處理設備2100的示意圖被示出。在此態樣中,該自動化模組2030將相對的處理工具模組2120A,2120B連接至例如一EFEM 2060。該EFEM 2060包括一殼體,其內有一受控制的氛圍、裝載埠2061-2064,用來將一或多片基材傳送於基材匣盒2065和EFEM 2060之間、及一傳送機器人2180,其被建構來將基材傳送於該等匣盒2065和例如真空模組2040之間。在一態樣中,該傳送機器人2180可實質地類似於描述於上文中的傳送機器人,而在其它態樣中,該傳送機器人可以是任何適合的傳送機器人。該真空模組2040將該EFEM 2060和該自動化模組2030連接起來,且在此態樣中,該真空模組可以是一負載鎖定室,其被建構來提供該EFEM 2060的氛圍和該自動化模組2030的氛圍(其可以是一真空氛圍)之間的轉換。在其它態樣中,該真空模組2040可用一大氣模組來取代,該大氣模組具有和該真空模組2040類似的特徵,但被建構來在其內保持一非真空的環境,使得該大氣模組和該通道界面2030是非真空模組(如,當基材被傳送至處理工具模組2120A,2120B時,非真空和真空之間的轉換可發生在負載鎖定室2140A,2140B)。
亦參考圖24A,該自動化模組2030如上文所 述地包括傳送機器人2080。在一態樣中,該傳送機器人2080可實質類似於上文描述的傳送機器人。該傳送機器人2080的驅動部分2081亦實質類似於上文描述的驅動部分200,700。該驅動部分2081可被建構來將手臂2082及末端作用器2083如一單元般地繞著該肩部軸SX轉動,使得手臂2082可將基材傳送於箭頭2400的方向上(如,沿著該自動化模組2030及/或該真空通道的縱長軸),以及箭頭2401的方向上,用來將基材傳送至該自動化模組2030的兩側邊(入,傳送至兩相對的處理工具模組的負載鎖定室2025,2026)。參考圖24B,在其它態樣中,該自動化模組2030的傳送機器人2439可包括一可繞著軸X24轉動的基座連桿2450。應被理解的是,該傳送機器2439可用一種實質類似於本文中關於傳送機器人2080所描述的方式被使用在本文中所描述的被揭示的實施例的每一態樣中。該基座連桿2450可以是雙側式吊桿(boom)的形式且可從該軸X24縱長地伸展於相反方向上,用以形成一實質剛性的連桿,其具有兩個繞著該軸X24轉動之縱長向的端部。任何適合的傳送手臂或手臂2451,2452(其包括但不侷限於選擇性順從的鉸接式(articulated)機器人手臂(SCARA手臂)、蛙腳式手臂、蛙跳式手臂(leapfrog arm)、雙對稱型手臂、無效運動(lost motion)機械開關型手臂或任何其它具有一或多個末端作用器(如上文中所述者))都可在各肩部軸SX1,SX2處被安裝至該基座連桿2450的每一端部。
該傳送機器人2439可包括一實質地設置在該轉動軸X24周圍或附近的驅動部分2450D,其被建構來將該基座連桿2450繞著軸X24轉動。該驅動部分2450D可以是任何適當的驅動器且以任何適當的方式(譬如,透過任何適當的傳動件)而被連接至該基座連桿2450。一驅動部分2451D,2452D(其實質類似於上文中參考圖2H-2J所描述的驅動部分)可被設置在該基座連桿2450的個別端部,用來驅動各自的手臂2451,2452。在其它態樣中,驅動部分2451D,2452D可以是具有任何適當的組態之任何適合的驅動部分。驅動部分2451D,2452D可被建構來造成各自的手臂在箭頭2400,2401的方向上沿著各自的伸展/縮回軸2490,2491,2492伸展及縮回通過該自動化模組2030的埠口,用以從該等處理工具模組的負載鎖定室2025,2026、該等真空通道內運動的台車、或連接至該等埠口的一者之任何其它適當的基材夾持地點撿取基材及將基材放置到該等地方。在一態樣中,驅動部分2451D,2452D可被建構來如一單元般地繞著各自的肩部軸SX1,SX2轉動它們各自的手臂且驅動器2450D可被建構來轉動該基座連桿2450,使得每一手臂2451,2452可沿著軸2492伸展/縮回,用以將基材傳送通過埠口2030P3,2030P6。除了通過埠口2030P3,2030P6的伸展/縮回之外,如在圖24B中所見,手臂2451,2452可被建構來用於實質直線地伸展且手臂2451,2452的並排配置可允許手臂2451伸展通過埠口2030P1,2030P4(藉助於 該基座連桿2450的轉動)並允許手臂2452伸展穿過埠口2030P2,2030P5(藉助於該基座連桿2450的轉動)。在其它態樣中,手臂2451,2452的並排配置可允許手臂2451伸展通過埠口2030P2,2030P4(不藉助該基座連桿2450的轉動但藉助於手臂2451繞著軸SX1的轉動)並允許手臂2452伸展通過埠口2030P1,2030P5(不藉助該基座連桿2450的轉動但藉助於手臂2451繞著軸SX2的轉動)。
傳送機器人2439的手臂2451,2452亦可被建構成被控制器120(圖1)控制,用以將基材從手臂2451,2452中的一手臂轉交至手臂2451,2452中的另一手臂。例如,在一態樣中,基材可被實質地直接轉交於手臂2451,2452之間。在另一態樣中,基材可被手臂2451,2452中的一個手臂放置在一位於該自動化模組2030內遠離該傳送機器人2439的一基材夾持位置2471,使得手臂2451,2452中的另一手臂可從該夾持位置2471撿取該基材,用以將該基材從手臂2451,2452的一者傳送至手臂2451,2452的另一者。在另外其它態樣中,基座連桿2450可包括一類似該基材夾持位置2471的基材夾持位置(如,該基材夾持位置被安裝至該基座連桿2450),使得基材可用一種實質類似於上文中關於基材夾持位置2471所描述的方式從一手臂被傳送至另一手臂。
參考圖24C及24D,傳送機器人2080,2439可用實質類似於上文中參考圖2G所描述的方式被安裝在 該自動化模組2030內成為例如垂直地相對的配置。例如,在一態樣中,手臂2080可被安裝至該自動化模組2030的頂部,而手臂2439被安裝至該自動化模組2030的底部,或反之亦可。在其它態樣中,一第一傳送手臂2080可被安裝至自動化模組的頂部及一第二手臂2080可被安裝至該自動化模組的底部。在另外其它的態樣中,一第一傳送手臂2439可被安裝至自動化模組的頂部及一第二手臂2439可被安裝至該自動化模組的底部。可被理解的是,傳送手臂2080,2439的每一者可移動於箭頭299的方向上且以任何適當的方式被控制(如,被控制器120控制),用以將傳送手臂2080,2439所載負的基材對準每一真空通道2010的傳送平面PL以及處理工具模組2020,2020A,2020B,2020C的傳送平面。傳送機器人2080,2439亦可用任何適當的方式予以控制,用以將基材傳送於自動化模組2030和任何一通道2010之間(如,藉由伸入到該通道內來將基材傳送至一台車或從台車傳送一基材及/或將基材傳送至該台車上延伸至該自動化模組內的基材固持器或從該基材固持器傳送一基材)和處理工具模組2020,2020A,2020B,2020C之間。可被理解的是,該等傳送機器人可繞著它們各自的軸X,X24被轉動,使得一個傳送機器人2080,2439不會干擾到另一傳送機器人2080,2439的操作。
處理工具模組2120A,2120B可被耦合至該自動化模組2030的側邊,使得處理工具模組2120A,2120B (或任何其它能夠固持或用其它方式處理基材的適當模組)被設置成一相對的配置。處理工具模組2120A,2120B可實質類似於上文所述的處理工具模組。在其它態樣中,處理工具模組可具有其它適合的配置。例如,處理工具模組2120A,2120B可包括一傳送模組2121,其包括一或多個傳送室2121TC1,2121TC2,每一傳送有一處理室2122和其耦合。每一傳送室2121TC1,2121TC2可包括任何適合的傳送機器人2150,使得基材經由機器人直接對機器人的傳送或經由基材夾持站2160A,2160B(其可具有一暫存器、對準器、加熱器、冷卻器)或任何其它夾持站被傳送於傳送室2121TC1,2121TC2之間。在一態樣中,傳送模組2121可被例如負載鎖定室2140A,2140B連接至自動化模組2030,而在其它態樣中,該傳送模組2121可實質直接地耦合至該自動化模組2030。
參考圖21B,其它基材夾持站、處理室及/或真空通道可用任何適當的方式被連接至該自動化模組2030。例如,任何適當的模組2170(如,一基材對準器、加熱器、冷卻器、暫存器等等)可被耦合至該自動化模組2030,其和該真空模組2040相對。亦參考圖21C,一真空模組2040A(其可實質類似於真空模組2040)及/或一真空通道2010可被耦合至和該真空模組2040相對的該自動化模組2030,用以模組化地增加該處理設備的處理能力。例如,可從圖21C中看出的是,另一自動化模組2030A被耦合至該真空通道2010,使得額外的處理工具模 組2120C,2120D(其以實質類似於上文中描述的方式被連接至該自動化模組)可被附加至該處理設備。可被理解的是,任何適當數量之額外的真空模組2040、真空通道2010、真空界面模組及處理工具模組都可用實質類似於上文中描述的方式被附加至該處理設備。
參考圖22A,一依據被描述的實施例的態樣的處理設備2200被示出。該處理設備2200可實質類似於上文所描述的處理設備2100,但在此態樣中該自動化模組2030係經由真空通道2010及真空模組2040被連接至該EFEM 2060。每一真空通道2010及/或真空模組2040可被建構來用於同時運送或以其它方式夾持一或多片基材,這將於下文中描述。可被理解的是,在一實質類似於上文描述的方式中,該處理設備2200亦可如圖22B所示地被擴張,用以藉由增加任何適當數量之額外的真空模組2040、真空通道2010A及/或自動化模組2030A來增加該處理設備的處理能力。應指出的是,被耦合或以其它方式被連接的該等真空模組2040、真空通道2010及自動化模組2030係沿著一運送軸TX延伸以形成一模組通道,其可藉由增加例如上面提到的真空模組2040、真空通道2010及自動化模組2030而被延伸至任何適當的長度。亦可被理解的是,真空模組(譬如,真空模組2040’)可包括在一或多個側邊上的埠口2040C1-2040C4,使得其它模組可被連接至該真空模組2040’以改變該運送軸TX延伸的方向。該真空模組2040’可包括一轉動模組2040RR,其 可轉動該基材使得當該基材從該運送路徑TX1轉換至運送路徑TX2時該基材的結晶結構被保持在一預定的對準位置。該轉動模組2040RR可以是基材暫存器或索引器(indexer)/升降器的一部分,其可促進基材在該自動化模組2030內的兩個或更多個傳送機器人和一沿著運送路徑TX1,TX2移動的運送台車之間的轉交。
描述於本文中的處理設備亦可被建構來在該處理設備內的不只一個地點允許基材進/出該處理設備。例如,參考圖23A,一EFEM 2060A,2060B可被連接至由真空通道2040A,2040B,2040C、該真空通道2010及該自動化模組2030A,2030B所形成之運送通道的兩端。關於此點,在一態樣中,基材可經由EFEM 2060A進入處理設備及經由EFEM 2060B離開,反之亦可。在其它態樣中,基材可經由EFEM 2060A,2060B的任何一者或多者進入或離開。亦參考圖23B,一用來將基材添加至處理設備中或從處理設備中取出的進入/離開點亦可被設置在該運送通道的兩端。例如,真空模組(譬如,真空模組2040’)可被附加至該運送通道以允許在中點或在該運送通道的兩端之間的任何其它位置點連接EFEM 2060C。關於此點,在一態樣中,基材可經由EFEM 2060A進入該處理設備及經由EFEM 2060B及/或2060C離開;經由EFEM 2060B進入該處理設備及經由EFEM 2060A及/或2060C離開;經由EFEM 2060C進入該處理設備及經由EFEM 2060A及/或2060B離開。在其它態樣中,基材可經由 EFEM 2060A,2060B及2060C的任何一者或多者進入或離開以形成任何適當的處理流,通過該處理設備。
現參考圖25A及25B,該真空通道2010可包括一或多個真空通道模組2500A-2500n,它們可被密封地耦合在一起以形成一具有適當長度的真空通道。每一真空通道模組2500A-2500n可在真空通道模組2500A-2500n的每一端包括一連接埠2500P以允許真空通道模組彼此連接及/或和描述於本文中的該處理設備的任何其它適的模組連接。在此態樣中,每一真空通道模組2500包括至少一運送台車導引件2510及至少一馬達構件2520用來驅動至少一運送台車2530通過一個別的真空通道模組2500。應指出的是,連接埠2500P的大小可允許運送台車通過該等連接埠。可被瞭解的是,當兩個或更多個真空通道模組2500彼此耦合時,每一真空通道模組2500的該至少一運送台車導引件2510形成一實質連續的運送台車導引件,其延伸穿通過該真空通道2010以允許運送台車2530在該真空通道2010的縱長端2010E1,2010E2之間通行。每一真空通道模組2500的該至少一馬達構件2520亦形成一實質連續的馬達構件,其允許該運送台車在該真空通道2010的兩端2010E1,2010E2之間實質連續的驅動運動。
亦參考圖26A,26B,26C及27B,該至少一運送台車2530,2531,2530’,2531’的每一者可包括一基座2530B,2530B’及至少一由該基座2530B,2530B’延伸出的基材夾持具2530S,2531S,2530S’,2531S’。在一態 樣中,基材夾持具2530S,2531S,2530S’,2531S’可從各自的基座2530B,2530B’懸伸出來,而在其它態樣中,基材夾持具2530S,2531S,2530S’,2531S’可用任何適當的方式被各自的基座2530B,2530B’支撐。基材夾持具2530S,2531S,2530S’,2531S’可具有任何適合的形狀,用來如下文中所詳細描述地主動或被動地抓持/夾持一或多片基材S。基座2530B,2530B’可用任何適當的方式予以建構用來和該至少一馬達構件2520,2521,2520’,2521’的一者及該至少一運送台車導引件2510,2510’的一者介接(interfacing),用以允許運送台車2530,2531,2530’,2531’通過真空通道2010的運動。可被理解的是,在真空通道包括不只一個運送台車的情形中,每一運送台車可在其它運送台車正在運送基材於該通道內的同時也運送基材於該通道內(即,在同一時間內有不只一片基材被運送於該通道內)。雖然,在一態樣中,該運送台車2530在本文中被顯示及描述為一被動式運送台車(如,該台車具有一實質固定且不動的基材夾持具),但在其它態樣中,該運送台車可以是一主動式台車,其包括一台車承載的傳送手臂,該傳送手臂具有一或多個可延伸通過該真空通道2010的端部的鉸接式連桿。運送台車的適當例子可在美國專利第8,197,177號;第8,129,984號;第7,959,395號;第7,901,539;第7,575,406號;及第5,417,537號及美國專利申請案第2012/0076626號;第2011/0158773號;第2010/0329827 號;第2009/0078374號及第2009/0191030號中被找到,該等專利文獻的揭示內容藉此參照被併於本文中。
如可從圖26A,26B,26C及27B中看到的,基座2530B,2530B’大體上被設置成朝向該真空室模組2500,2500’的一側邊,但在其它態樣中,該基座可被設置在任何適當的地點。基材夾持具2530S,2531S,2530S’,2531S’亦大體上被顯示為從基座2530B,2530B’朝向真空室模組2500,2500’的中心線CL延伸,但在其它態樣中,基材夾持具2530S,2531S,2530S’,2531S’可延伸在任何適當的方向上,用以將基材S支撐在真空室模組2500,2500’內。可被理解的是,在該真空室模組2500,2500’的內有不只一個運送台車2530,2531,2530’,2531’的情形中,基材夾持具2530S,2531S,2530S’,2531S’可被設置在被間隔開的不同平面2698,2699上,使得運送台車2530,2531,2530’,2531’可在該真空室模組2500,2500’內彼此經過。雖然在圖式中只有兩個平面2698,2699被示出,但應被理解的是,可有任何數量的平面及相對應的基材夾持操作在這些傳送平面上。可被理解的是,和運送台車2530,2531,2530’,2531’相介接的運送機器人可具有任何適當程度的Z運動能力,用以沿著任一運送平面2698,2699存取被攜載的基材。
每一真空室模組2500的該至少一馬達構件2520及運送台車導引件2510可以是任何適當的馬達構件 及導引件,用來和該運送台車2530介接並驅動它們穿過該真空通道2010。在一態樣中,如圖25A-26C所示,該至少一馬達構件可被設置在每一真空室模組2500的側邊上。在其它態樣中,參考圖27A及27B,該至少一馬達構件可被設置在每一真空室模組2500的底部或頂部。例如,馬達構件2520可以是任何適當的驅動系統(譬如,一磁浮驅動器(如,具有用來驅動並讓該運送台車懸浮之固定不動的繞組(windings))、鏈條/纜繩驅動器(如,該台車被該鏈條/纜繩拉/推通過該真空通道)、球珠螺桿驅動器(如,該台車被該球珠螺桿拉/推通過該真空通道)、磁耦合驅動器(如,一可活動的磁鐵沿著該真空通道的長度被驅動且該運送台車包括磁鐵(其被磁性地耦合至該可活動的磁鐵),使得當該可活動的磁鐵沿著該真空通道的長度被驅動時,該運送台車和該可活動的磁鐵一起被驅動)、或它們的任何組合或任何其它適當的驅動器。該運送台車導引件2510可以例如是一接觸式導引件(如,一或多個軌條、滾輪、軸承等等)或一無接觸式導引件(如,磁性的、磁浮式的)導引件。非接觸式及接觸式運送台車導引件及驅動系統的適合的例子可例如在美國專利第8,197,177號;第8,129,984號;第7,959,395號;第7,901,539;第7,575,406號;及第5,417,537號及美國專利申請案第2012/0076626號;第2011/0158773號;第2010/0329827號;第2009/0191030號;及第2009/0078374號中被找到,該等專利文獻的揭示內容藉此 參照被併於本文中。
在如圖26A,26B,26C及27B所示的一態樣中,該至少一運送台車導引件2510可以一軌條或軸承,該基座2530B,2530B’沿著它架設(ride)。可被理解的是,在此態樣中,該至少一運送台車導引件2510,2510’可實體地支撐(如,接觸)一個別的運送台車2530。該至少一馬達構件2520可包括一或多個固定不動的繞組2520W及運送台車2530,2531,2530’,2531’可包括一或多個磁性平台(platen)2530P,其和該等繞組2520W介接以沿著該至少一運送台車導引件2510,2510’的各運送台車導引件驅動該至少一運送台車2530,2531,2530’,2531’的各運送台車。該等磁性平台2530P可用任何適當的方式和該等運送台車基座2530B,2530B’整合在一起或用其它方式被固定至運送台車基座2530B,2530B’。該至少一馬達構件2520,2521可被連接至任何適合的控制器(譬如,控制器120(圖1)),其中該控制器120被建構或以其它方式被程式化,用以控制用來驅動運送台車2530,2531,2530’,2531’的該等繞組。任何適合的遮板2620,2620’都可被設置成鄰近該至少一運送台車導引件2510,2510’,用以實質地遏制該至少一運送台車導引件2510,2510’和該至少一運送台車2530,2531,2530’,2531’的互動所產生的任何微粒,用以防止該等微粒散佈到該被運送於該真空通道2010內的基材S上。可被理解的是,任何適當的位置回 饋裝置2610都可被包括在該至少一運送台車2530及該真空室模組2500的一者或多者上,用以追縱該至少一運送台車2530在該運送通道(其係由被耦合的真空室模組2500A-2500n所形成)的兩端之間的位置。該位置回饋裝置2610可被連接至控制器120,用以送出訊號至該控制器,該訊號可被用來控制繞組2520W(如,用來將該運送台車2530驅動至該運送通道內的一預定的位置)。該位置回饋裝置的適合的例子可例如在美國專利第8,129,984號及美國專利公開案第2009/0033316號中找到,該等專利文獻的揭示內容藉此參照被併於本文中。
參考圖28A,只為了示範的目的,一真空通道2800(其可實質地類似於真空通道2010)的一部分被示出,其具有兩個真空通道模組2500。在一態樣中,該等在該真空通道2800內運作的運送台車2530,2531的基材夾持具2530S,2531S可被建構來縱長地伸展於該真空通道2800內,使得每一基材夾持具2530S,2531S伸展至該通道外的一預定的距離DE,用以將基材夾持具2530S,2531S所夾持的基材S傳送至任何適合的基材夾持站(譬如,真空模組2040,2040A,2040B)或將該基材S實質地直接轉交至一設置在EFEM 2060或自動化模組2030內的一傳送機器人。在其它態樣中,基材夾持具2530S,2531S可具有任何適當的組態及形狀。在此態樣中,基材夾持具2530S,2531S係面向一共同的方向,如朝向真空通道2800的縱長向端部2800E1,因此基材夾持 具2530S,2531S只能伸展通過該端部2800E1來傳送基材S。可被理解的是,任何被設置在該真空通道2800的縱長向端部2800E2的自動化裝置(譬如,描述於本文中的傳送機器人)係被建構來伸展至該真空通道2800內一預定的距離DL,用來實質地直接撿取及放置基材S至基材夾持具2530S,2531S上。
參考圖28B及28C,只為了示範的目的,一真空通道2800’的一部分被示出,其具有兩個真空通道模組2500及一界面模組2820。可從圖28中看到的是,有兩台運送台車2530,2531(其可實質地類似於存中參考圖28A描述的運送台車)在該真空通道2800’內運作。在該被描述的實施例的此態樣中,該等運送台車的基材夾持具2530S,2531S亦縱長向地伸展於該真空通道2800’內,但不是伸展於一共同的方向上,而是伸展於相反方向上(基材夾持具2530S朝向端部2800E1伸展,而基材夾持具2531S朝向端部2800E2伸展)。在此態樣中,基材夾持具2530S伸展通過該真空通道2800’的端部2800E1,用以用類似於上文中參考圖28A所描述的方式將基材S運送於該基材夾持具2530S和任何適當的基材夾持站及/或傳送機器人之間。相類似地,基材夾持具2531S伸展通過該真空通道2800’的端部2800E2,用以用類似於上文中參考圖28A所描述的方式將基材S運送於該基材夾持具2531S和任何適當的基材夾持站及/或傳送機器人之間。在一態樣中,因為基材夾持具2531S無法伸展通過該端部 2800E1,所以放置在基材夾持具2531S上的基材被轉送至基材夾持具2530S,用以允許基材被傳送至傳送機器人的基材夾持位置,反之亦然。因此,至少一界面模組2820可被設置在諸真空通道模組2500之間且被建構成可允許基材S傳送於基材夾持具2530S,2531S之間。例如,界面模組2820可包括一可移動於箭頭2899的方向上(如,實質地垂直於基材的傳送平面的方向上)的基材支撐件2820E。該界面模組2820可以實質類似於上文中關於真空室模組所描述的方式包括用於運送台車2530,2531之導引軌條及馬達構件。該基材支撐件2820E可被建構成允許運送台車2530,2531通過該界面模組2820並允許被夾持在基材夾持具2530S,2531S上的基材S和基材支撐件2820E對準,用以將基材傳送於基材夾持具2530S,2531S之間。例如,為了要將基材從運送台車2531傳送至運送台車2530,控制器120(圖1)可控制運送台車2531使得運送台車2531被設置成將該基材和該基材支撐件2820E對準。該基材支撐件2820E可移動於箭2899的方向上,用以將基材S從該基材夾持具2531S抬起。控制器120可促使運送台車2531移動離開該基材支撐件2820E並控制運送台車2530,用以將基材夾持具2530S對準基材支撐件2820E。該基材支撐件2820E可移動於箭頭2899的方向上,用以將基材S放置在基材夾持具2530S上。可被理解的是,在一態樣中,任何適當的感測器2820SS亦可被設置在該界面模組2820中且該基材支撐件2820E是 可轉動的,使得該等感測器可掃描一被該基材支撐件2820E轉動的基材,用以將基材對準一預定的方位。在另一態樣中,基材支撐件2820E可被任何適當的驅動機構移動於箭頭2898的方向上,使得感測器2820SS可掃描基材且基材支撐件2820E可移動於箭頭2898的方向上,用以將基材放置在該等運送台車的基材夾具的中心點上。
參考圖30A及30B,在被揭示的實施例的一態樣中,在真空通道內運作的運送台車可包括可轉動的基材夾持具,使得每一運送台車可延伸通過該真空通道的兩端。例如,運送台車3030(其可實質類似於運送台車2530,2531)包括一基座3030B,其被建構成沿著導引件2510,2510’跨架(ride)及一基材夾持具支撐部分3030S。一基材夾持具3030S1以任何適當的方式被可轉動地安裝至該基材夾持具支撐部分3030S,使得基材夾持具3030S1繞著軸RX轉動。一驅動耦合件3030M可被耦合至該基材夾持具3030S1,用以將該基材夾持具3030S1繞著該軸RX轉動至少180度,使得該基材夾持具伸展通過該真空通道的兩端。可被理解的是,基材夾持具3030S1及/或該驅動耦合件3030M可包括任何適合的機構或固態鎖合機構3030L,用來將該基材夾持具3030S1保持在一預定的位置以允許基材夾持具伸展通過該真空通道的兩端,用以傳送基材往/返於該基材夾持具。在一態樣中,該基材夾持具3030S1的長度LL及其組態可以被建構成使得該基材夾持具3030S1可在該真空通道內的任何點轉 動。在其它態樣中,該基材夾持具3030S1的長度LL可被設計成使得該基材夾持具3030S1不能在該真空通道的寬度WW處(圖31A)轉動。亦參考圖31A,為了要允許該基材夾持具3030S1轉動,該真空通道3100(其可實質類似於真空通道2010)可包括一定向模組3120。該定向模組3120可類似於上文中所描述的定向模組包括導引軌條及馬達構件,用以允許該運送台車3030通過該定向模組3120。該定向模組3120可具有一殼體,其被作成可允許基材夾持具3030S1轉動的形狀,用以改變該基材夾持具3030S1的方向。在此態樣中,該定向模組3120被顯示成該殼體具有實質圓形的部分3120R以允許該基材夾持具3030S1轉動,但在其它態樣中,該殼體可具有任何適合的形狀及/或組態。一驅動器3110可被設置在該定向模組3120內,用來和該傳送台車3030的該驅動耦合件3030M互動。例如,該驅動耦合件3030M及該驅動器3110可包括一或多個磁鐵,用來以非接觸方式將該驅動耦合件3030M磁性地耦合至該驅動器。在其它態樣中,該驅動耦合件3030M和該驅動器3110可用任何適當方式彼此耦合。應指出的是,該鎖定機構3030L可被建構成使得當該驅動耦合件3030M和該驅動器3110被耦合時,該鎖定機構會釋開以允許該基材夾持具3030S1轉動及當該驅動耦合件3030M和該驅動器3110被去耦合時,該鎖定機構3030L會被卡合。在操作時,控制器120(圖1)會移動該運送台車3030,用以將該驅動耦合件3030M對準該定 向模組3120內的該驅動器3110。該驅動器3110可被操作用以將該基材夾持具3030S1轉動至少180度,使得該基材夾持具實質面向一相反方向(和該基材夾持具被轉動前相比),用以允許該基材夾持具3030S1伸展通過該真空通道3100的兩端。
可被理解的是,且如上文中提到的,描述於本文中的基材夾持具可被建構來夾持不只一片基材。例如,參考圖29,基材夾持具可被建構來用於基材的批次傳送。例如,一批次式基材夾持具2930可包括任何適當數量的基材支撐件2930S1,2930S2,用來將基材夾持於被不同地間隔開的平面上。該等基材夾持具亦可包括雙末端式基材夾持具3030S2(如圖31C所示),其能夠夾持至少兩片彼此實質地對準於同一平面上的基材。在其它態樣中,該等基材夾持具可具有被間隔開的基材夾持具(如,用來將基材夾持於不同的平面上)及雙末端式基材夾持具的任何適合的組合。可被理解的是,該等運送台車(譬如,上文中所描述者)可允許基材的快速交換。例如,在每一台車具有面向同一方向的基材夾持具的情形中,一運送台車可撿取一基材及其它運送台車可實質緊接在後地放置一基材。在一運送台車包括該批次式夾持具的情形中,在該批次式夾持具內的一支撐件可被保持空的,使得一經過處理的基材可放置在該空的支撐件上,而一未經處理的基材以緊接在後的方式從另一支撐件上被移走,反之亦可。在該基材夾持具包括雙末端式基材夾持具的情 形中,一定向室3120可被放置在該真空通道的端部,使得該雙末端式基材夾持具的一側可撿取一基材,該夾持具可被轉動且該雙末端式基材夾持具的另一側可以緊接在後的方式放置一基材。
如上文中提到的,在一態樣中,一或多個描述於本文中的運送台車可包括一設置在該運送台車上的傳送手臂,其能夠伸展及縮回用以將基材撿取及放置於該真空通道外或者超出該真空通道的端部的一個地點。例如,參考圖32,該運送台車3200包括一手臂3200A,其具有可伸展的手臂連桿。該等連桿可用任何適當的方式彼此連接,使得當該基座連桿3201轉動時,該基材夾持具3203被限制而沿著該運送路徑TX伸展/縮回。在一態樣中,該運送台車3200可包括一基座手臂驅動器,其可被建構來和一設置在一真空通道模組2500內的預定位置的凸輪3200C嚙合(譬如,在該真空通道的一端或該手臂可伸展以傳送基材之任何適合的地點處),使得當該運送台車通過該凸輪3200C時,該凸輪嚙合該基座手臂驅動器,用以造成該基座手臂3201的轉動以用來伸展該基材夾持具3203。為了要縮回該基材夾持具3203,該運送台車可移動離開該凸輪。該手臂3200A可被偏動至該縮回的形態(譬如透過彈簧或其它偏動件),使得當該基座手臂驅動器脫離該凸輪時,該手臂被縮回。在其它態樣中,該手臂的伸展可透過磁性耦合驅動器來驅動。例如,馬達構件3301,3302可被設置在該真空通道模組2500中的該真空 通道內的預定位置(譬如,在該真空通道的端部或該手臂可伸展傳送基材的任何適當的地點)。馬達構件3301,3302可被建構來驅動該運送台車3320的可活動的平台3310A,3310B,用以伸展及縮回手臂3320A,譬如以一種描述在美國專利第7,959,395號中的方式,該專利的揭示內容藉此參照被併於本文中。在另外其它態樣中,該運送台車所攜載的手臂可用任何適當的方式予以驅動。
可被理解的是,在描述於本文中的該等被揭露的實施例之基材被例如一在該真空通道內移動的運送台車運送的態樣中,任何自動化(如,上文中描述的對準器、機器人、暫存器等等)可包括Z運動能力,用來從材於該運送台車上的基材夾持具處撿取基材或將基材放置於該處。在其它態樣中,運送台車可包括用來撿取及放置基材的Z運動能力。
參考圖34A及34B,一批次式負載鎖定室3400A-D被示出。該批次式負載鎖定室3400A-D可實質地類似於描述在2008年5月19日提申之美國專利申請案第12/123,391號中的批次式負載鎖定室,該專利申請案的揭示內容藉此參照被併於本文中。在一態樣中,該批次式負載鎖定室3400可用任何適合的方式被實質地直接耦合至一裝載埠3420。該批次式負載鎖定室3400可包括任何適合的自動化裝置(譬如,傳送手臂)來傳送基材往返於一基材載具3420A-3420D。該批次式負載鎖定室3400A-D可形成一自動化界面,其類似於上文中所描述的自動化模組 2030。例如,圖34A例示了一依據被揭示的實施例的態樣的處理設備的一部分。該處理設備包括處理工具模組2120A,2120B,其每一者都具有與其耦合的負載鎖定室3530。一批次式負載鎖定室3400A,3400B,3400C,3400D可被耦合至每一負載鎖定室3530。一或多個真空通道2010A,2010B可被其接至批次式負載鎖定室3400A,3400B,3400C,3400D。只為了舉例的目的,真空通道2010A可連接批次式負載鎖定室3400B及批次式負載鎖定室3400C(其將處理工具模組2120A,2120B彼此連接起來,用來將基材傳送於處理工具模組2120A,2120B之間而無需將基材回送至用於任何自動化材料搬運系統(AMHS)3510的運送之該基材載具3430。一真空模組2040可將該真空通道2010B耦合至批次式負載鎖定室3400D,用來將批次式負載鎖定室3400D(及該處理設備的其餘部分)耦合至例如一EFEM或其它適合的自動化設備。在此態樣中,每一批次式負載鎖定室3400A,3400B,3400C,3400D可被實質直接耦合一裝載埠3420A,3420B,3420C,3420D,其將每一批次式負載鎖定室3400A,3400B,3400C,3400D界接至該AMHS3510。圖34B例示一依據被揭示的實施例的態樣之類似於圖34A所示的處理設備的一部分。然而,在圖34B中,批次式負載鎖定室3400A,3400B,3400C,3400D被實質直接地耦合至處理工具模組2120A,2120B且如一介於裝載埠3420A,3420B,3420C,3420D和各自的處理工 具模組2120A,2120B之間的負載鎖定室般地作用。
參考圖35A,35B及35C,一依據被揭示的實施例的態樣的處理設備的一部分的示出。在此態樣中,處理工具模組2120A,2120B可透過真空通道2010B彼此連接且透過真空通道2010A,2010C連接至其它處理工具模組(或其它適合的自動化設備)。關於此點,真空通道2010A,2010B係經由批次式負載鎖定室3400A,3400B耦合至處理工具模組。可從圖35A看到的是,裝載埠3420A,3420B被耦合至批次式負載鎖定室3400A,3400B的每一者。真空通道2010B,2010C經由負載鎖定室3500A,3500B(其可以是任何適合的負載鎖定室)耦合至處理工具模組2120B。關於此點,負載鎖定室3500A,3500B被耦合至該自動化模組2030且該自動化模組被耦合至批次式負載鎖定室3400C,3400D。裝載埠3420C,3420D係以任何使適合的方式被耦合至批次式負載鎖定室3400C,3400D。應被理解的是,雖然批次式負載鎖定室被例示為和前開口式通用容器(FOUP)界接,但在其它態樣中,批次式負載鎖定室可被建構來和任何適合的基材載具(如,底開口式載具或上載入式載具)界接。
參考圖36A-36C,一依據被揭示的實施例的態樣的處理設備的一部分的示出。處理工具模組2120A,2120B被設置在負載鎖定室3610的側邊上。在此態樣中,負載鎖定室3610被顯示為具有楔形的形狀,用以和處理工具模組2120A,2120B的傳送室2120TC耦合。可 被理解的是,在兩個基材夾持地點(如,3620A,3620B)被載入的基材可沿著會聚/分叉路徑(其對應於該楔形的形狀)被運送往返於處理工具模組2120A,2120B。在其它態樣中,該負載鎖定室可具有任何適合的形狀及/或組態,譬如一直角的形狀(參見圖36D中的負載鎖定室3610’),其被建構來和處理處理工具模組2120A’,2120B’耦合。可被理解的是,該直角形狀的負載鎖定室3610’可允許該等處理工具模組和每一基材夾持地點3620A,3620B之間沿著實質平行的路徑的基材傳送,如圖36D所示。可被理解的是,楔形配接器及直角形配接器可用實質類似於上文中關於自動化模組所描述的方式被提供給該直角形負載鎖定室3610’及楔形負載鎖定室3610,使得該楔形負載鎖定室3610可被連接至一處理工具模組之被直角地配置的埠口及該直角形負載鎖定室3610’可被連接至一處理工具模組之被有角度地配置的埠口。真空通道2800’可被耦合至負載鎖定室3610,3610’的縱長向端部的每一者。如上文所描述的,每一真空通道可包括一運送台車,其包括一或多個雙端式基材夾持具3030S2(如圖31C所示),其能夠夾持至少兩片彼此實質地對準於同一平面上的基材。亦如上文所述,每一真空通道2800’可包括界面模組2820。該界面模組2820可包括一基材支撐件2820E(圖28C),其可移動於箭頭2899的方向上(如,實質地垂直於基材的傳送平面的方向上)。可被理解的是,有兩個或更多個具有雙末端式基材 夾持具3030S2的運送台車移動通過該通道,每一運送台車在同一時間可夾持至少一片基材(如,每一台車可獨立於在各通道2800’內的其它台車之外地運送並撿取或放置基材至各真空通道2800’的兩端)。在此態樣中,該界面模組可允許每一台車傳送基材至真空通道2800’的兩端。例如,運送台車3670可從該真空通道2800’的端部2800E1的任何適合的基材夾持地點用該雙末端式基材夾持具3030S2的末端3650撿取一基材。為了要將該基材放置在該真空通道2800’的端部2800E2的任何適合的基材夾持地點,該運送台車3670可被設置成使得該基材被被放置在該界面模組2820的基材支撐件2820E上。該基材支撐件2820E可移動於箭頭2899的方向上,用以將該基材抬離該末端3650。該運送台車3670可移動,用以將該雙末端式基材夾持具3030S2的末端3651放在該基材支撐件2820E上方且該基材支撐件可移動於箭頭2899的方向上,用來將基材放在該末端2651上,使得該基材可被放在該真空通道2800’的端部2800E2處。
如可在圖36A-36C中見到的,且如上文所描述的,真空通道2800’,3600可被一者疊在另一者上地疊置。在此態樣中,負載鎖定室3610可包括至少一索引器(indexer)3620A,3620B,其被建構來移動於箭頭3899的方向上,用來將基材傳送於該真空通道2800’,3600的不同運送平面之間。索引器3620A,3620B可被建構成使得移動於真空通道內的運送台車的基材夾持具可撿取基材 及將基材放置到該索引器(其中,該索引器將基材抬離及降低至基材夾持具)。索引器3620A,3620B亦可提供基材轉動,用以例如將基材用一實質類似於上文中關於界面模組2820所描述的方式來對準基材。在一態樣中,該等被疊置的真空通道3600中的一者可以是“快速”通道,其提供該處理設備內的兩個地點之間無需在可能的中間目的地處停止之實質直達式的移動,而其它真空通道2800’提供在兩個地點以及在該中間目的地停止。
依據被揭示的實施例的一或多個態樣,一種用來在一傳送室內運送基材的傳送設備被提供,該傳送室具有第一端及第二端及兩個延伸在該第一及第二端之間的側邊,每一側邊具有至少兩個被直線地配置的基材夾持站且每一端具有至少一基材夾持站。該傳送設備包括一驅動部份、至少一基座手臂,其一端相關於該傳送室被固定且包括至少一可轉動地耦合至該驅動部份的手臂連桿及至少一傳送手臂,其可轉動地耦合至該基座手臂的一共同端,其中該至少一傳送手臂具有兩個末端作用器。該驅動部份具有馬達,其具有界定三個自由度之三個獨立的轉動軸(axis)。該驅動部分的一個自由度水平地移動該至少一基座手臂用以運送該至少一傳送手臂於該傳送室內,及該驅動部分的兩個自由度驅動該至少一傳送手臂,用以伸展該至少一傳送手臂、縮回該至少一傳送手臂及交換該兩個末端作用器。
依據被揭示的實施例的一或多個態樣,該傳 送設備被建構來將基材傳送於被直線地配置在該傳送室的每一側邊上的該至少兩個基材夾持站之間及將基材傳送至設置在該傳送室的該第一及該第二端的每一者處的該至少一基材夾持站。
依據被揭示的實施例的一或多個態樣,設置在該傳送室的該第一及該第二端的一者或多者之間的該至少一基材夾持站包括三個在一直線上的負載鎖定室或四個在一直線上的負載鎖定室。
依據被揭示的實施例的一或多個態樣,該傳送設備被建構來處理直徑450mm的晶圓。
依據被揭示的實施例的一或多個態樣,該傳送設備被建構來處理直徑200mm的晶圓、直徑300mm的晶圓、或用於平板顯示器、發光二極體、有機發光二極體或太陽能電池陣列的平板。
依據被揭示的實施例的一或多個態樣,該驅動部分包括一同軸式驅動軸桿配置。
依據被揭示的實施例的一或多個態樣,該驅動部分包括一z軸驅動器,其被建構來將該至少一傳送手臂直線地移動於一方向上,其實質垂直於該至少一傳送手臂的伸展及縮回的軸。
依據被揭示的實施例的一或多個態樣,該至少一基座手臂包括至少一手臂連桿,其一端在一驅動軸處可轉動地安裝至該驅動部分,及該至少一傳送手臂在一肩部軸處被可轉動地安裝至該至少一手臂連桿的一第二相反 端。
依據被揭示的實施例的一或多個態樣,該驅動部分包括一個單一自由度的驅動器,其被設置在該驅動軸處、及一個兩自由度的驅動器,其被設置在該肩部軸處。
依據被揭示的實施例的一或多個態樣,該單一自由度的驅動器包含一諧合(harmonic)驅動器。
依據被揭示的實施例的一或多個態樣,該兩個自由度的驅動器包含一同軸式驅動器,其具有一內驅動軸桿及一外驅動軸桿,其中該外驅動軸桿可獨立於該內驅動軸桿轉動且被該內驅動軸桿的支撐軸承支撐。
依據被揭示的實施例的一或多個態樣,該至少一基座手臂包括一上手臂連桿,其具有第一及第二端、及一前臂連桿,其具有第一及第二端,該上手臂連桿在其第一端處被可繞著一驅動軸轉動地安裝至該驅動部分且該前臂連桿在其第一端處可轉動地安裝至該上手臂連桿的該第二端。該至少一傳送手臂在該肩部轉動軸處被可轉動地安裝至該前臂連桿的該第二端。在該被揭示的實施例的另一態樣中,該前臂連桿受該驅動部分控制,使得該肩部轉動軸被實質地限制以遵循一實質直線的路徑。
依據被揭示的實施例的一或多個態樣,一種用來在一傳送室內運送基材的傳送設備被提供,該傳送室具有第一端及第二端及兩個延伸在該第一及第二端之間的側邊,每一側邊具有至少兩個被直線地配置的基材夾持 站。該傳送設備包括一驅動部份、至少一基座手臂,其一端相關於該傳送室被固定且包括至少一可轉動地耦合至該驅動部份的手臂連桿及至少一傳送手臂,其可轉動地耦合至該基座手臂,其中該至少一傳送手臂具有兩個末端作用器。該驅動部份具有馬達,其具有界定三個自由度之三個獨立的轉動軸(axis)。該驅動部分的一個自由度水平地移動該至少一基座手臂用以運送該至少一傳送手臂於該傳送室內,及該驅動部分的兩個自由度驅動該至少一傳送手臂,用以伸展該至少一傳送手臂、縮回該至少一傳送手臂及交換該兩個末端作用器。
依據被揭示的實施例的一或多個態樣,該傳送設備被建構來將基材傳送於被直線地配置在該傳送室的每一側邊上的該至少兩個基材夾持站之間。
依據被揭示的實施例的一或多個態樣,該傳送室包括三個在一直線上的負載鎖定室或四個在一直線上的負載鎖定室被設置在該傳送室的該第一端及該第二端的一者或多者處且該傳送設備被建構來將基材傳送往返於該三個在一直線上的負載鎖定室或四個在一直線上的負載鎖定室。
依據被揭示的實施例的一或多個態樣,該傳送設備被建構來處理直徑450mm的晶圓。
依據被揭示的實施例的一或多個態樣,該傳送設備被建構來處理直徑200mm的晶圓、直徑300mm的晶圓、或用於平板顯示器、發光二極體、有機發光二極體 或太陽能電池陣列的平板。
依據被揭示的實施例的一或多個態樣,一種基材處理設備被提供。該基材處理設備包括至少一形成一實質密封的環境的傳送室及至少一傳送設備,其被至少部分地設置在該至少一傳送室的每一傳送室內。該至少一傳送設備包括一驅動部分、一基座手臂,其一端相關於該傳送室被固定且包括至少一被可轉動地耦合至該驅動部分的手臂連桿及至少一傳送手臂,其可轉動地耦合至該基座手臂的一共同端,其中該至少一傳送手臂具有兩個末端作用器。該驅動部份具有馬達,其具有界定三個自由度之三個獨立的轉動軸(axis)。該驅動部分的一個自由度水平地移動該至少一基座手臂用以運送該至少一傳送手臂於該傳送室內,及該驅動部分的兩個自由度驅動該至少一傳送手臂,用以伸展該至少一傳送手臂、縮回該至少一傳送手臂及交換該兩個末端作用器。
依據被揭示的實施例的一或多個態樣,該至少一傳送室的每一傳送室具有第一端及第二端及兩個延伸在該第一及第二端之間的側邊,每一側邊具有至少兩個被直線地配置的基材夾持站且每一端具有至少一基材夾持站,且該傳送設備被建構來將基材傳送於被直線地配置在該傳送室的每一側邊上的該至少兩個基材夾持站之間及將基材傳送至設置在該傳送室的該第一及該第二端的每一者處的該至少一基材夾持站。
依據被揭示的實施例的一或多個態樣,設置 在該傳送室的該第一及該第二端的一者或多者之間的該至少一基材夾持站包括三個在一直線上的負載鎖定室或四個在一直線上的負載鎖定室。
依據被揭示的實施例的一或多個態樣,該基材處理設備被建構來處理直徑450mm的晶圓。
依據被揭示的實施例的一或多個態樣,該基材處理設備被建構來處理直徑200mm的晶圓、直徑300mm的晶圓、或用於平板顯示器、發光二極體、有機發光二極體或太陽能電池陣列的平板。
依據被揭示的實施例的一或多個態樣,該至少一傳送室具有叢集式(clustered)組態。在另一態樣中,該叢集式組態是雙叢集傳送室組態或三叢集傳送室組態。
依據被揭示的實施例的一或多個態樣,該至少一傳送室的至少一端包括一設備前端模組,用來將基材插入該基材處理設備或將基材從該基材處理設備移出。
依據被揭示的實施例的一或多個態樣,該至少一傳送室包括至少兩個直線式細長型傳送室,它們彼此可聯通地耦合以形成一組合的直線式細長型傳送室。在另一態樣中,該組合的直線式細長型傳送室的至少一端包括一設備前端模組,用來將基材插入該基材處理設備或將基材從該基材處理設備移出。
依據被揭示的實施例的一或多個態樣,該驅動部分包括一同軸式驅動軸桿配置。
依據被揭示的實施例的一或多個態樣,該基座手臂包括至少一手臂連桿,其一端在一驅動軸處可轉動地安裝至該驅動部分,及該至少一傳送手臂在一肩部軸處被可轉動地安裝至該至少一手臂連桿的一第二相反端。
依據被揭示的實施例的一或多個態樣,該驅動部分包括一個單一自由度的驅動器,其被設置在該驅動軸處、及一個兩自由度的驅動器,其被設置在該肩部軸處。
依據被揭示的實施例的一或多個態樣,該單一自由度的驅動器包含一諧合(harmomic)驅動器。
依據被揭示的實施例的一或多個態樣,該兩個自由度的驅動器包含一同軸式驅動器,其具有一內驅動軸桿及一外驅動軸桿,其中該外驅動軸桿可獨立於該內驅動軸桿轉動且被該內驅動軸桿的支撐軸承支撐。
依據被揭示的實施例的一或多個態樣,該基座手臂包括一上手臂連桿,其具有第一及第二端、及一前臂連桿,其具有第一及第二端,該上手臂連桿在其第一端處被可繞著一驅動軸轉動地安裝至該驅動部分且該前臂連桿在其第一端處可轉動地安裝至該上手臂連桿的該第二端。該至少一傳送手臂在該肩部轉動軸處被可轉動地安裝至該前臂連桿的該第二端。在該被揭示的實施例的另一態樣中,該前臂連桿受該驅動部分控制,使得該肩部轉動軸被實質地限制以遵循一沿著該至少一直線式細長型傳送室的長度之實質直線的路徑。
依據被揭示的實施例的一或多個態樣,一種基材處理設備被提供。該基材處理設備包括至少一直線式細長型傳送室及一傳送設備,其被至少部分地設置在該至少一直線式細長型傳送室內。該傳送設備包括一驅動系統,其具有界定三個自由度之三個獨立的轉動軸(axis)。一基座手臂部分被可轉動地耦合至該驅動部分及一傳送手臂部分被可轉動地耦合至該基座手臂部分。該傳送手臂部分具有兩個末端作用器。該驅動部分的一個自由度水平地移動該至少一基座手臂用以運送該傳送手臂部分,及該驅動部分的兩個自由度驅動該傳送手臂部分,用以伸展該傳送手臂部分、縮回該傳送手臂部分及交換該兩個末端作用器。
依據被揭示的實施例的一或多個態樣,該基材處理設備被建構來處理直徑450mm的晶圓。
依據被揭示的實施例的一或多個態樣,該基材處理設備被建構來處理直徑200mm的晶圓、直徑300mm的晶圓、或用於平板顯示器、發光二極體、有機發光二極體或太陽能電池陣列的平板。
依據被揭示的實施例的一或多個態樣,一種基材運送設備被提供。該基材運送設備包括一驅動部分,其具有三個獨立的轉動軸(axis),該等轉動軸界定三個自由度、一連接至該驅動部分的基座手臂及一傳送手臂,其具有兩個末端作用器,其中該傳送手臂被可轉動地安裝至該基座手臂。該驅動部分的一個自由度水平地移動該基 座手臂用以運送該傳送手臂。該驅動部分的一具有兩個自由度的馬達被建構來可取下地耦合至該基座手臂以成為一單元,當被耦合至該基座手臂時,該傳送手臂被耦合至該驅動部分的該具有兩個自由度的馬達。
依據被揭示的實施例的一或多個態樣,該基材運送設備被建構來處理直徑450mm的晶圓。
依據被揭示的實施例的一或多個態樣,該基材運送設備被建構來處理直徑200mm的晶圓、直徑300mm的晶圓、或用於平板顯示器、發光二極體、有機發光二極體或太陽能電池陣列的平板。
依據被揭示的實施例的一或多個態樣,該驅動部分的該具有兩個自由度的馬達包含一同軸式驅動器,其具有一內驅動軸桿及一外驅動軸桿,其中該外驅動軸桿可獨立於該內驅動軸桿轉動且被該內驅動軸桿的支撐軸承支撐。
依據被揭示的實施例的一或多個態樣,一種基材處理工具被提供。該基材處理工具包括一多邊形傳送室及至少兩個基材夾持站,其設置在該傳送室的每一側邊上。至少兩個基材運送設備被至少部分地設置在該傳送室內。該至少兩個基材運送設備的每一者包括一基座手臂,其被可轉動地安裝在該傳送室內的一驅動軸處及至少一傳送手臂,其具有兩個被可轉動地安裝在該基座手臂上的末端作用器。每一基座手臂係可獨立地繞著該驅動軸轉動且該至少一傳送手臂係可相對於一各自的基座手臂獨立地轉 動,使得每一傳送手臂的一伸展及縮回的軸能夠將基材傳送於該傳送手臂和該等基材夾持站的任一者之間。
依據被揭示的實施例的一或多個態樣,該基材處理工具被建構來處理直徑450mm的晶圓。
依據被揭示的實施例的一或多個態樣,該基材處理工具被建構來處理直徑200mm的晶圓、直徑300mm的晶圓、或用於平板顯示器、發光二極體、有機發光二極體或太陽能電池陣列的平板。
依據被揭示的實施例的一或多個態樣,每一基材運送設備包括一個單一自由度的驅動馬達,其被建構來可轉動地驅動該基座手臂及一個兩自由度的馬達,其被建構來實施該至少一傳送手臂獨立於該基座手臂之外的轉動、伸展及縮回。
依據被揭示的實施例的一或多個態樣,一種基材處理設備被提供。該基材處理設備包括一複合式傳送室,其包括一由互連的傳送室模組的二維陣列所形成的柵格(grid),其中每一傳送室模組可選擇性地和該等傳送室模組的其它傳送室模組密封隔開。一或多個基材夾持站被可聯通地耦合至每一傳送室模組。每一傳送室模組包括一被設置於其內的傳送手臂,用來運送基材於該等傳送室模組和可聯通地耦合至該複合式傳送室的基材夾持站之間。
依據被揭示的實施例的一或多個態樣,該互連的傳送室模組的二維陣列包含至少一2乘2的傳送室模 組陣列。
依據被揭示的實施例的一或多個態樣,該基材處理設備包括多個水平的基材夾持站層。
依據被揭示的實施例的一或多個態樣,一種基材處理設備被提供。該基材處理設備包括一複合式傳送室,其具有被並排地設置且彼此可聯通地耦合的至少一第一及第二傳送室模組,及一第三傳送室模組,其沿著該第一及第二傳送室模組的旁邊延伸,該第三傳送室模組被可聯通地耦合至該第一及第二傳送室模組兩者。至少一基材夾持站被可聯通地耦合至該第一、第二及第三傳送室模組的每一者。該第一、第二及第三傳送室模組的每一者具有至少一設置於其內的傳送手臂,用來將基材傳送於該至少一基材夾持站和該第一、第二及第三傳送室模組之間。
依據被揭示的實施例的一或多個態樣,該第三傳送室模組包括一驅動部分及至少一基座手臂,其一端係相關於該第三傳送室模組被固定且包括至少一可轉動地耦合至該驅動部分的手臂連桿。該第三傳送室模組的該至少一傳送手臂被可轉動地耦合至該基座手臂的一共同端,該至少一傳送手臂該處具有兩個末端作用器。該驅動部分具有馬達,其具有界定三個自由度之三個獨立的轉動軸。該驅動部分的一個自由度水平地移動該至少一基座手臂,用來將該至少一傳送手臂運送於該第三傳送室模組內,及該驅動部分的兩個自由度驅動該至少一傳送手臂,用以伸展該至少一傳送手臂、縮回該至少一傳送手臂及交換該兩 個末端作用器。
依據被揭示的實施例的一或多個態樣,一種基材處理設備被提供。該基材處理設備包括一運送通道及一自動化模組,其可聯通地耦合至該運送通道。該自動化模組包括一第一端及一第二端及兩個延伸在該第一及第二端之間的側邊,每一側邊具有至少兩個連接埠且該第一及第二端的至少一者被耦合至該運送通道,該自動化模組的至少一側的該至少兩個連接埠被建構來連接至一叢集式工具模組。該自動化模組進一步包括一傳送設備,其具有一驅動部分、至少一基座手臂,其一端係相關於該傳送室被固定且包括至少一可轉動地耦合至該驅動部分的手臂連桿、及至少一傳送手臂,其被可轉動地耦合至該基座手臂的一共同端,該至少一傳送手臂該處具有至少一末端作用器。
依據被揭示的實施例的一或多個態樣,該至少一傳送手臂包括兩個末端作用器且該驅動部分具有馬達,其具有界定三個自由度之三個獨立的轉動軸。該驅動部分的一個自由度水平地移動該至少一基座手臂用以運送該至少一傳送手臂於該傳送室內,及該驅動部分的兩個自由度驅動該至少一傳送手臂,用以伸展該至少一傳送手臂、縮回該至少一傳送手臂及交換該兩個末端作用器。
依據被揭示的實施例的一或多個態樣,一種基材處理設備被提供。該基材處理設備包括一一運送通道及至少一模組,其被耦合至該運送通道。該運送通道包括 至少一運送台車,其被建構來移動於該運送通道的縱長向端部之間,其中該至少一運送台車包括實質堅硬的基材夾持具,其固定不動地安裝至該運送台車,該實質堅硬的基材夾持具被建構來在該運送台車被設置成鄰近該等縱長向端部的至少一端部時伸展超出該等縱長向端部的該至少一端部,用來將基材傳送於該運送台車和該至少一模組之間。
依據被揭示的實施例的一或多個態樣,該基材處理設備更包括一自動化模組,其具有一第一端及一第二端及兩個延伸在該第一及第二端之間的側邊,每一側邊具有至少兩個連接埠且該第一端及第二端的至少一者被耦合至該運送通道。該自動化模組更包括一傳送設備,其具有一驅動部分、至少一基座手臂,其一端係相關於該傳送室被固定且包括至少一可轉動地耦合至該驅動部分的手臂連桿、及至少一傳送手臂,其被可轉動地耦合至該基座手臂的一共同端,該至少一傳送手臂該處具有至少一末端作用器。該傳送設備被建構來伸展通過在每一側邊上的該至少兩個連接埠並超出該第一端及第二端的至少一者。該自動化模組在該第一端及第二端中的一者處被可聯通地連接至該運送通道。
依據被揭示的實施例的一或多個態樣,該基材處理設備更包括一處理工具模組,其耦合至該自動化模組的至少一側邊上的兩個連接埠。
依據被揭示的實施例的一或多個態樣,該基 材處理設備包括一設備前端模組(EFEM),該運送通道可聯通地連接該設備前端模組(EFEM)和該自動化模組。
依據被揭示的實施例的一或多個態樣,該基材處理設備包括一第二運送通道,其被可聯通地連接至該自動化模組的該第一端及第二端中的另一者且將該自動化模組和另一自動化模組連接。
依據被揭示的實施例的一或多個態樣,該運送通道包括一或多個通道模組。
依據被揭示的實施例的一或多個態樣,該一或多個通道模組中的至少一通道模組是可密封的,用以和該一或多個通道模組中的其它通道模組隔開。
依據被揭示的實施例的一或多個態樣,一種基材處理設備被提供。該基材處理設備包括一自動化模組及一連接模組,其可聯通地耦合至該自動化模組,該自動化模組包括一第一端及一第二端及兩個延伸在該第一及第二端之間的側邊,每一側邊具有至少兩個連接埠且該第一及第二端的至少一者被耦合至該連接模組。該自動化模組更包括一傳送設備,其具有一驅動部分、至少一基座手臂,其一端係相關於該傳送室被固定且包括至少一可轉動地耦合至該驅動部分的手臂連桿、及至少一傳送手臂,其被可轉動地耦合至該基座手臂的一共同端,該至少一傳送手臂該處具有至少一末端作用器。該傳送設備被建構來伸展通過在每一側邊上的該至少兩個連接埠並超出該第一端 及第二端的至少一者。
依據被揭示的實施例的一或多個態樣,該自動化模組的至少一側邊的該至少兩個連接埠被建構來連接至一叢集式工具模組。
依據被揭示的實施例的一或多個態樣,基材處理設備包括一設備前端模組,該運送通道可聯通地連接該設備前端模組和該自動化模組。
依據被揭示的實施例的一或多個態樣,該連接模組包括一或多個真空模組及一運送通道。
依據被揭示的實施例的一或多個態樣,該連接模組包含一運送通道,其具有至少一運送台車設置於其內且被建構來移動於該運送通道的縱長向端部之間。
依據被揭示的實施例的一或多個態樣,該基材處理設備包括一處理工具模組,其被耦合至在該自動化模組的至少一側邊上的該二連接埠。
依據被揭示的實施例的一或多個態樣,該自動化模組的該傳送設備被建構來以實質地單一次基材接觸將一基材從該連接模組運送通過設置在該自動化模組的側邊上的每一連接埠。
依據被揭示的實施例的一或多個態樣,一種基材處理設備被提供。該基材處理設備包括一殼體,其形成一能夠維持一密封環境於其內的室且具有基材埠開口,基材經由該等基材埠開口被運送進出該室。該殼體具有側邊,其界定一匹配界面,用來和一處理工具模組的一側嚙 合。該殼體的至少一側邊具有不只一個該基材運送開口,其和在該處理工具組件的一側上的基材運送開口一樣,該處理工具組件的該側係在該殼體的該等該基材運送開口處和該匹配界面相嚙合的一側,及該殼體的該至少一側界定一設備邊界於該殼體和該處理工具件之間,其中具有不同的預定特徵之不同的工具組件係可互換地和該殼體的該匹配界面嚙合。
依據被揭示的實施例的一或多個態樣,該基材處理設備包括一運送設備,其至少部分地設置在該殼體內。該運送設備包括一基座連桿及至少一運送手臂,其被可操作地安裝於該基座連桿上,用以將基材運送穿過該等基材埠開口進入到該處理工具組件,用來傳送基材至該處理工具組件的一傳送設備。
應被理解的是,以上描述只是被揭示的實施例的態樣的範例。各式的變化及修改可在不偏離被揭示的實施例的態樣下被熟習此技藝者完成。因此,被揭示的實施例的態樣意圖要涵蓋所有這些替代物、修改、及變化。又,不同的特徵被描述在彼此不同的申請專利範圍依附項或獨立項的此一單純的事實並不表示這些特徵不能被有利地使用,此一組合仍是在發明的態樣的範圍內。
100‧‧‧工具站
101‧‧‧前端
102‧‧‧負載鎖定室
103‧‧‧後端
104‧‧‧裝載埠
102A‧‧‧負載鎖定室
102B‧‧‧負載鎖定室
105‧‧‧裝載埠模組
106‧‧‧迷你環境
110‧‧‧匣盒
113‧‧‧機器人
120‧‧‧控制器
130‧‧‧機器人
140A‧‧‧處理站(模組)
140B‧‧‧處理站(模組)
140C‧‧‧處理站(模組)
140D‧‧‧處理站
140E‧‧‧處理站
125‧‧‧傳送室
140S2‧‧‧處理站(模組)
140S4‧‧‧處理站(模組)

Claims (20)

  1. 一種基材處理設備,包含:一運送室,其具有至少一側壁,該至少一側壁具有至兩個並排地設置的基材運送開口;一連接至該運送室的驅動部分,該驅動部分是三個自由度的驅動部分,其具有帶有三個獨立轉動軸的馬達;及一安裝在該運送室內的基材運送設備,該基材運送設備包括:一基座手臂連桿,其被可操作地耦合至該驅動部分且具有一設置在該基座手臂連桿的一端的轉動軸,該基座手臂連桿是鉸接式手臂連桿(articulated arm link)及無鉸接的手臂連桿(unarticulated arm link)中的一者,該基座手臂連桿在形成該基座手臂連桿的該轉動軸的該轉動軸處被可樞轉地安裝於該運送室內部,且其位在該運送室內的一固定不動的位置,及兩個傳送手臂,每一傳送手臂分別具有一相應的基材夾持具,其由各自的傳送手臂獨立地懸伸出來,且每一傳送手臂在另一轉動軸處被可樞轉地安裝至該基座手臂連桿的另一端,該另一轉動軸是該兩個傳送手臂相對於該基座手臂連桿的一共同的轉動軸;其中每一傳送手臂被耦合至該三個自由度的驅動部分,使得不論該基座手臂連桿是鉸接式手臂連桿或是無鉸接的手臂連桿,每一傳送手臂都被獨立地耦合至該三個自由度的驅動部分的該三個獨立的驅動軸的不同的獨立的驅 動軸且被它相對於該基座手臂連桿和相對於該兩個傳送手臂的另一傳送手臂獨立地驅動,使得該驅動部分是用於無鉸接的手臂連桿的該基座手臂連桿和鉸接式手臂連桿的該基座手臂連桿這兩者的三個自由度的驅動部分,用來將每一傳送手臂相對於該兩個傳送手臂的另一者繞著該共同的轉動軸獨立地轉動,並且藉由每一個別的傳送手臂來把在相應的基材夾持具上的基材獨立運送通過被並排地設置的該至少兩個基材運送埠。
  2. 如申請專利範圍第1項之基材處理設備,其中:該運送室包括一第一端和一第二端,該至少一側壁延伸在該第一及第二端之間,該第一端和該第二端的至少一者包括至少兩個被並排地設置的其它基材運送開口;且該基材處理設備被建構來將基材傳送於該至少一側壁上的該至少兩個基材運送開口和該第一端和該第二端的至少一者上的該至少兩個其它基材運送開口之間。
  3. 如申請專利範圍第1項之基材處理設備,其中該驅動部分包括一同軸式驅動軸桿配置。
  4. 如申請專利範圍第1項之基材處理設備,其中該驅動部分包括一z軸驅動器,其被建構來將該基材傳送設備直線地移動於一實質垂直於該兩個傳送手臂的伸展及縮回的軸線的方向上。
  5. 如申請專利範圍第1項之基材處理設備,其中該基材傳送設備被建構來處理直徑450mm的晶圓。
  6. 如申請專利範圍第1項之基材處理設備,其中該基 材傳送設備被建構來處理直徑220mm的晶圓、直徑300mm的晶圓、或用於平板顯示器、發光二極體、有機發光二極體或太陽能電池陣列的平板。
  7. 如申請專利範圍第1項之基材處理設備,其中該基座手臂連桿是一從該轉動軸到該共同的轉動軸實質剛硬無鉸接的(unarticulated)連桿。
  8. 如申請專利範圍第1項之基材處理設備,其中該兩個傳送手臂的每一者在其相應的基材夾持具由其懸伸出的型態下可如同一個單元般地繞著該共同的轉動軸獨立地轉動。
  9. 如申請專利範圍第1項之基材處理設備,其中該至少兩個基材運送開口的至少一者相對於該基座手臂連桿的該轉動軸被徑向地偏置。
  10. 如申請專利範圍第1項之基材處理設備,其中被並排地設置的該至少兩個基材運送開口包括一第一基材運送開口其具有一相關連的第一基材運送路徑及一第二基材運送開口其具有一相關連的第二基材運送路徑,其中該基座手臂連桿的該轉動軸被實質地設置在該第一及第二基材運送路徑之間。
  11. 一種用於運送基材的方法,該方法包含:提供一安裝在一運送室內部的基材運送設備,該運送室包括至少兩個並排地設置在該運送室的至少一側壁上的基材運送開口,且該基材運送設備包括一基座手臂連桿,其被可操作地耦合至三個自由 度的驅動部分且具有一設置在該基座手臂連桿的一端的轉動軸,該基座手臂連桿是鉸接式手臂連桿及無鉸接的手臂連桿中的一者,該基座手臂連桿在形成該基座手臂連桿的該轉動軸的該轉動軸處被可樞轉地安裝於該運送室內部,且位在該運送室內的一固定不動的位置,及兩個傳送手臂,每一傳送手臂分別具有一相應的基材夾持具,其由各自的傳送手臂獨立地懸伸出來,且每一傳送手臂在另一轉動軸處被可樞轉地安裝至該基座手臂連桿的另一端,該另一轉動軸是該兩個傳送手臂相對於該基座手臂連桿的一共同的轉動軸;將每一傳送手臂相對於該兩個傳送手臂的另一者繞著該共同的轉動軸獨立地轉動,每一傳送手臂被耦合至該三個自由度的驅動部分使得不論該基座手臂連桿是鉸接式手臂連桿或是無鉸接的手臂連桿,每一傳送手臂都被獨立地耦合至該三個自由度的驅動部分的該三個獨立的驅動軸的不同的獨立的驅動軸且被它相對於該基座手臂連桿和相對於該兩個傳送手臂的另一傳送手臂獨立地驅動,該驅動部分被可操作地耦合至該兩個傳送手臂且具有三個獨立的轉動軸,使得該三個自由度的驅動部分是用於無鉸接的手臂連桿的該基座手臂連桿和鉸接式手臂連桿的該基座手臂連桿這兩者;及用每一個別的傳送手臂來獨立地運送基材,使得該兩個傳送手臂的一第一傳送手臂獨立地運送一攜載於該第一傳送手臂的相應的基材夾持具上的基材通過該至少兩個並 排地設置的基材運送埠的一第一基材運送開口以及該兩個傳送手臂的一第二傳送手臂獨立地運送一攜載於該第二傳送手臂的相應的基材夾持具上的基材通過該至少兩個並排地設置的基材運送埠的該第一基材運送開口或該至少兩個並排地設置的基材運送開口的一第二基材運送開口。
  12. 如申請專利範圍第11項之方法,其中該運送室包括一第一端和一第二端,該至少一側壁延伸在該第一及第二端之間,該第一端和該第二端的至少一者包括至少兩個被並排地設置的其它基材運送開口,該方法進一步包含:將基材傳送於該至少一側壁上的該至少兩個基材運送開口和該第一端和該第二端的至少一者上的該至少兩個其它基材運送開口之間。
  13. 如申請專利範圍第11項之方法,其中該驅動部分包括一同軸式驅動軸桿配置。
  14. 如申請專利範圍第11項之方法,其進一步包含用該驅動部分的一z軸驅動器來將該基材傳送設備直線地移動於一實質垂直於該兩個傳送手臂的伸展及縮回的軸線的方向上。
  15. 如申請專利範圍第11項之方法,其中該基材傳送設備被建構來處理直徑450mm的晶圓。
  16. 如申請專利範圍第11項之方法,其中該基材傳送設備被建構來處理直徑220mm的晶圓、直徑300mm的晶圓、或用於平板顯示器、發光二極體、有機發光二極體或太陽能電池陣列的平板。
  17. 如申請專利範圍第11項之方法,其中該基座手臂連桿是一從該轉動軸到該共同的轉動軸實質剛硬無鉸接的(unarticulated)連桿。
  18. 如申請專利範圍第11項之方法,其進一步包含將該兩個傳送手臂的每一者在其相應的基材夾持具由其懸伸出的型態下如同一個單元般地繞著該共同的轉動軸獨立地轉動。
  19. 如申請專利範圍第11項之方法,其中該至少兩個基材運送開口的至少一者相對於該基座手臂連桿的該轉動軸被徑向地偏置。
  20. 如申請專利範圍第11項之方法,其中被並排地設置的該至少兩個基材運送開口包括一第一基材運送開口其具有一相關連的第一基材運送路徑及一第二基材運送開口其具有一相關連的第二基材運送路徑,其中該基座手臂連桿的該轉動軸被實質地設置在該第一及第二基材運送路徑之間。
TW107113574A 2012-02-10 2013-02-08 基材處理設備 TWI725303B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201261597507P 2012-02-10 2012-02-10
US61/597,507 2012-02-10
US201261660900P 2012-06-18 2012-06-18
US61/660,900 2012-06-18
US201261662690P 2012-06-21 2012-06-21
US61/662,690 2012-06-21

Publications (2)

Publication Number Publication Date
TW201839895A TW201839895A (zh) 2018-11-01
TWI725303B true TWI725303B (zh) 2021-04-21

Family

ID=48948087

Family Applications (3)

Application Number Title Priority Date Filing Date
TW107113574A TWI725303B (zh) 2012-02-10 2013-02-08 基材處理設備
TW110109529A TW202203356A (zh) 2012-02-10 2013-02-08 基材處理設備
TW102105348A TWI629743B (zh) 2012-02-10 2013-02-08 基材處理設備

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW110109529A TW202203356A (zh) 2012-02-10 2013-02-08 基材處理設備
TW102105348A TWI629743B (zh) 2012-02-10 2013-02-08 基材處理設備

Country Status (6)

Country Link
US (2) US20150013910A1 (zh)
JP (4) JP6843493B2 (zh)
KR (3) KR20230067705A (zh)
CN (2) CN104349872B (zh)
TW (3) TWI725303B (zh)
WO (1) WO2013120054A1 (zh)

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5253511B2 (ja) * 2007-10-24 2013-07-31 オーツェー・エリコン・バルザース・アーゲー ワークピース製造方法及び装置
US8899171B2 (en) 2008-06-13 2014-12-02 Kateeva, Inc. Gas enclosure assembly and system
US10434804B2 (en) 2008-06-13 2019-10-08 Kateeva, Inc. Low particle gas enclosure systems and methods
US8383202B2 (en) 2008-06-13 2013-02-26 Kateeva, Inc. Method and apparatus for load-locked printing
US9048344B2 (en) 2008-06-13 2015-06-02 Kateeva, Inc. Gas enclosure assembly and system
US10224232B2 (en) 2013-01-18 2019-03-05 Persimmon Technologies Corporation Robot having two arms with unequal link lengths
CN105164799B (zh) * 2013-03-15 2020-04-07 应用材料公司 基板沉积系统、机械手移送设备及用于电子装置制造的方法
KR102285254B1 (ko) 2013-08-26 2021-08-03 브룩스 오토메이션 인코퍼레이티드 기판 이송 장치
US10424498B2 (en) 2013-09-09 2019-09-24 Persimmon Technologies Corporation Substrate transport vacuum platform
US9717147B2 (en) * 2013-09-26 2017-07-25 Applied Materials, Inc. Electronic device manufacturing system
KR20210127823A (ko) * 2013-11-04 2021-10-22 어플라이드 머티어리얼스, 인코포레이티드 증가된 개수의 측들을 갖는 이송 챔버들, 반도체 디바이스 제조 프로세싱 툴들, 및 프로세싱 방법들
US10468279B2 (en) 2013-12-26 2019-11-05 Kateeva, Inc. Apparatus and techniques for thermal treatment of electronic devices
KR20230104993A (ko) * 2014-01-17 2023-07-11 브룩스 오토메이션 인코퍼레이티드 기판 이송 장치
JP6113923B2 (ja) 2014-01-21 2017-04-12 カティーバ, インコーポレイテッド 電子デバイスのカプセル化のための装置および技術
US10269604B2 (en) 2014-01-21 2019-04-23 Persimmon Technologies Corporation Substrate transport vacuum platform
KR20230009511A (ko) * 2014-04-30 2023-01-17 카티바, 인크. 가스 쿠션 장비 및 기판 코팅 기술
CN105097623A (zh) * 2014-05-07 2015-11-25 盛美半导体设备(上海)有限公司 晶圆装载端口结构
JP6577965B2 (ja) * 2015-02-12 2019-09-18 株式会社Fuji 部品供給装置、および保持具決定方法
US10131020B2 (en) * 2015-03-10 2018-11-20 James P. Sullivan Part orienter with removable rotating fixture
US9889567B2 (en) * 2015-04-24 2018-02-13 Applied Materials, Inc. Wafer swapper
WO2017011581A1 (en) * 2015-07-13 2017-01-19 Brooks Automation, Inc. On the fly automatic wafer centering method and apparatus
US10515834B2 (en) * 2015-10-12 2019-12-24 Lam Research Corporation Multi-station tool with wafer transfer microclimate systems
US20170125269A1 (en) * 2015-10-29 2017-05-04 Aixtron Se Transfer module for a multi-module apparatus
JP6700130B2 (ja) * 2016-07-12 2020-05-27 東京エレクトロン株式会社 接合システム
US11167434B2 (en) * 2016-08-30 2021-11-09 HighRes Biosolutions, Inc. Robotic processing system
US10159169B2 (en) * 2016-10-27 2018-12-18 Applied Materials, Inc. Flexible equipment front end module interfaces, environmentally-controlled equipment front end modules, and assembly methods
US10651067B2 (en) * 2017-01-26 2020-05-12 Brooks Automation, Inc. Method and apparatus for substrate transport apparatus position compensation
JP7275039B2 (ja) 2017-03-15 2023-05-17 ラム リサーチ コーポレーション リニア真空搬送モジュールを有する省スペースプラットフォームアーキテクチャ
US10420109B2 (en) * 2017-04-13 2019-09-17 Futurewei Technologies, Inc. System and method for providing explicit feedback in communications systems with multi-point connectivity
JP6881010B2 (ja) * 2017-05-11 2021-06-02 東京エレクトロン株式会社 真空処理装置
US10861723B2 (en) * 2017-08-08 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. EFEM robot auto teaching methodology
US11020852B2 (en) * 2017-10-05 2021-06-01 Brooks Automation, Inc. Substrate transport apparatus with independent accessory feedthrough
US10155309B1 (en) * 2017-11-16 2018-12-18 Lam Research Corporation Wafer handling robots with rotational joint encoders
JP7326275B2 (ja) * 2017-12-01 2023-08-15 アプライド マテリアルズ インコーポレイテッド エッチング選択性の高いアモルファスカーボン膜
CN111742400A (zh) 2018-02-15 2020-10-02 朗姆研究公司 移动衬底传送室
TW202401627A (zh) * 2018-03-16 2024-01-01 美商布魯克斯自動機械美國公司 基板輸送裝置及用於基板輸送裝置之方法
US10943805B2 (en) 2018-05-18 2021-03-09 Applied Materials, Inc. Multi-blade robot apparatus, electronic device manufacturing apparatus, and methods adapted to transport multiple substrates in electronic device manufacturing
US11535460B2 (en) * 2018-05-31 2022-12-27 Brooks Automation Us, Llc Substrate processing apparatus
TWI828710B (zh) * 2018-06-18 2024-01-11 美商蘭姆研究公司 基板處理系統及基板處理方法
KR102531483B1 (ko) 2018-10-04 2023-05-10 어플라이드 머티어리얼스, 인코포레이티드 이송 시스템
US11850742B2 (en) * 2019-06-07 2023-12-26 Applied Materials, Inc. Dual robot including splayed end effectors and systems and methods including same
JP7458718B2 (ja) 2019-07-19 2024-04-01 株式会社Screenホールディングス 基板処理装置および基板搬送方法
US11569111B2 (en) * 2019-12-02 2023-01-31 Brooks Automation Us, Llc Substrate processing apparatus
US11049740B1 (en) 2019-12-05 2021-06-29 Applied Materials, Inc. Reconfigurable mainframe with replaceable interface plate
EP4102550A4 (en) * 2020-02-05 2023-02-01 Kabushiki Kaisha Yaskawa Denki TRANSPORT SYSTEM, TRANSPORT METHOD AND TRANSPORT DEVICE
CN115427198A (zh) * 2020-02-27 2022-12-02 朗姆研究公司 具有径向气帘和/或内部容积控制的晶片搬运机械手
US11521870B2 (en) 2020-07-08 2022-12-06 Applied Materials, Inc. Annealing chamber
US20220063114A1 (en) * 2020-09-01 2022-03-03 Persimmon Technologies Corporation Material-Handling Robot With Magnetically Guided End-Effectors
US11602064B2 (en) 2020-09-01 2023-03-07 Applied Materials, Inc. Dynamic electrical and fluid delivery system with indexing motion for batch processing chambers
KR20220042646A (ko) 2020-09-28 2022-04-05 현대중공업지주 주식회사 기판이송장치
US20220111513A1 (en) * 2020-10-14 2022-04-14 Applied Materials, Inc. Infinite rotation of vacuum robot linkage through timing belt with isolated environment
KR20220053854A (ko) * 2020-10-23 2022-05-02 피코앤테라(주) 이에프이엠
TWI745266B (zh) * 2021-05-31 2021-11-01 博斯科技股份有限公司 反應爐冷卻系統及其方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW550151B (en) * 2001-07-13 2003-09-01 Brooks Automation Inc Substrate transport apparatus with multiple independent end effectors
TW201032970A (en) * 2009-01-11 2010-09-16 Applied Materials Inc Robot systems, apparatus and methods for transporting substrates in electronic device manufacturing
US7891935B2 (en) * 2002-05-09 2011-02-22 Brooks Automation, Inc. Dual arm robot

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2761438B2 (ja) * 1993-04-16 1998-06-04 ブルックス オートメーション インコーポレイテッド 搬送装置
JPH06302671A (ja) * 1993-04-16 1994-10-28 Sony Corp 真空装置
US5789890A (en) * 1996-03-22 1998-08-04 Genmark Automation Robot having multiple degrees of freedom
TW372926B (en) * 1996-04-04 1999-11-01 Applied Materials Inc Method and system of processing semiconductor workpieces and robot for use in said system
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
JPH11300663A (ja) * 1998-04-24 1999-11-02 Mecs Corp 薄型基板搬送装置
JPH11330199A (ja) * 1998-05-18 1999-11-30 Sony Corp 真空プロセス装置
JP2000072248A (ja) 1998-08-27 2000-03-07 Rorze Corp 基板搬送装置
US6485250B2 (en) * 1998-12-30 2002-11-26 Brooks Automation Inc. Substrate transport apparatus with multiple arms on a common axis of rotation
AU2612500A (en) * 1999-01-15 2000-08-01 Asyst Technologies, Inc. Workpiece handling robot
JP2000308984A (ja) 1999-04-23 2000-11-07 Murata Mach Ltd スカラーアームとこれを用いた搬送装置
US6440261B1 (en) 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
JP2001147910A (ja) * 1999-11-19 2001-05-29 Nec Soft Ltd 分散バッチジョブ処理継続方式およびその記録媒体
US6297611B1 (en) * 2000-07-06 2001-10-02 Genmark Automation Robot having independent end effector linkage motion
US20020061248A1 (en) 2000-07-07 2002-05-23 Applied Materials, Inc. High productivity semiconductor wafer processing system
JP2002158272A (ja) * 2000-11-17 2002-05-31 Tatsumo Kk ダブルアーム基板搬送装置
JP2008034858A (ja) * 2001-05-21 2008-02-14 Tokyo Electron Ltd 処理装置
AU2002327249A1 (en) * 2001-07-13 2003-01-29 Brooks Automation, Inc. Substrate transport apparatus with multiple independent end effectors
JP3755744B2 (ja) * 2001-08-02 2006-03-15 株式会社安川電機 基板搬送用ロボット
JP2003117877A (ja) * 2001-10-17 2003-04-23 Japan Servo Co Ltd 多関節型の産業用ロボット
US7578649B2 (en) * 2002-05-29 2009-08-25 Brooks Automation, Inc. Dual arm substrate transport apparatus
JP4294984B2 (ja) 2003-03-19 2009-07-15 東京エレクトロン株式会社 基板搬送装置及び基板処理装置
KR100583727B1 (ko) * 2004-01-07 2006-05-25 삼성전자주식회사 기판 제조 장치 및 이에 사용되는 기판 이송 모듈
US8376685B2 (en) * 2004-06-09 2013-02-19 Brooks Automation, Inc. Dual scara arm
JP2006205264A (ja) 2005-01-25 2006-08-10 Jel:Kk 基板搬送装置
TWI318195B (en) * 2005-05-26 2009-12-11 Advanced Display Proc Eng Co System for manufacturing flat-panel display
US8220354B2 (en) * 2006-06-28 2012-07-17 Genmark Automation, Inc. Belt-driven robot having extended Z-axis motion
KR20080004118A (ko) * 2006-07-04 2008-01-09 피에스케이 주식회사 기판 처리 설비
TWI398335B (zh) * 2006-11-27 2013-06-11 Nidec Sankyo Corp Workpiece conveying system
JP2008135630A (ja) 2006-11-29 2008-06-12 Jel:Kk 基板搬送装置
US9105673B2 (en) * 2007-05-09 2015-08-11 Brooks Automation, Inc. Side opening unified pod
KR100850436B1 (ko) 2007-06-20 2008-08-05 주식회사 싸이맥스 반도체 제조설비
JP5294681B2 (ja) * 2008-04-28 2013-09-18 東京エレクトロン株式会社 基板処理装置及びその基板搬送方法
KR101689550B1 (ko) * 2009-01-11 2016-12-26 어플라이드 머티어리얼스, 인코포레이티드 기판들을 운반하기 위한 정전기 엔드 이펙터 장치, 시스템들 및 방법들
JP5373517B2 (ja) * 2009-09-14 2013-12-18 株式会社ディスコ 搬送機構および加工装置
US8459922B2 (en) * 2009-11-13 2013-06-11 Brooks Automation, Inc. Manipulator auto-teach and position correction system
JP2011119556A (ja) * 2009-12-07 2011-06-16 Yaskawa Electric Corp 水平多関節ロボットおよびそれを備えた搬送装置
JP5462064B2 (ja) * 2010-04-28 2014-04-02 日本電産サンキョー株式会社 産業用ロボット
US9076829B2 (en) * 2011-08-08 2015-07-07 Applied Materials, Inc. Robot systems, apparatus, and methods adapted to transport substrates in electronic device manufacturing

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW550151B (en) * 2001-07-13 2003-09-01 Brooks Automation Inc Substrate transport apparatus with multiple independent end effectors
US7891935B2 (en) * 2002-05-09 2011-02-22 Brooks Automation, Inc. Dual arm robot
TW201032970A (en) * 2009-01-11 2010-09-16 Applied Materials Inc Robot systems, apparatus and methods for transporting substrates in electronic device manufacturing

Also Published As

Publication number Publication date
JP2023113831A (ja) 2023-08-16
CN107598909B (zh) 2024-01-30
CN104349872A (zh) 2015-02-11
KR20230067705A (ko) 2023-05-16
KR102096074B1 (ko) 2020-04-01
JP6843493B2 (ja) 2021-03-17
WO2013120054A1 (en) 2013-08-15
CN107598909A (zh) 2018-01-19
KR20220019075A (ko) 2022-02-15
TWI629743B (zh) 2018-07-11
JP2016219831A (ja) 2016-12-22
TW201349376A (zh) 2013-12-01
JP6325612B2 (ja) 2018-05-16
US20160329234A1 (en) 2016-11-10
JP7292249B2 (ja) 2023-06-16
KR20160098524A (ko) 2016-08-18
JP2021010011A (ja) 2021-01-28
US20150013910A1 (en) 2015-01-15
JP2015508236A (ja) 2015-03-16
TW201839895A (zh) 2018-11-01
CN104349872B (zh) 2017-10-13
KR102529273B1 (ko) 2023-05-04
TW202203356A (zh) 2022-01-16

Similar Documents

Publication Publication Date Title
TWI725303B (zh) 基材處理設備
KR102359364B1 (ko) 기판 프로세싱 장치
US11302564B2 (en) Substrate transport apparatus
US10777438B2 (en) Processing apparatus
JP6630031B2 (ja) 基板搬送システム、基板処理装置、及び基板搬送方法
TW201922603A (zh) 具獨立附件饋通線之基材運送設備