KR102096074B1 - 기판 프로세싱 장치 - Google Patents

기판 프로세싱 장치 Download PDF

Info

Publication number
KR102096074B1
KR102096074B1 KR1020167021551A KR20167021551A KR102096074B1 KR 102096074 B1 KR102096074 B1 KR 102096074B1 KR 1020167021551 A KR1020167021551 A KR 1020167021551A KR 20167021551 A KR20167021551 A KR 20167021551A KR 102096074 B1 KR102096074 B1 KR 102096074B1
Authority
KR
South Korea
Prior art keywords
transfer
arm
substrate
transport
axis
Prior art date
Application number
KR1020167021551A
Other languages
English (en)
Other versions
KR20160098524A (ko
Inventor
알렉산더 크루피세브
율리시스 길크리스트
로버트 티. 카베니
다니엘 뱁스
Original Assignee
브룩스 오토메이션 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 브룩스 오토메이션 인코퍼레이티드 filed Critical 브룩스 오토메이션 인코퍼레이티드
Publication of KR20160098524A publication Critical patent/KR20160098524A/ko
Application granted granted Critical
Publication of KR102096074B1 publication Critical patent/KR102096074B1/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/061Lifting, gripping, or carrying means, for one or more sheets forming independent means of transport, e.g. suction cups, transport frames
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J18/00Arms
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/02Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type
    • B25J9/04Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type by rotating at least one arm, excluding the head movement itself, e.g. cylindrical coordinate type or polar coordinate type
    • B25J9/041Cylindrical coordinate type
    • B25J9/042Cylindrical coordinate type comprising an articulated arm
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G47/00Article or material-handling devices associated with conveyors; Methods employing such devices
    • B65G47/74Feeding, transfer, or discharging devices of particular kinds or types
    • B65G47/90Devices for picking-up and depositing articles or materials
    • B65G47/904Devices for picking-up and depositing articles or materials provided with rotary movements only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2201/00Indexing codes relating to handling devices, e.g. conveyors, characterised by the type of product or load being conveyed or handled
    • B65G2201/02Articles
    • B65G2201/0214Articles of special size, shape or weigh
    • B65G2201/022Flat

Abstract

이송 챔버 안에서 기판들을 운반하기 위한 이송 장치로서, 상기 이송 챔버는 제1 단부 및 제2 단부 및 상기 단부들 사이에서 연장되는 2개의 측부들(sides)을 구비한다. 상기 이송 장치는, 구동부, 및 상기 이송 챔버에 대하여 고정된 일 단부를 구비한 적어도 하나의 베이스 아암으로서, 상기 구동부에 회전가능하게 결합된 적어도 하나의 아암 링크, 및 상기 베이스 아암의 공통 단부에 회전가능하게 결합되고 2개의 엔드 이펙터들을 가지는 적어도 하나의 이송 아암을 포함하는, 베이스 아암을 포함한다. 상기 구동부는, 3의 자유도를 정의하는 독립적인 회전축들을 갖는 모터들을 구비한다. 1 자유도는 상기 적어도 하나의 이송 아암을 운반하기 위해 상기 적어도 하나의 베이스 아암을 수평으로 이동시키며, 2 자유도는, 상기 적어도 하나의 이송 아암을 신장 및 수축시키고 상기 2개의 엔드 이펙터들을 맞교환하도록, 상기 적어도 하나의 이송 아암을 구동한다.

Description

기판 프로세싱 장치{Substrate Processing Apparatus}
관련된 출원들에 대한 상호 참조
본 출원은 2012년 2월 10일에 출원된 미국 특허가출원 제61/597,507호; 2012년 6월 18일에 출원된 제61/660,900호; 2012년 6월 21일에 출원된 제61/662,690호의 우선권을 주장하는 바, 그것들의 개시는 그 전체로서 본 명세서에 참조 병합된다.
발명의 기술분야
예시적 실시예들은 일반적으로 로봇 운반 장치에 관한 것이며, 더 구체적으로는 다수의 기판 유지 위치들로 기판들을 운반하기 위한 로봇 운반 장치에 관한 것이다.
기판들을, 예컨대 선형적 세장형 이송 챔버(linearly elongated transfer chamber)에서와 같이 나란히(side by side) 배치된 다수의 기판 유지 위치들로 운반하는 로봇 운반 시스템들에서는 일반적으로 하나 초과의 이송 로봇이 이용됨으로써 상기 기판이 상기 선형적 세장형 이송 챔버의 길이를 따라 하나의 로봇으로부터 다른 로봇으로 인계(hand off)된다. 다른 일 양상에서는 리니어 슬라이드(linear slide)에 장착(mount)된 단일 로봇 트랜스포트(transport)가 선형적 세장형 이송 챔버를 통하여 기판들을 운반하는 데에 이용된다.
다수의 선형적으로 배치된 기판 유지 위치들 및/또는 나란한 기판 유지 위치들 사이에서, 기판들의 이송 로봇들 사이에서의 인계 없이, 그리고 리니어 슬라이드의 이용 없이, 기판들을 운반할 수 있어서 상기 이송 챔버 안의 밀봉된 환경에 대한 경계면(interface)들이 감소되는 것이 유리할 것이다.
게다가, 일반적으로 클러스터 유형 도구 배치들로써, 상기 기판 유지 위치들은 공통 주 이송 챔버에 소통가능하게 결합된다(communicably coupled).
또한 상기 이송 챔버의 다른 부분(portion)들로부터 상기 클러스터 도구를 위한 이송 챔버의 부분들을 밀봉할 수 있는 것이 유리할 것이다. 그것의 장점들은, 450mm 반도체 웨이퍼들을 프로세싱하기 위한 도구 아키텍처 및 상기 도구 구성 전체에 걸쳐 그것과 결부된 치수적 증가들 때문에 특별히 중요하다.
덧붙여 일반적으로 원조(original) 장비 제조자들(equipment manufacturers)/프로세스 공급자(process suppliers)들은, 진공 클러스터 도구들을 대기 장비 프론트 엔드 모듈(EFEM) 적재기들(loaders)과 연계시켜서, 상기 웨이퍼들을 이동성 저장 캐리어(mobile storage carrier)들로부터 프로세스 모듈들로 운반하기 위한 청정 환경(clean environment)을 유지하는 방식(way)을 제공한다. 각각의 웨이퍼의 상기 프로세스 챔버 안으로의 사이클(cycle) 동안에 상기 각각의 웨이퍼는 대기로부터 진공으로 이송되고 다시 대기로 이송된다. 몇몇 경우들에서 프로세싱된 웨이퍼들이 대기에 노출된 후에 그것들은 습공기(humid air)와 반응하여 산성으로 되어서 웨이퍼들 및 취급 장비에 대한 손상이 촉진될 수 있다.
인접하는 도구들 사이에서의 기판 운반 동안에 제어된 환경을 유지하도록 존재하는(existing) 프로세스 모듈들 및/또는 클러스터 도구들을 연결하는 것이 더 유리할 것이다. 또한 상기 EFEM을 상기 프로세싱 챔버들/클러스터 도구들로부터 원격에 위치시키는 것이 유리할 것이다.
본 발명의 목적은 개선된 기판 프로세싱 장치 및 기판 이송 방법을 제공하는 것이다.
개시된 실시예의 하나 이상의 양상들에 따르면, 이송 챔버 안에서 기판들을 운반하기 위한 이송 장치로서, 상기 이송 챔버는 제1 단부 및 제2 단부 및 상기 단부들 사이에서 연장되는 2개의 측부들(sides)을 구비하고 각각의 측부는 적어도 2개의 선형적으로 배치된 기판 유지 스테이션들을 가지며 각각의 단부는 적어도 하나의 기판 유지 스테이션을 가지는 이송 장치가 제공된다. 상기 이송 장치는, 구동부; 상기 이송 챔버에 대하여 고정된 일 단부를 구비한 적어도 하나의 베이스 아암으로서, 상기 구동부에 회전가능하게 결합된 적어도 하나의 아암 링크, 및 상기 베이스 아암의 공통 단부에 회전가능하게 결합되고 2개의 엔드 이펙터들을 가지는 적어도 하나의 이송 아암을 포함하는, 베이스 아암;을 포함한다. 상기 구동부는, 3의 자유도를 정의(define)하는 독립적인 회전축들을 갖는 모터들을 구비한다. 상기 구동부의 1 자유도는 상기 이송 챔버 안에서 상기 적어도 하나의 이송 아암을 운반하기 위해 상기 적어도 하나의 베이스 아암을 수평으로 이동시키며, 상기 구동부의 2 자유도는, 상기 적어도 하나의 이송 아암을 신장(extend)시키고 상기 적어도 하나의 이송 아암을 수축(retract)시키고 상기 2개의 엔드 이펙터들을 맞교환(swap)하도록, 상기 적어도 하나의 이송 아암을 구동한다.
개시된 실시예의 하나 이상의 양상들에 따르면 상기 이송 장치는, 상기 이송 챔버의 각각의 측부 상에 상기 적어도 2개의 선형적으로 배치된 기판 유지 스테이션들 사이에서, 그리고 상기 이송 챔버의 상기 제1 단부 및 제2 단부 각각 상에 배치된 상기 적어도 하나의 기판 유지 스테이션으로, 기판들을 이송하도록 구성된다.
개시된 실시예의 하나 이상의 양상들에 따르면 상기 이송 챔버의 상기 제1 단부 및 제2 단부 중 하나 이상 사이에 배치된 상기 적어도 하나의 기판 유지 스테이션은 3개의 일렬로 늘어선(inline) 로드 록들 또는 4개의 일렬로 늘어선 로드 록들을 포함한다.
개시된 실시예들의 하나 이상의 양상들에 따르면 상기 이송 장치는 450mm 직경 웨이퍼들을 취급하도록 구성된다.
개시된 실시예들의 하나 이상의 양상들에 따르면 상기 이송 장치는 평면 패널 디스플레이들, 발광 다이오드들, 유기 발광 다이오드들 또는 태양 전지판을 위한 평면 패널들, 300mm 직경 웨이퍼들, 또는 200mm 직경 웨이퍼들을 취급하도록 구성된다.
개시된 실시예의 하나 이상의 양상들에 따르면 상기 구동부는 동축 구동 샤프트 구성(coaxial drive shaft arrangement)을 포함한다.
개시된 실시예의 하나 이상의 양상들에 따르면 상기 구동부는, 상기 적어도 하나의 이송 아암의 신장(extension) 및 수축(retraction)의 축에 실질적으로 직각인 방향으로 상기 적어도 하나의 이송 아암을 선형적으로 이동시키도록 구성되는 z-축 구동기(z-axis drive)를 포함한다.
개시된 실시예의 하나 이상의 양상들에 따르면 상기 적어도 하나의 베이스 아암은, 구동 축(drive axis)에서 상기 구동부에 회전가능하게 장착된 일 단부를 구비한 적어도 하나의 아암 링크를 포함하며, 상기 적어도 하나의 이송 아암은 어깨 축에서 상기 적어도 하나의 아암 링크의 반대측 제2 단부에 회전가능하게 장착된다.
개시된 실시예의 하나 이상의 양상들에 따르면 상기 구동부는, 상기 구동 축에 배치된 1 자유도 구동기 및 상기 어깨 축에 배치된 2 자유도 구동기를 포함한다.
개시된 실시예의 양상들 중 하나 이상의 양상들에 따르면 상기 1 자유도 구동기는 하모닉 구동기(harmonic drive)를 포함한다.
개시된 실시예의 하나 이상의 양상들에 따르면 상기 2 자유도 구동기는, 내부 및 외부 구동 샤프트를 구비한 동축 구동기(coaxial drive)를 포함하고, 상기 외부 구동 샤프트는 상기 내부 구동 샤프트에 대해 독립적으로 회전가능하며 상기 내부 구동 샤프트의 지지 베어링에 의해 지지된다.
개시된 실시예의 하나 이상의 양상들에 따르면 상기 적어도 하나의 베이스 아암은, 제1 단부 및 제2 단부를 구비한 상부 아암 링크, 및 제1 단부 및 제2 단부를 구비한 전방 아암 링크(forearm link)를 포함하며, 상기 상부 아암 링크는 상기 제1 단부에서 상기 구동부에 구동 축을 중심으로 회전가능하게 장착되며, 상기 전방 아암 링크는 제1 단부에서 상기 상부 아암 링크의 상기 제2 단부에 회전가능하게 장착된다. 상기 적어도 하나의 이송 아암은 어깨 회전축에서 상기 전방 아암 링크의 상기 제2 단부에 회전가능하게 장착된다. 개시된 실시예의 다른 양상에서, 상기 전방 아암 링크가 상기 구동부에 종속됨으로써 상기 어깨 회전축은 실질적으로 선형인 경로를 따르도록 실질적으로 구속된다(substantially constrained). 상기 상부 아암 및 전방 아암 링크 중의 하나 이상은 적어도 하나의 교환가능한 스페이서부(interchangeable spacer section)를 포함하며, 상기 적어도 하나의 교환가능한 스페이서부는, 상기 상부 아암 링크 및 전방 아암 링크 중 개별의 하나의 길이가 확대/축소(scale)될 수 있도록 하기 위하여, 다른 제거가능한 스페이서부들(removable spacer sections)과 교환가능하도록 구성된다. 개시된 실시예의 다른 양상에서 상기 구동부는 모터를 포함하는 바, 상기 모터는 상기 전방 아암의 회전을 구동하기 위해 상기 상부 아암 링크의 상기 제2 단부에서 배치된다. 개시된 실시예의 또 다른 양상에서 상기 베이스 아암은, 제1 단부 및 제2 단부를 구비한 상부 아암 링크, 제1 단부 및 제2 단부를 구비한 전방 아암 링크, 제1 단부 및 제2 단부를 구비한 손목을 포함하는 바, 상부 아암 링크는 상기 제1 단부에서 상기 구동부에 상기 구동 축을 중심으로 회전가능하게 장착되며, 상기 전방 아암 링크는 상기 제1 단부에서 회전가능하게 상기 상부 아암 링크의 상기 제2 단부에 장착되고, 상기 손목은 상기 제1 단부에서 회전가능하게 상기 전방 아암 링크의 상기 제2 단부에 장착된다.
개시된 실시예의 하나 이상의 양상들에 따르면 이송 챔버 안에서 기판들을 운반하기 위한 이송 장치로서, 상기 이송 챔버는 제1 단부 및 제2 단부 및 상기 단부들 사이에서 연장되는 2개의 측부들(sides)을 구비하고 각각의 측부는 적어도 2개의 선형적으로 배치된 기판 유지 스테이션들을 가지는 이송 장치가 제공된다. 상기 이송 장치는, 구동부; 상기 이송 챔버에 대하여 고정된 일 단부를 구비한 적어도 하나의 베이스 아암으로서, 상기 구동부에 회전가능하게 결합된 적어도 하나의 아암 링크, 및 상기 베이스 아암에 회전가능하게 결합되고 2개의 엔드 이펙터들을 가지는 적어도 하나의 이송 아암을 포함하는, 베이스 아암;을 포함한다. 상기 구동부는, 3의 자유도를 정의하는 독립적인 회전축들을 갖는 모터들을 구비한다. 상기 구동부의 1 자유도는 상기 이송 챔버 안에서 상기 이송 아암을 운반하기 위해 상기 적어도 하나의 베이스 아암을 수평으로 이동시키며, 상기 구동부의 2 자유도는, 상기 적어도 하나의 이송 아암을 신장시키고 상기 적어도 하나의 이송 아암을 수축시키고 상기 2개의 엔드 이펙터들을 맞교환하도록, 상기 적어도 하나의 이송 아암을 구동한다.
개시된 실시예의 하나 이상의 양상들에 따르면 상기 이송 장치는, 상기 이송 챔버의 각각의 측부 상의 상기 적어도 2개의 선형적으로 배치된 기판 유지 스테이션들 사이에서 기판들을 이송하도록 구성된다.
개시된 실시예의 하나 이상의 양상들에 따르면 상기 이송 챔버는 상기 이송 챔버의 제1 단부 및 제2 단부 중 하나 이상에서 배치된 3개의 일렬로 늘어선 로드 록들 또는 4개의 일렬로 늘어선 로드 록들을 포함하며, 상기 이송 장치는, 상기 3개의 일렬로 늘어선 로드 록들 또는 4개의 일렬로 늘어선 로드 록들로, 그리고 상기 3개의 일렬로 늘어선 로드 록들 또는 4개의 일렬로 늘어선 로드 록들로부터, 기판들을 이송하도록 구성된다.
개시된 실시예들의 하나 이상의 양상들에 따르면 상기 이송 장치는 450mm 직경 웨이퍼들을 취급하도록 구성된다.
개시된 실시예들의 하나 이상의 양상들에 따르면 상기 이송 장치는 평면 패널 디스플레이들, 발광 다이오드들, 유기 발광 다이오드들 또는 태양 전지판을 위한 평면 패널들, 300mm 직경 웨이퍼들, 또는 200mm 직경 웨이퍼들을 취급하도록 구성된다.
개시된 실시예의 하나 이상의 양상들에 따르면 기판 프로세싱 장치가 제공된다. 상기 장치는, 실질적으로 밀봉된 환경을 형성하는 적어도 하나의 이송 챔버, 및 적어도 부분적으로 상기 적어도 하나의 이송 챔버 각각 내에 배치된 적어도 하나의 이송 장치를 포함한다. 상기 적어도 하나의 이송 장치는, 구동부; 상기 이송 챔버에 대하여 고정된 일 단부를 구비한 베이스 아암으로서, 상기 구동부에 회전가능하게 결합된 적어도 하나의 아암 링크, 및 상기 베이스 아암의 공통 단부에 회전가능하게 결합되고 2개의 엔드 이펙터들을 가지는 적어도 하나의 이송 아암을 포함하는, 상기 베이스 아암;을 포함한다. 상기 구동부는, 3의 자유도를 정의하는 독립적인 회전축들을 갖는 모터들을 구비한다. 상기 구동부의 1 자유도는 상기 이송 챔버 안에서 상기 적어도 하나의 이송 아암을 수평으로 운반하기 위해 상기 베이스 아암을 이동시키며, 상기 구동부의 2 자유도는, 상기 적어도 하나의 이송 아암을 신장시키고 상기 적어도 하나의 이송 아암을 수축시키고 상기 2개의 엔드 이펙터들을 맞교환하도록, 상기 적어도 하나의 이송 아암을 구동한다.
개시된 실시예의 하나 이상의 양상들에 따르면, 상기 적어도 하나의 이송 챔버 각각은 제1 단부 및 제2 단부 및 상기 단부들 사이에서 연장되는 2개의 측부들(sides)을 구비하고 각각의 측부는 적어도 2개의 선형적으로 배치된 기판 유지 스테이션들을 가지며 각각의 단부는 적어도 하나의 기판 유지 스테이션을 가지고, 상기 이송 장치는, 상기 이송 챔버의 각각의 측부 상에 상기 적어도 2개의 선형적으로 배치된 기판 유지 스테이션들 사이에서, 그리고 상기 이송 챔버의 상기 제1 단부 및 제2 단부 각각 상에 배치된 상기 적어도 하나의 기판 유지 스테이션으로, 기판들을 이송하도록 구성된다.
개시된 실시예의 하나 이상의 양상들에 따르면 상기 이송 챔버의 제1 단부 및 제2 단부 중 하나 이상에 배치된 상기 적어도 하나의 기판 유지 스테이션은 3개의 일렬로 늘어선 로드 록들 또는 4개의 일렬로 늘어선 로드 록을 포함한다.
개시된 실시예들의 하나 이상의 양상들에 따르면 상기 기판 프로세싱 장치는 450mm 직경 웨이퍼들을 취급하도록 구성된다.
개시된 실시예들의 하나 이상의 양상들에 따르면 상기 기판 프로세싱 장치는 평면 패널 디스플레이들, 발광 다이오드들, 유기 발광 다이오드들 또는 태양 전지판을 위한 평면 패널들, 300mm 직경 웨이퍼들, 또는 200mm 직경 웨이퍼들을 취급하도록 구성된다.
개시된 실시예의 하나 이상의 양상들에 따르면 상기 적어도 하나의 이송 챔버는 클러스터링된 구성을 갖는다. 다른 양상에서 상기 클러스터링된 구성은 이중 클러스터 이송 챔버 구성 또는 삼중 클러스터 이송 챔버 구성이다.
개시된 실시예의 하나 이상의 양상들에 따르면 상기 적어도 하나의 이송 챔버의 적어도 일 단부는 상기 기판 프로세싱 장치에서 기판들을 제거 또는 삽입하기 위한 장비 프론트 엔드 모듈을 포함한다.
개시된 실시예의 하나 이상의 양상들에 따르면 상기 적어도 하나의 이송 챔버는, 결합된(combined) 선형적 세장형 이송 챔버를 형성하도록 서로 소통가능하게 결합되는 적어도 2개의 선형적 세장형 이송 챔버들을 포함한다. 다른 양상에서 상기 결합된 선형적 세장형 이송 챔버의 적어도 일 단부는 상기 기판 프로세싱 장치에서 기판들을 제거 또는 삽입하기 위한 장비 프론트 엔드 모듈을 포함한다.
개시된 실시예의 하나 이상의 양상들에 따르면 상기 구동부는 동축 구동 샤프트 구성을 포함한다.
개시된 실시예의 하나 이상의 양상들에 따르면 상기 베이스 아암은 구동 축에서 상기 구동부에 회전가능하게 장착된 일 단부를 구비한 적어도 하나의 아암 링크를 포함하고, 상기 적어도 하나의 이송 아암은 어깨 축에서 상기 적어도 하나의 아암 링크의 반대측 제2 단부에 회전가능하게 장착된다.
개시된 실시예의 하나 이상의 양상들에 따르면, 상기 구동부는 상기 구동 축에 배치된 1 자유도 구동기 및 상기 어깨 축에 배치된 2 자유도 구동기를 포함한다.
개시된 실시예의 양상들 중 하나 이상의 양상들에 따르면 상기 1 자유도 구동기는 하모닉 구동기를 포함한다.
개시된 실시예의 하나 이상의 양상들에 따르면 상기 2 자유도 구동기는, 내부 및 외부 구동 샤프트를 구비한 동축 구동기를 포함하고, 상기 외부 구동 샤프트는 상기 내부 구동 샤프트에 대해 독립적으로 회전가능하며 상기 내부 구동 샤프트의 지지 베어링에 의해 지지된다.
개시된 실시예의 하나 이상의 양상들에 따르면 상기 베이스 아암은, 제1 단부 및 제2 단부를 구비한 상부 아암 링크, 및 제1 단부 및 제2 단부를 구비한 전방 아암 링크(forearm link)를 포함하며, 상기 상부 아암 링크는 상기 제1 단부에서 상기 구동부에 구동 축을 중심으로 회전가능하게 장착되며, 상기 전방 아암 링크는 제1 단부에서 상기 상부 아암 링크의 상기 제2 단부에 회전가능하게 장착된다. 상기 적어도 하나의 이송 아암은 상기 어깨 회전축에서 상기 전방 아암 링크의 상기 제2 단부에 회전가능하게 장착된다. 개시된 실시예의 다른 양상에서 상기 전방 아암 링크는 상기 구동부에 종속됨으로써 상기 어깨 회전축은 상기 적어도 하나의 선형적 세장형 이송 챔버의 길이를 따라 실질적으로 선형인 경로를 따르도록 실질적으로 구속된다. 상기 상부 아암 및 전방 아암 링크 중의 하나 이상은 적어도 하나의 교환가능한 스페이서부(interchangeable spacer section)를 포함하며, 상기 적어도 하나의 교환가능한 스페이서부는, 상기 상부 아암 링크 및 전방 아암 링크 중 개별의 하나의 길이가 확대/축소(scale)될 수 있도록 하기 위하여, 다른 제거가능한 스페이서부들(removable spacer sections)과 교환가능하도록 구성된다. 개시된 실시예의 다른 양상에서 상기 구동부는 모터를 포함하는 바, 상기 모터는 상기 전방 아암의 회전을 구동하기 위해 상기 상부 아암 링크의 상기 제2 단부에서 배치된다. 개시된 실시예의 또 다른 양상에서 상기 베이스 아암은, 제1 단부 및 제2 단부를 구비한 상부 아암 링크, 제1 단부 및 제2 단부를 구비한 전방 아암 링크, 제1 단부 및 제2 단부를 구비한 손목을 포함하는 바, 상부 아암 링크는 상기 제1 단부에서 상기 구동부에 상기 구동 축을 중심으로 회전가능하게 장착되며, 상기 전방 아암 링크는 상기 제1 단부에서 상기 상부 아암 링크의 상기 제2 단부에 회전가능하게 장착되고, 상기 손목은 상기 제1 단부에서 상기 전방 아암 링크의 상기 제2 단부에 회전가능하게 장착된다.
개시된 실시예의 하나 이상의 양상들에 따르면 기판 프로세싱 장치가 제공된다. 상기 기판 프로세싱 장치는, 적어도 하나의 선형적 세장형 이송 챔버; 및 적어도 부분적으로 상기 적어도 하나의 선형적 세장형 이송 챔버 안에 배치된 이송 장치;를 포함한다. 상기 이송 장치는, 3 자유도를 정의하는 3개의 독립적인 회전축들을 갖는 구동 시스템을 구비한 구동부를 포함한다. 베이스 아암부는 상기 구동부에 회전가능하게 결합되며, 이송 아암부는 상기 베이스 아암부에 회전가능하게 결합된다. 상기 이송 아암부는 2개의 엔드 이펙터들을 구비한다. 상기 구동부의 1 자유도는 상기 이송 아암부를 운반하기 위해 상기 베이스 아암을 수평으로 이동시키며, 2 자유도는, 상기 이송 아암부를 신장시키고 상기 이송 아암부를 수축시키고 상기 2개의 엔드 이펙터들을 맞교환하도록, 상기 이송 아암부를 구동한다.
개시된 실시예들의 하나 이상의 양상들에 따르면 상기 기판 프로세싱 장치는 450mm 직경 웨이퍼들을 취급하도록 구성된다.
개시된 실시예들의 하나 이상의 양상들에 따르면 상기 기판 프로세싱 장치는 평면 패널 디스플레이들, 발광 다이오드들, 유기 발광 다이오드들 또는 태양 전지판을 위한 평면 패널들, 300mm 직경 웨이퍼들, 또는 200mm 직경 웨이퍼들을 취급하도록 구성된다.
개시된 실시예의 하나 이상의 양상들에 따르면 기판 운반 장치가 제공된다. 상기 기판 운반 장치는, 3 자유도를 정의하는 3개의 독립적인 회전축들을 갖는 구동부, 상기 구동부에 연결된 베이스 아암, 및 상기 베이스 아암에 회전가능하게 장착되고 2개의 엔드 이펙터들을 구비한 이송 아암을 포함한다. 상기 구동부의 1 자유도는 상기 이송 아암을 운반하기 위해 상기 베이스 아암을 수평으로 이동시킨다. 2 자유도를 갖는 상기 구동부의 모터는 유닛(unit)으로서, 제거가능하게 상기 베이스 아암에 결합되기 위하여 구성됨으로써 상기 이송 아암이 상기 베이스 아암에 결합된 때에 상기 2 자유도를 갖는 상기 구동부의 모터에 상기 이송 아암이 결합된다.
개시된 실시예들의 하나 이상의 양상들에 따르면 상기 기판 운반 장치는 450mm 직경 웨이퍼들을 취급하도록 구성된다.
개시된 실시예들의 하나 이상의 양상들에 따르면 상기 기판 운반 장치는 평면 패널 디스플레이들, 발광 다이오드들, 유기 발광 다이오드들 또는 태양 전지판을 위한 평면 패널들, 300mm 직경 웨이퍼들, 또는 200mm 직경 웨이퍼들을 취급하도록 구성된다.
개시된 실시예의 하나 이상의 양상들에 따르면, 상기 2 자유도를 갖는 상기 구동부의 모터는, 내부 및 외부 구동 샤프트를 구비한 동축 구동기를 포함하고, 상기 외부 구동 샤프트는 상기 내부 구동 샤프트에 대해 독립적으로 회전가능하며 상기 내부 구동 샤프트의 지지 베어링에 의해 지지된다.
개시된 실시예의 하나 이상의 양상들에 따르면 기판 프로세싱 도구가 제공된다. 상기 기판 프로세싱 도구는, 다각형 이송 챔버, 및 상기 이송 챔버의 각각의 측부 상에 배치된 적어도 2개의 기판 유지 스테이션들을 포함한다. 적어도 2개의 기판 운반 장치는 적어도 부분적으로 상기 이송 챔버 안에 배치된다. 상기 적어도 2개의 기판 운반 장치 각각은, 구동 축에서 상기 이송 챔버 안에 회전가능하게 장착된 베이스 아암, 및 상기 베이스 아암 상에 회전가능하게 장착되고 2개의 엔드 이펙터들을 구비한 적어도 하나의 이송 아암을 포함한다. 각각의 베이스 아암은 상기 구동 축을 중심으로 독립적으로 회전가능하며, 상기 적어도 하나의 이송 아암은 개별의 베이스 아암에 대하여 독립적으로 회전가능함으로써, 각각의 이송 아암의 신장(extension) 및 수축(retraction)의 축(axis)이, 상기 기판 유지 스테이션들 중 임의의 것과 상기 이송 아암의 사이에서 기판들을 이송할 수 있게 한다.
개시된 실시예들의 하나 이상의 양상들에 따르면 상기 기판 프로세싱 도구는 450mm 직경 웨이퍼들을 취급하도록 구성된다.
개시된 실시예들의 하나 이상의 양상들에 따르면 상기 기판 프로세싱 도구는 평면 패널 디스플레이들, 발광 다이오드들, 유기 발광 다이오드들 또는 태양 전지판을 위한 평면 패널들, 300mm 직경 웨이퍼들, 또는 200mm 직경 웨이퍼들을 취급하도록 구성된다.
개시된 실시예의 하나 이상의 양상들에 따르면 각각의 기판 운반 장치는, 1 자유도 구동 모터 및 2 자유도 구동 모터를 포함하고, 상기 1 자유도 구동 모터는 상기 베이스 아암을 회전가능하게 구동하도록 구성되고, 상기 2 자유도 구동 모터는 상기 베이스 아암에 대해 독립적으로 상기 적어도 하나의 이송 아암의 회전, 신장 및 수축을 수행(effect)하도록 구성된다.
개시된 실시예의 하나 이상의 양상들에 따르면 기판 프로세싱 장치가 제공된다. 상기 기판 프로세싱 장치는, 상호연결된 이송 챔버 모듈들의 2차원적 배열로 형성된 격자(grid)를 포함한 복합 이송 챔버로서, 각각의 이송 챔버 모듈은 상기 이송 챔버 모듈들 중 다른 것들로부터 선택적으로 밀봉가능한, 복합 이송 챔버를 포함한다. 하나 이상의 기판 유지 스테이션들은 상기 이송 챔버 모듈들 각각에 소통가능하게 결합된다. 각각의 이송 챔버 모듈은, 상기 복합 이송 챔버에 소통가능하게 결합된 기판 유지 스테이션들과 상기 이송 챔버 모듈들 사이에서 기판들을 운반하기 위하여 상기 각각의 이송 챔버 모듈 안에 배치된 이송 아암을 포함한다.
개시된 실시예의 하나 이상의 양상들에 따르면 상기 상호연결된 이송 챔버 모듈들의 2차원적 배열은 적어도 이송 챔버 모듈들의 2x2(two-by-two) 배열을 포함한다.
개시된 실시예의 하나 이상의 양상들에 따르면 상기 기판 프로세싱 장치는 다수의 수평 레벨들(multiple horizontal levels)로 된 기판 유지 스테이션들을 포함한다.
개시된 실시예의 하나 이상의 양상들에 따르면 기판 프로세싱 도구가 제공된다. 상기 기판 프로세싱 도구는, 다각형 이송 챔버, 및 상기 이송 챔버의 각각의 측부 상에 배치된 적어도 2개의 기판 유지 스테이션들을 포함한다. 적어도 하나의 기판 운반 장치는 적어도 부분적으로 상기 이송 챔버 안에 배치된다. 상기 적어도 하나의 기판 운반 장치 각각은, 허브 스페이서 링크(hub spacer link)를 포함하고, 상기 허브 스페이서 링크는, 구동 축에서 상기 이송 챔버 안에 장착된 허브에 결합되며, 적어도 하나의 이송 아암은 상기 허브 스페이서 링크 상에 회전가능하게 장착된다. 상기 허브는 회전가능하게 인덱스 가능(rotatably indexable)함으로써, 각각의 이송 아암의 신장(extension) 및 수축(retraction)의 축(axis)이, 상기 기판 유지 스테이션들 중 임의의 것과 상기 이송 아암의 사이에서 기판들을 이송할 수 있게 한다. 상기 적어도 하나의 이송 아암을 구동시키기 위하여 모터 모듈이 상기 허브의 반대측, 각각의 허브 스페이서 링크의 단부에서 배치된다.
개시된 실시예의 하나 이상의 양상들에 따르면 기판 프로세싱 장치가 제공된다. 상기 기판 프로세싱 장치는, 서로 소통가능하게 결합되고 나란히(side by side) 배치된 적어도 제1 이송 챔버 모듈 및 제2 이송 챔버 모듈과, 상기 제1 이송 챔버 모듈 및 상기 제2 이송 챔버 모듈 옆에 나란히(alongside) 연장되며 상기 제1 이송 챔버 모듈 및 상기 제2 이송 챔버 모듈 모두에 소통가능하게 결합된 제3 이송 챔버 모듈을 구비한 복합 이송 챔버를 포함한다. 적어도 하나의 기판 유지 스테이션은 상기 제1 이송 챔버 모듈, 상기 제2 이송 챔버 모듈 및 상기 제3 이송 챔버 모듈 각각에 소통가능하게 결합된다. 상기 제1 이송 챔버 모듈, 상기 제2 이송 챔버 모듈 및 상기 제3 이송 챔버 모듈 각각은, 상기 제1 이송 챔버 모듈, 상기 제2 이송 챔버 모듈 및 상기 제3 이송 챔버 모듈과 상기 적어도 하나의 기판 유지 스테이션 사이에서 기판들을 운반하기 위하여, 상기 제1 이송 챔버 모듈, 상기 제2 이송 챔버 모듈 및 상기 제3 이송 챔버 모듈 각각의 안에 배치된 적어도 하나의 이송 아암을 구비한다.
개시된 실시예의 하나 이상의 양상들에 따르면 상기 제3 이송 챔버 모듈은, 구동부, 및 상기 구동부에 회전가능하게 결합된 적어도 하나의 아암 링크가 포함되고 상기 제3 이송 챔버에 대하여 일 단부에서 고정되는 적어도 하나의 베이스 아암을 포함한다. 상기 제3 이송 챔버 모듈의 상기 적어도 하나의 이송 아암은 상기 베이스 아암의 공통 단부에 회전가능하게 결합되며, 상기 적어도 하나의 이송 아암은 2개의 엔드 이펙터들을 구비한다. 상기 구동부는, 3 자유도를 정의하는 독립적인 회전축들을 갖는 모터들을 구비한다. 상기 구동부의 1 자유도는 상기 제3 이송 챔버 모듈 안에서 상기 적어도 하나의 이송 아암을 운반하기 위해 상기 적어도 하나의 베이스 아암을 수평으로 이동시키며, 상기 구동부의 2 자유도는 상기 적어도 하나의 이송 아암을 신장시키고 상기 적어도 하나의 이송 아암을 수축시키고 상기 2개의 엔드 이펙터들을 맞교환하도록 구동한다.
개시된 실시예의 하나 이상의 양상들에 따르면, 기판 프로세싱 장치가 제공된다. 상기 기판 프로세싱 장치는, 운반 터널, 및 상기 운반 터널에 소통가능하게 결합된 자동화 모듈을 포함한다. 상기 자동화 모듈은, 제1 단부 및 제2 단부와, 상기 단부들 사이에서 연장되는 2개의 측부들을 포함하고, 각각의 측부는 적어도 2개의 연결 포트들을 구비하고, 상기 단부들 중 적어도 하나는 상기 운반 터널에 결합되며, 상기 자동화 모듈의 적어도 일 측부의 상기 적어도 2개의 연결 포트들은 클러스터 도구 모듈에의 연결을 위하여 구성된다. 상기 자동화 모듈은 이송 장치를 더 포함하며, 상기 이송 장치는, 구동부, 상기 구동부에 회전가능하게 결합된 적어도 하나의 아암 링크가 포함되고 상기 이송 챔버에 대하여 일 단부에서 고정되는 적어도 하나의 베이스 아암, 및 상기 베이스 아암의 공통 단부에 회전가능하게 결합되는 적어도 하나의 이송 아암을 구비하며, 상기 적어도 하나의 이송 아암은 적어도 하나의 엔드 이펙터를 구비한다.
개시된 실시예의 하나 이상의 양상들에 따르면, 상기 적어도 하나의 이송 아암은 2개의 엔드 이펙터들을 포함하고, 상기 구동부는, 3 자유도를 정의하는 독립적인 회전축들을 갖는 모터들을 구비한다. 상기 구동부의 1 자유도는 상기 이송 챔버 안에 상기 적어도 하나의 이송 아암을 운반하기 위해 상기 적어도 하나의 베이스 아암을 수평으로 이동시키며, 상기 구동부의 2 자유도는 상기 적어도 하나의 이송 아암을 신장시키고 상기 적어도 하나의 이송 아암을 수축시키고 상기 2개의 엔드 이펙터들을 맞교환하도록 구동한다.
개시된 실시예의 하나 이상의 양상들에 따르면 기판 프로세싱 장치가 제공된다. 상기 기판 프로세싱 장치는, 운반 터널, 및 상기 운반 터널에 결합된 적어도 하나의 모듈을 포함한다. 상기 운반 터널은, 상기 운반 터널의 길이방향 단부들 사이에서 통행(travel)하도록 구성된 적어도 하나의 운반 카트 포함하며, 상기 적어도 하나의 운반 카트는, 상기 운반 카트에 고정적으로 장착된 실질적으로 강성인 기판 홀더를 포함한다. 상기 운반 카트와 상기 적어도 하나의 모듈 사이에서 기판들을 이송하기 위하여, 상기 운반 카트가 상기 운반 터널의 상기 길이방향 단부들 중 적어도 하나에 인접 배치된 때에, 상기 실질적으로 강성인 기판 홀더는 상기 길이방향 단부들 중 상기 적어도 하나 너머로 연장되도록 구성된다.
개시된 실시예의 하나 이상의 양상들에 따르면 상기 기판 프로세싱 장치는 자동화 모듈을 더 포함하고, 상기 자동화 모듈은 제1 단부 및 제2 단부와 상기 단부들 사이에서 연장되는 2개의 측부들을 구비하며, 각각의 측부는 적어도 2개의 연결 포트들을 구비하고, 상기 단부들 중 적어도 하나는 상기 운반 터널에 결합된다. 상기 자동화 모듈은 이송 장치를 더 포함하며, 상기 이송 장치는, 구동부, 상기 구동부에 회전가능하게 결합된 적어도 하나의 아암 링크를 포함하고 상기 이송 챔버에 대하여 고정된 일 단부를 구비한 적어도 하나의 베이스 아암, 및 상기 베이스 아암의 공통 단부에 회전가능하게 결합된 적어도 하나의 이송 아암을 구비하며, 상기 적어도 하나의 이송 아암은 적어도 하나의 엔드 이펙터를 구비한다. 상기 이송 장치는 각각의 측부 상의 상기 적어도 2개의 연결 포트들을 통하여 상기 제1 단부 및 상기 제2 단부 중 적어도 하나 너머로 연장되도록 구성된다. 상기 자동화 모듈은 상기 제1 단부 및 상기 제2 단부 중 하나에서 상기 운반 터널에 소통가능하게 연결된다.
개시된 실시예의 하나 이상의 양상들에 따르면 상기 기판 프로세싱 장치는 상기 자동화 모듈의 상기 측부들 중 적어도 하나 상의 상기 2개의 연결 포트들에 결합된 프로세싱 도구 모듈을 포함한다.
개시된 실시예의 하나 이상의 양상들에 따르면 상기 기판 프로세싱 장치는 장비 프론트 엔드 모듈(EFEM)을 포함하고, 상기 운반 터널은 상기 장비 프론트 엔드 모듈 및 상기 자동화 모듈을 소통가능하게 연결한다.
개시된 실시예의 하나 이상의 양상들에 따르면 상기 기판 프로세싱 장치는 제2 운반 터널을 포함하고, 상기 제2 운반 터널은, 상기 자동화 모듈의 상기 제1 단부 및 상기 제2 단부 중 다른 하나(the other one)에 소통가능하게 연결되고, 상기 자동화 모듈을 다른 자동화 모듈과 연결한다.
개시된 실시예의 하나 이상의 양상들에 따르면 상기 운반 터널은 하나 이상의 터널 모듈들을 포함한다.
개시된 실시예의 하나 이상의 양상들에 따르면 상기 하나 이상의 터널 모듈들 중 적어도 하나는 상기 하나 이상의 터널 모듈들 중 다른 것들(other ones)로부터 밀봉가능하다.
개시된 실시예의 하나 이상의 양상들에 따르면 기판 프로세싱 장치가 제공된다. 상기 기판 프로세싱 장치는, 자동화 모듈, 및 상기 자동화 모듈에 소통가능하게 연결된 연결 모듈을 포함하고, 상기 자동화 모듈은, 제1 단부, 제2 단부, 상기 단부들 사이에서 연장되는 2개의 측부들을 포함하며, 각각의 측부는 적어도 2개의 연결 포트들을 구비하고, 상기 단부들 중 적어도 하나는 상기 연결 모듈에 결합된다. 상기 자동화 모듈은 이송 장치를 더 포함하며, 상기 이송 장치는, 구동부, 상기 구동부에 회전가능하게 결합된 적어도 하나의 아암 링크를 포함하고 상기 이송 챔버에 대하여 고정된 일 단부를 구비한 적어도 하나의 베이스 아암, 및 상기 베이스 아암의 공통 단부에 회전가능하게 결합된 적어도 하나의 이송 아암을 구비하며, 상기 적어도 하나의 이송 아암은 적어도 하나의 엔드 이펙터를 구비한다. 상기 이송 장치는 각각의 측부 상의 상기 적어도 2개의 연결 포트들을 통하여 상기 제1 단부 및 상기 제2 단부 중 적어도 하나 너머로 연장되도록 구성된다.
개시된 실시예의 하나 이상의 양상들에 따르면, 상기 자동화 모듈의 적어도 일 측부의 상기 적어도 2개의 연결 포트들은 클러스터 도구 모듈에의 연결을 위해 구성된다.
개시된 실시예의 하나 이상의 양상들에 따르면 상기 기판 프로세싱 장치는, 장비 프론트 엔드 모듈을 포함하고, 상기 연결 모듈은 소통가능하게 상기 장비 프론트 엔드 모듈을 상기 자동화 모듈에 연결한다.
개시된 실시예의 하나 이상의 양상들에 따르면 상기 연결 모듈은 진공 모듈 및 운반 터널 중 하나 이상을 포함한다.
개시된 실시예의 하나 이상의 양상들에 따르면 상기 연결 모듈은 운반 터널을 포함하고, 상기 운반 터널은, 상기 운반 터널 안에 배치되고 상기 운반 터널의 길이방향 단부들 사이에서 통행하도록 구성된 적어도 하나의 운반 카트를 구비한다.
개시된 실시예의 하나 이상의 양상들에 따르면 상기 기판 프로세싱 장치는 프로세싱 도구 모듈을 포함하고, 상기 프로세싱 도구 모듈은 상기 자동화 모듈의 적어도 일 측부 상의 상기 적어도 2개의 연결 포트들에 결합된다.
개시된 실시예의 하나 이상의 양상들에 따르면 상기 자동화 모듈의 상기 이송 장치는, 실질적으로 기판에 한번 접촉함으로써, 상기 기판을 상기 연결 모듈로부터 상기 자동화 모듈의 측부들 상에 배치된 개별 포트들 모두를 통하여 운반하도록 구성된다.
개시된 실시예의 하나 이상의 양상들에 따르면 기판 프로세싱 장치가 제공된다. 상기 기판 프로세싱 장치는, 내부에 밀봉된 환경을 유지할 수 있는 챔버를 형성하고 기판 포트 개구들을 구비함으로써, 상기 기판 포트 개구들을 통해 상기 챔버 안팎으로 기판들이 운반되는, 하우징을 포함한다. 상기 하우징은, 프로세스 도구 조립체의 측부와의 맞결합(mating)을 위한 맞결합 정합부(mating interface)를 한정하는 측부들을 구비한다. 상기 하우징의 적어도 일 측부는 하나 이상의 기판 운반 개구들을 구비하고, 상기 프로세스 도구 조립체의 측부에 있는 기판 운반 개구들은 상기 기판 운반 개구들에서 상기 맞결합 정합부에 맞결합되며, 상기 기판 운반 개구들은, 상기 프로세스 도구 조립체의 측부에 있는 기판 운반 개구들과 공동으로, 상기 하우징과 상기 프로세스 도구 조립체 사이의 장비 경계(equipment boundary)를 한정하며, 상이한 프로세싱 도구 조립체들은 미리 결정된 상이한 특성들을 가지며 상기 하우징의 상기 맞결합 정합부에 교환가능하게 맞결합될 수 있다.
개시된 실시예의 하나 이상의 양상들에 따르면 상기 기판 프로세싱 장치는, 적어도 부분적으로 상기 하우징 안에 배치된 운반 장치를 포함한다. 상기 운반 장치는 베이스 링크 및 상기 베이스 링크 상에 장착된 적어도 하나의 운반 아암을 포함하고, 상기 적어도 하나의 운반 아암은, 상기 프로세스 도구 조립체의 이송 장치로의 기판들의 이송을 위해, 상기 기판 포트 개구들을 통해 상기 프로세스 도구 조립체 안으로 상기 기판들을 운반하도록 작동가능하다.
개시된 실시예들의 전술한 양상들 및 다른 특징들은, 첨부된 도면들과 연관지어 아래의 설명에서 설명되는 바, 상기 첨부된 도면들 중에서:
도 1은 개시된 실시예의 양상에 따른 프로세싱 장치의 개략도이다;
도 2a는 개시된 실시예의 양상에 따른 운반 장치의 개략도이다;
도 2b 내지 2d는 개시된 실시예의 양상에 따른 도 2a의 운반 장치의 부분들의 개략도들이다;
도 2e 및 2f는 개시된 실시예의 양상에 따른 운반 장치의 개략도들이다;
도 2g는 개시된 실시예의 양상에 따른 프로세싱 장치의 부분의 개략도이다;
도 2h-2j는 개시된 실시예의 양상에 따른 운반 장치의 부분의 개략도들이다;
도 3a 및 3b는 개시된 실시예의 양상에 따른 프로세싱 장치의 부분의 개략도들이다;
도 4a 및 4b는 개시된 실시예의 양상에 따른 프로세싱 장치의 부분의 개략도들이다;
도 5a, 5b, 5c 및 5d는 개시된 실시예의 양상들에 따른 상이한 프로세싱 장치 구성들의 개략도들이다;
도 6은 개시된 실시예의 양상에 따른 프로세싱 장치의 개략도이다;
도 6a는 개시된 실시예의 양상에 따른 운반 장치의 부분의 개략도이다;
도 7a는 개시된 실시예의 양상에 따른 운반 장치의 개략도이다;
도 7b는 개시된 실시예의 양상에 따른 도 7a의 운반 장치의 부분의 개략도이다;
도 7c-7e는 개시된 실시예의 양상에 따른 운반 장치의 부분의 개략도들이다;
도 8a, 8b 및 8c는 개시된 실시예의 양상에 따른 프로세싱 장치의 부분의 개략도들이다;
도 9a, 9b 및 9c는 개시된 실시예의 양상에 따른 프로세싱 장치의 부분의 개략도들이다;
도 10a, 10b, 10c 및 10d는 개시된 실시예의 양상들에 따른 상이한 프로세싱 장치 구성들의 개략도들이다;
도 11은 개시된 실시예의 양상들에 따른 프로세스 장치의 개략도이다;
도 11a-11c는 개시된 실시예의 양상들에 따른 프로세싱 장치의 부분의 개략도들이다;
도 12는 개시된 실시예의 양상들에 따른 프로세스 장치의 개략도이다;
도 13은 개시된 실시예의 양상들에 따른 프로세스 장치의 개략도이다;
도 13a는 개시된 실시예의 양상들에 따른 프로세스 장치의 부분의 개략도이다;
도 14는 개시된 실시예의 양상들에 따른 프로세스 장치의 개략도이다;
도 14a는 개시된 실시예의 양상들에 따른 프로세스 장치의 개략도이다;
도 15는 개시된 실시예의 양상들에 따른 프로세스 장치의 개략도이다;
도 16은 개시된 실시예의 양상들에 따른 프로세스 장치의 개략도이다;
도 17은 개시된 실시예의 양상들에 따른 프로세스 장치의 개략도이다;
도 18은 개시된 실시예의 양상들에 따른 프로세스 장치의 개략도이다;
도 19는 개시된 실시예의 양상들에 따른 프로세스 장치의 개략도이다;
도 19a는 개시된 실시예의 양상들에 따른 프로세스 장치의 개략도이다;
도 20a, 20b, 20c, 20d 및 20e는 개시된 실시예의 양상들에 따른 프로세싱 장치의 부분들의 개략도들이다;
도 21a, 21b 및 21c는 개시된 실시예의 양상들에 따른 프로세싱 장치의 개략도들이다;
도 22a, 22b 및 22c는 개시된 실시예의 양상들에 따른 프로세싱 장치의 개략도들이다;
도 23a 및 23b는 개시된 실시예의 양상들에 따른 프로세싱 장치의 개략도들이다;
도 24a, 24b, 24c 및 24d는 개시된 실시예의 양상들에 따른 프로세싱 도구의 부분의 개략도들이다;
도 25a 및 25b는 개시된 실시예의 양상들에 따른 운반 터널의 개략도들이다;
도 26a, 26b, 및 26c는 개시된 실시예의 양상들에 따른 운반 터널의 부분들의 개략도들이다;
도 27a 및 27b는 개시된 실시예의 양상들에 따른 운반 터널의 개략도들이다;
도 28a, 28b, 및 28c는 개시된 실시예들의 양상들에 따른 운반 터널의 부분들의 개략도들이다;
도 29는 개시된 실시예의 양상들에 따른 기판 운반 카트의 개략도이다;
도 30a 및 30b는 개시된 실시예의 양상들에 따른 기판 운반 카트의 개략도들이다;
도 31a, 31b 및 31c는 개시된 실시예의 양상들에 따른 프로세싱 장치의 부분들의 개략도들이다;
도 32는 개시된 실시예의 양상들에 따른 프로세싱 장치의 부분의 개략도이다;
도 33은 개시된 실시예의 양상들에 따른 프로세싱 장치의 부분의 개략도이다;
도 34a 및 34b는 개시된 실시예의 양상들에 따른 프로세싱 장치의 부분의 개략도들이다;
도 35a, 35b 및 35c는 개시된 실시예의 양상들에 따른 프로세싱 장치의 부분의 개략도들이다;
도 36a, 36b, 36c 및 36d는 개시된 실시예의 양상들에 따른 프로세싱 장치의 부분의 개략도들이다;
도 37은 개시된 실시예의 양상에 따른 운반 장치의 개략도이다.
개시된 실시예의 양상들에 따라 본 명세서에 설명된 프로세싱 장치는, 정지된 구동부를 이용하여, 순차적으로 선형 배치된(in a sequential linear arrangement) 적어도 2개의 프로세싱 스테이션들로 기판들의 운반을 가능하게 하는 하나 이상의 이송 로봇들을 포함한다. 개시된 실시예의 양상들은, 리니어 베어링들 또는 리니어 모터들의 이용이 없는 동시에 (상기 로봇이 진공 환경에서 이용되는 때에는) 상기 이송 로봇의 공통 베이스부(common base) 또는 구동부 내에 모두 유지되는 회전 축들을 위한 정적 진공 밀봉의 이용을 가능하게 하는 선형 로봇 아키텍처를 가능하게 한다. 또한 개시된 실시예의 양상들은, 정지된 베이스부를 갖는 하나 이상의 이송 로봇들을 이용하여, 직선적으로 배치 또는 클러스터링된 프로세싱 스테이션들과 (본 명세서에서 일반적으로 기판 유지 스테이션들이라고 불리는) 로드 록들의 사이에서의 기판들의 이송을 가능하게 한다. 개시된 실시예의 양상들이 도면들을 참조하여 설명될 것이지만, 그 개시된 실시예의 양상들은 많은 대안적 형태들로 실시될 수 있다는 점이 이해되어야 한다. 덧붙여, 임의의 적합한 크기, 형태 또는 유형의 요소들 또는 재료들이 이용될 수 있다.
도 1을 참조하면, 예를 들어 반도체 도구 스테이션(100)과 같은 프로세싱 장치가, 개시된 실시예의 양상에 따라 도시된다. 비록 반도체 도구가 도면들에서 도시되나, 본 명세서에 설명된 개시된 실시예의 양상들은 로봇 머니퓰레이터들을 채용하는 임의의 도구 스테이션 또는 용례에 적용될 수 있다. 이 양상에 있어, 상기 도구(100)는 설명의 목적으로, (세장형 이중 클러스터 이송 챔버로 도시된) 선형적 세장형 이송 챔버를 구비한 클러스터 유형 도구로 불릴 수 있는 것으로 도시되지만, 개시된 실시예들의 양상들은 임의의 적합한 도구 스테이션, 예컨대 2006년 5월 26일자 출원된 “Linearly Distributed Semiconductor Workpiece Processing Tool(선형적으로 분배되는 반도체 제조공정 제품 프로세싱 툴)”이라는 제목의 미국 특허출원 제11/442,511호에 설명된 것에 적용될 수 있는 바, 그 개시는 그 전체가 본 명세서에 참조 병합되어 있다. 상기 도구 스테이션(100)은 대기 프론트 엔드(101), 하나 이상의 진공 로드 록들(102) 및 진공 백 엔드(103)를 일반적으로 포함한다. 다른 양상들에서 상기 도구 스테이션(100)은 임의의 적합한 구성을 가질 수 있다. 상기 프론트 엔드(101), 로드 록(들)(102) 및 백 엔드(103)의 각각의 구성요소들은, 예컨대 클러스터링된 아키텍처 컨트롤과 같은 임의의 적합한 제어 아키텍처의 부분일 수 있는 컨트롤러(120)에 연결될 수 있다. 상기 제어 시스템은, “Scalable Motion Control System(스케일링 가능한 동작 제어 시스템)"이라는 제목의 2005년 7월 11일자 출원된 미국 특허출원 제11/178,615호(현재 미국 특허 제7,904,182호)에 개시된 것들과 같이, 하나의 주 컨트롤러(master controller), 클러스터 컨트롤러들 및 독립(autonomous) 리모트 컨트롤러들을 구비하고 있는 폐루프 컨트롤러일 수 있으며, 그 개시는 그 전체 내용이 본 명세서에 참조 병합되어 있다. 다른 양상들에서 임의의 적합한 컨트롤러 및/또는 제어 시스템이 활용될 수 있다.
개시된 실시예의 양상들에서 상기 프론트 엔드(101)는 일반적으로 적재 포트 모듈들(105), 및 예컨대 장비 프론트 엔드 모듈(EFEM)과 같은 소형 환경(mini-environment; 106)을 포함한다. 상기 적재 포트 모듈들(105)은 300mm 적재 포트들, 전방 개방 또는 저면 개방 박스(box)들/포드(pod)들 및 카세트(cassette)들에 대한 SEMI 표준 E15.1, E47.1, E62, E19.5 또는 E1.9 E15.1, E47.1, E62, E19.5 또는 E1.9에 따르는 BOLTS(box opener/loader to tool standard) 정합부(interface)들일 수 있다. 다른 양상들에서, 상기 적재 포트 모듈들은, 200mm, 300mm 또는 450mm 웨이퍼 정합부(interface)들, 또는 예컨대, 평면 패널 디스플레이들, 발광 다이오드들, 유기 발광 다이오드들 또는 태양 전지판들(solar arrays)을 위한 더 크고 작은 웨이퍼들 또는 평면 패널들과 같은 임의의 다른 적합한 기판 정합부들로서 구성될 수 있다. 이에 따라, 아래에서 더 상세하게 설명될 바와 같이 다른 구성요소들 및 결부된 특징들은, 상응하는 웨이퍼들 또는 제조공정 제품(workpiece)들 상에 또는 그것들과 함께 정합(interfacing) 또는 작동되도록 개별적으로(respectively) 구성될 수 있다. 비록 도 1에 3개의 적재 포트 모듈들이 도시되지만, 다른 양상들에서 임의의 적합한 수의 적재 포트 모듈들이 상기 프론트 엔드(101) 안으로 합체(incorporate)될 수 있다. 상기 적재 포트 모듈들(105)은, 고가식 운반 시스템(overhead transport system), 자동 유도 운반체(automatic guided vehicle)들, 인간 유도 운반체(person guided vehicle)들, 레일 유도 운반체들(rail guided vehicles) 또는 다른 임의의 적합한 운반 방법으로부터 기판 캐리어(carrier)들 또는 카세트들(110)을 받도록 구성될 수 있다. 상기 적재 포트 모듈들(105)은 적재 포트들(104)을 통해 소형 환경(mini-environment; 106)과 정합(interface)될 수 있다. 상기 적재 포트들(104)은 상기 기판 카세트들(110)과 상기 소형 환경(106) 사이에서 기판들의 통과가 가능하도록 할 수 있다.
상기 소형 환경(106)은 일반적으로 임의의 적합한 이송 로봇(113)을 포함한다. 개시된 실시예의 일 양상에서 상기 로봇(113)은, 예컨대 미국 특허 제6,002,840호에 설명된 바와 같이 트랙 상에 장착된 로봇(track mounted robot)일 수 있으며, 상기 미국 특허 제6,002,840호의 개시는 그 전체가 본 명세서에 참조 병합된다. 다른 양상들에서 상기 이송 로봇은, 아래에서 더 상세하게 설명될 진공 백 엔드(103) 내의 이송 로봇(130)과 실질적으로 유사할 수 있다. 상기 소형 환경(106)은, 예를 들어 다수의 적재 포트 모듈들 사이의 기판 이송을 위해, 제어되고 청정한 영역(zone)을 제공할 수 있다.
상기 진공 로드 록(102)은 상기 소형 환경(106) 및 상기 백 엔드(103) 사이에 배치되어 상기 소형 환경(106) 및 상기 백 엔드(103)에 연결될 수 있다. 상기 로드 록(102)은 일반적으로 대기 및 진공 슬롯 밸브들을 포함한다. 상기 슬롯 밸브들은, 상기 대기 프론트 엔드로부터 기판을 적재한 후에 상기 로드 록을 비우고, 질소와 같은 불활성 기체로 상기 록을 환기(venting)시킬 때 채용되는 환경적 격리를 제공할 수 있다. 상기 로드 록(102)은 프로세싱을 위해 요구되는 위치로 상기 기판의 기준점(fiducial)을 정렬하기 위한 정렬자(aligner) 및/또는 가열, 냉각 등과 같은 임의의 적합한 기판 프로세싱 특징부들을 포함할 수도 있다. 다른 양상들에서 상기 진공 로드 록은 상기 프로세싱 장치의 임의의 적합한 위치에 배치될 수 있으며 임의의 적합한 구성을 가질 수 있다. 도 11a-11c에 대해 아래에서 더 상세하게 설명될 바와 같이, 상기 로드 록(들)이 2차원적 배열(array)로 배치되거나 실질적으로 수직인 행(row)으로 적층되어 올려짐으로써(stacked above one another), 상기 도구(100)의 윤곽(footprint)을 증가시키지 않고 로드 록들의 수가 증가될 수 있다는 점이 주목된다.
상기 진공 백 엔드(103)는 이송 챔버(125), 일반적으로 프로세싱 스테이션(들)(140)이라고 불리는 하나 이상의 프로세싱 스테이션(들), 및 하나 이상의 이송 로봇(들)(130)을 일반적으로 포함한다. 상기 프로세싱 스테이션들은 도 11a-11c에 대해 아리에서 더 상세하게 설명될 바와 같이 2차원적 배열로 배치되거나 실질적으로 수직인 행(row)으로 적층되어 올려질 수 있다는 점이 주목된다. 상기 이송 로봇(130)은 아래에서 설명될 것이며, 상기 로드 록(102)과 상기 다양한 프로세싱 스테이션들(140) 사이에서 기판들을 운반하도록 상기 이송 챔버(125) 내에 배치될 수 있다. 상기 프로세싱 스테이션들(140)은 상기 기판들 상에 전기 회로나 다른 원하는 구조를 형성하도록 증착, 식각 또는 다른 유형들의 프로세스들을 통해 상기 기판들 상에 작용(operate)할 수 있다. 전형적인 프로세스들은, 플라즈마 식각(plasma etch)이나 다른 식각 프로세스들과 같이 진공을 사용하는 박막(thin film) 프로세스들, 화학 기상 증착(chemical vapor deposition; CVD), 금속 유기 화학 기상 증착(metal organic chemical vapor deposition; MOCVD), 플라즈마 기상 증착(plasma vapor deposition; PVD), 이온 주입과 같은 임플란테이션(implantation), 계측(metrology), 급속 열공정(rapid thermal processing; RTP), 건식 스트립 원자층 증착(atomic layer deposition; ALD), 산화/확산, 질소화물들의 형성, 진공 리소그래피, 에피택시(EPI), 와이어 본딩(wire bonder) 및 증발, 또는 진공 압력들을 이용할 수 있는 다른 박막 프로세스들을 포함하지만 이에 한정되지는 않는다. 상기 프로세싱 스테이션들(14)은, 기판들이 상기 이송 챔버(125)로부터 상기 프로세싱 스테이션들(140)로, 그리고 그 반대로 통과될 수 있도록 상기 이송 챔버(125)에 연결된다.
이제 도 2a, 2b, 2c 및 2d를 참조하면, 상기 이송 로봇(130)은 일반적으로 구동부(200), 장착 플랜지(mounting flange; 202) 및 이송 아암부(210)를 포함하는 바, 상기 장착 플랜지(202)는 대기 프론트 엔드(101) 또는 진공 백 엔드(103) 중 하나 안에 상기 이송 로봇(130)을 장착하도록 구성된다.
상기 이송 아암부(210)는 베이스 아암 링크 또는 붐(base arm link or boom; 220) 및 이송 아암(214)을 포함할 수 있으며, 상기 이송 아암(214)은 상기 베이스 아암 링크(220)에 장착된다. 상기 베이스 아암 링크(220)는 근위 단부에서 피봇 축(X), 원위 단부에서 피봇 축(SX)을 갖는 단일 링크로서 도시된다("근위" 및 "원위"의 단어들은 상기 언급된 기준 프레임(reference frame)에 대하여 상대적인 단어들이다). 상기 베이스 아암 링크(220)는 상기 피봇 축들 사이에서 실질적으로 강성이며 관절 조인트가 없으며, 본 명세서에서 설명 목적을 위해 모노링크(monolink)인 것으로 언급될 것이다. 본 명세서에 설명된 다른 아암 "링크들"은, 그것들 역시 모노링크들인 것으로 간주될 수 있다는 점에서 상기 베이스 아암 링크(220)와 실질적으로 유사한 점이 주목된다. 상기 베이스 아암 링크(220)는 임의의 적합한 길이(L) 및 구성을 가질 수 있다. 일 양상에서 (예컨대 미리 결정된 위치에서 기판의 정렬 특징부를 위치시키기 위한) 기판 정렬자(substrate aligner; 230)는, 기판들이 상기 이송 아암(214)에 의해 상기 정렬자(230)로, 그리고 상기 정렬자(230)로부터 이송될 수 있도록 하기 위하여, 임의의 적합한 위치에서 상기 베이스 아암 링크(220)에 장착될 수 있다.
상기 이송 아암(214)은 어깨 축(SX)에서 회전가능하게 상기 베이스 아암 링크(220)에 장착될 수 있다. 깨닫게 될 수 있으며 도 2d에서 도시되는 바와 같이, 상기 이송 아암은 상기 베이스 아암 링크(220)의 양 수평면(horizontal surface)(예컨대, "상단" 및 "하단"이, 상기 이송 아암이 상기 이송 챔버(TC)의 상단(TCT) 또는 하단(TCB)에 장착되었는지 여부에 따른 상대적인 용어들인 경우에 있어 상단 수평면 및/또는 하단 수평면, 도 2g 참조) 상에 장착될 수 있다. 오로지 예시적 목적으로, 도 2d에서 이송 아암(214)은 상기 베이스 아암 링크(220)의 상단에 장착된 것으로 도시되는 반면, 이송 아암(214')은 상기 베이스 아암 링크의 하단에 장착된 것으로 도시된다. 이송 아암들(214, 214') 중 하나 또는 두 이송 아암들 모두(214, 214')가 상기 베이스 아암 링크(220)에 장착될 수 있다는 점이 주목된다. 깨닫게 될 수 있는 바와 같이, 2개의 이송 아암들이 동일 베이스 아암 링크에 장착된 경우에, 상기 구동부(200)는 상기 베이스 아암 링크(220)를 회전시키기 위한 단일 구동 축 및 상기 2개의 이송 아암들 각각을 위한 2개의 구동 축들을 포함할 수 있으며, 여기에서 상기 개별의 이송 아암들의 이송 아암 링크들은, 아래에서 설명되는 것과 실질적으로 유사한 방식으로 개별의 구동 축들에 연결된다(여기에서, 하나의 베이스 아암 링크 상의 상기 2개의 이송 아암들이 구동되도록 적합한 수의 구동 샤프트들 및 트랜스미션들이 동축 구동 샤프트 구성에 추가된다). 다른 양상들에서 상기 이송 아암들은 임의의 적합한 수의 구동 축들에 의해 구동될 수 있다. 아래에서 설명되는 것과 실질적으로 유사한 방식으로 다수의 이송 로봇들도 단일 이송 챔버 안에 제공될 수 있다. 또한 깨닫게 될 수 있는 바와 같이, 2개 이상의 이송 아암들(및/또는 2개 이상의 이송 로봇들 - 도 2g, 2f, 13, 14 및 15-18 참조)이 이송 챔버 내에 배치되는 경우, 상기 이송 아암들/로봇들을 위한 컨트롤러, 예컨대 컨트롤러(120)는 하나의 아암/로봇의 작동이 상기 아암들/로봇들 중 다른 하나의 작동을 방해하지 않게끔 상기 이송 아암들/로봇들을 작동시키도록 구성될 수 있다.
상기 이송 아암(214)은, 선택적 순응성 관절 로봇(Selective Compliant Articulated Robot; SCARA) 아암들, 개구리 다리 아암들(frog leg arms), 립프로그 아암들(leapfrog arms), 좌우대칭적 아암들(bi-symmetric arms), 공전 기계적 스위치 유형 아암들(lost motion mechanical switch type arms), 또는 하나 이상 엔드 이펙터들을 구비한 다른 임의의 적합한 아암을 포함하지만 이에 한정되지는 않는 임의의 적합한 이송 아암일 수 있는 바, 여기에서 상기 아암은 2 자유도 구동기를 이용하여 구동될 수 있다. 다수의 이송 아암들이 제공된 때에 상기 엔드 이펙터들은, 수평으로 나란한 구성(horizontally side-by-side arrangement) 및/또는 수직으로 적층된 구성(vertically stacked arrangement)으로나 그것들의 임의의 조합으로, 단일 기판 또는 다수의 기판들을 유지하도록 구성될 수 있다. 개시된 실시예의 양상들과 함께 이용되기 위해 적합화되거나 이용될 수 있는 이송 아암들의 적합한 예시들은, (본 명세서의 위에서 참조 병합된) 미국 특허출원 제11/179,762호, 및 2008년 5월 8일자 출원된 미국 특허출원 제12/117,415호와, 미국 특허 제5,899,658호; 제5,720,590호; 제5,180,276호; 제5,743,704호; 제6,299,404호; 제5,647,724호; 제6,485,250호; 및 제7,946,800호에 설명되는 것들을 포함하며, 그것들의 개시는 그 전체가 본 명세서에 참조 병합된다. 다른 양상들에서 상기 이송 아암은 임의의 적합한 수의 자유도를 갖는 구동기에 의해 구동될 수 있다. 상기 이송 아암부는 일반적으로 본 명세서에서 이송 아암부(210)로 불릴 것이며, 상이한 이송 아암 구성들을 갖는 다양한 도면들에서 도시될 것이라는 점이 주목된다. 예를 들어 도 2a에서 상기 이송 아암(214)은, 상부 아암 링크(213), 팔꿈치 축(E)을 중심으로 회전가능하게 상기 상부 아암(213)에 결합된 전환 링크(212), 및 손목 축(W)을 중심으로 회전가능하게 상기 전방 아암 링크(212)에 결합된 엔드 이펙터(211)를 구비한 SCARA 유형 아암으로 도시되나, 위에서 언급된 바와 같이 상기 이송 아암은 2 자유도 및 하나 이상의 엔드 이펙터들을 갖는 임의의 적합한 유형의 아암일 수 있는 바, 예를 들어 여기에서 상기 엔드 이펙터의 회전은 상기 상부 아암 링크에 종속(slave)되어, 상기 아암의 신장 및 수축의 경로를 따를 수 있다. 다른 양상들에서 상기 이송 아암은 3 자유도를 가질 수 있는 바, 여기에서 상기 상부 아암 링크, 전방 아암 링크 및 엔드 이펙터 각각은 독립적으로 회전가능하다.
일 양상에서 상기 구동부(200)는, 예를 들어 하우징(201)을 포함할 수 있는 바, 상기 하우징(201)은, 동축 구동 샤프트 구성을 구동시키는 수평으로 오프셋된(horizontally offset) 구동 모터들 또는 동축 구동 모터들을 구비한 임의의 적합한 3-축 구동 시스템 또는 다른 임의의 적합한 구동 시스템을 수납(house)하도록 구성된다. 다른 양상들에서 상기 구동 모터들은 서로에 대하여 임의의 적합한 공간적 구성(spatial arrangement)을 가질 수 있다. 상기 구동부는, 축(X)을 중심으로 상기 베이스 아암 링크(220)를 회전 구동(rotationally driving)시키기 위한 구동 모터(1701MB), 어깨 축(SX)을 중심으로 상기 상부 아암 링크(213)를 회전 구동시키기 위한 구동 모터(1701MU), 및 상기 팔꿈치 축(E)을 중심으로 상기 전방 아암 링크(212)를 회전 구동시키기 위한 구동 모터(1701MF)를 포함할 수 있다. 다른 양상들에서, 상기 구동부(200)는, 임의의 적합한 수의 구동 모터들, 및 임의의 적합한 수의 상응하는 샤프트들을 상기 동축 구동 샤프트 구성 내에 포함할 수 있다.
깨닫게 될 수 있는 바와 같이, 일 구동 축은 상기 베이스 아암(220)을 회전 및/또는 신장시키는 데에 이용될 수 있는데 반하여, 다른 2개의 구동 축들은 상기 베이스 아암(220)과는 독립적으로 상기 이송 아암(214)을 신장, 수축 및 회전시키는 데에 이용될 수 있다. 상기 이송 아암이 3 자유도를 갖는 다른 양상들에서, 상기 구동부는, 임의의 적합한 구성을 갖는 4개의 구동 모터들을 포함할 수 있다(예컨대, 일 구동 축은 상기 베이스 아암(220)을 회전 및/또는 신장시키는 데에 이용될 수 있는 반면, 다른 3개의 구동 축들은 상기 베이스 아암(220)과는 독립적으로 상기 이송 아암(214)을 신장, 수축 및 회전시키는 데에 이용될 수 있다).
개시된 실시예의 양상들과 함께 이용되기 위해 적합화되거나 이용될 수 있는 구동 시스템들의 적합한 예시들은, 2005년 7월 11일자 출원된 미국 특허출원 제11/179,762호, 2011년 10월 11일자 출원된 미국 특허출원 제13/270,844호 및 2008년 6월 27일자 출원된 미국 특허출원 제12/163,996호, 미국 특허 제7,891,935호, 제6,845,250호, 제5,899,658호, 제5,813,823호 및 제5,720,590호, 및 2010년 10월 8일자 출원된 미국 특허가출원 제61/391,380호 및 2011년 5월 27일자 출원된 미국 특허가출원 제61/490,864호에 설명되는 것들을 포함하며, 그것들의 개시는 그 전체가 본 명세서에 참조 병합된다. 다른 양상들에서 상기 구동부는, 임의의 적합한 수의 구동 축들을 갖는 임의의 적합한 구동부일 수 있으며, 예컨대 상기 구동 모터들은 상기 이송 챔버의 벽들 안으로 일체화될 수 있으며, 예를 들어 상기 베이스 아암 링크(220)를 구동시키기 위하여 하모닉 구동기(또는 임의의 다른 적합한 구동기)가 배치되는 경우에, 하나 이상의 구동 모터들은, 상기 이송 아암(214)을 구동시키기 위해, 상기 아암 링크들 안에 배치될 수 있으며, 그리고/또는 상기 아암의 조인트들에 장착될 수 있는 바, 이는 아래에서 더 상세하게 설명될 바와 같으며, 2011년 7월 13일자 출원된 미국 특허가출원 제61/507,276호 및 2011년 7월 22일자 출원된 미국 특허가출원 제61/510,819호, 2011년 10월 11일자 출원된 미국 특허출원 제13/270,844호 및 미국 특허 제7,578,649호에 설명된 것들과 실질적으로 유사한 방식의 것이며, 그것들의 개시는 그 전체가 본 명세서에 참조 병합된다. 일 양상에서 상기 구동부(200)는 상기 이송 아암부(210)의 신장 및 수축의 축에 실질적으로 직각인 방향으로 상기 이송 아암부(210)를 선형적으로 이동시키기 위한 Z-축 구동기(Z-axis drive; 203)를 포함할 수도 있다. 본 명세서에 설명된 바와 같이, 상기 로드 록들 및 프로세싱 스테이션들이 서로 겹쳐 적층되는(stacked one above the other) 경우, 상기 Z-축 구동기(203)는, 상이한 레벨들의 적층된 로드 록들 및/또는 프로세싱 스테이션들로 기판을 이송하는 데에 충분한 통행(travel)을 제공하도록 구성될 수 있다. 상기 이송 아암부(210)가 안에서 작동하는 밀봉 또는 제어된 분위기(예컨대 상기 이송 챔버(125)의 밀봉된 환경 또는 상기 EFEM(106)의 제어된 환경)가 유지되는 동안, Z-축 움직임(화살표(299) 참고)을 가능하게 하도록 벨로즈(bellows) 또는 다른 적합한 유연성 밀봉 부재(250)가 상기 구동부(200)와 상기 장착 플랜지(202) 사이에 배치될 수 있다. 다른 양상들에서 상기 구동부(200)는 Z-축 구동기를 구비하지 않을 수 있다.
도 2b를 참조하면, 일 양상에서 상기 구동부(2200)의 모터들(201MB, 201MU, 201MF - 도 2d 참고)은, 내부 구동 샤프트(262), 중간 구동 샤프트(261) 및 외부 구동 샤프트(260)를 구비한 동축 구동 샤프트 구성을 구동하도록 구성될 수 있다. 구동 샤프트들의 회전 및 상응하는 아암 링크들을 제어하기 위하여 상기 구동 샤프트들의 회전을 추적(tracking)하고, 예컨대 컨트롤러(120)에 적합한 신호들을 보내기 위하여 상기 모터들 및/또는 구동 샤프트들과 함께 임의의 적합한 부호기(encoder)들이 제공될 수 있다. 하나 이상의 구동 모터들은 2011년 10월 11일자 출원된 미국 특허출원 번호 제13/270,844호에 실질적으로 유사한 하모닉 구동 모터일 수 있으며, 그 개시는 그 전체가 본 명세서에 참조 병합된다. 위에서 언급된 바와 같이 2개의 이송 아암들이 단일 베이스 아암 링크 상에 장착되는 경우에, 아래에서 설명되는 것들과 실질적으로 유사한 트랜스미션들(transmissions)을 통하여 상기 추가적 이송 아암을 구동하기 위하여 2개의 추가적 구동 샤프트들이 상기 동축 구동 샤프트 구성에 추가될 수 있다. 상기 외부 구동 샤프트(260)가 회전할 때 상기 베이스 아암 링크(220)가 그것과 함께 회전하도록 상기 외부 구동 샤프트(260)는 상기 베이스 아암 링크(220)에 결합될 수 있다. 일 양상에서 상기 베이스 아암 링크(220)는, 상기 어깨 축(SX)의 상기 축들(X)에 대한 실질적으로 360도 위치(360 degree placement)를 가능하게 하도록, 축(X)을 중심으로 한 실질적으로 무한한 회전(substantially infinite rotation)을 위해 구성될 수 있다. 상기 중간 구동 샤프트(261)가 회전할 때 제1 구동 축 풀리(first drive axis pulley 280)가 그것과 함께 회전하도록 상기 중간 구동 샤프트는 상기 제1 구동 축 풀리(280)에 결합될 수 있다. 상기 내부 구동 샤프트(262)가 회전할 때 제2 구동 축 풀리(281)가 그것과 함께 회전하도록 상기 내부 구동 샤프트(262)는 상기 제2 구동 축 풀리에 결합될 수 있다. 제2 동축 샤프트 구성은 상기 베이스 아암 링크(220)의 회전축(X)으로부터 원위의 베이스 아암 링크(220)의 단부에서 회전가능하게 적어도 부분적으로 상기 베이스 아암 링크(220) 안에 장착될 수 있다. 상기 제2 동축 샤프트 구성은 외부 구동 샤프트(271) 및 내부 구동 샤프트(270)를 포함한다. 제1 어깨 풀리(first shoulder pulley; 282)가 회전할 때 상기 내부 구동 샤프트(270)가 그것과 함께 회전하도록 상기 내부 구동 샤프트(270)는 상기 풀리(282)에 결합될 수 있다. 제2 어깨 풀리(second shoulder pulley; 283)가 회전할 때 상기 외부 구동 샤프트(271)가 그것과 함께 회전하도록 상기 외부 구동 샤프트는 상기 제2 어깨 풀리(283)에 결합될 수 있다. 상기 내부 구동 샤프트(270)(그것의 풀리(282)) 및 외부 구동 샤프트(271)(및 그것의 풀리들(282))는 상기 베이스 아암 링크로부터 임의의 적합한 방식으로 지지될 수 있으므로, 예컨대 하나 이상의 적합한 베어링들(SXB)에 의해서 지지될 수 있으므로, 그것들은 서로 독립적으로 회전가능하며 상기 베이스 아암 링크(220)에 독립하여 회전가능하다. 상기 제1 어깨 풀리(282)는, 예를 들어 벨트들, 밴드들, 기타 등등과 같은 임의의 적합한 트랜스미션(291)에 의해 상기 제1 구동 축 풀리(280)에 결합될 수 있으며, 그럼으로써 상기 내부 구동 샤프트(270)는, 상기 중간 구동 샤프트(261)에 상응하는 상기 구동부(200)의 모터에 의해 구동될 수 있다. 상기 제2 어깨 풀리(283)는 임의의 적합한 트랜스미션(290)에 의해 상기 제2 구동 축 풀리(281)에 결합될 수 있으며, 그럼으로써 상기 외부 구동 샤프트(271)는 상기 내부 구동 샤프트(262)에 상응하는 상기 구동부(200)의 모터에 의해 구동될 수 있는 바, 상기 임의의 적합한 트랜스미션(290)은 트랜스미션(291)과 실질적으로 유사할 수 있다. 일 양상에서 풀리 쌍들(280, 282 및 281, 283)은 각각 1 대 1(1:1) 구동비(drive ratio)를 가지는 반면, 다른 양상들에서 상기 풀리 쌍들은 임의의 다른 적합한 구동비를 가질 수 있다. 상기 어깨 축(SX)을 중심으로 상기 이송 아암(214)이 신장 및 수축 또는 회전되도록 하기 위하여 상기 외부 구동 샤프트(271) 및 내부 구동 샤프트(270)가 상기 이송 아암(214)에 임의의 적합한 방식으로 결합될 수 있다. 예를 들어 도 2a에 도시된 SCARA 아암에 대하여 상기 외부 샤프트(271)는 상기 상부 아암 링크(213)에 결합될 수 있으며, 상기 내부 샤프트(270)는 상기 전방 아암 링크(212)에 결합될 수 있는 바, 여기에서 상기 엔드 이펙터는 상기 상부 아암에 종속(slave)되어 상기 이송 아암(214)의 신장 및 수축의 축과 실질적으로 정렬된(aligned with) 채로 남을 수 있다. 상기 샤프트들(270, 271)의 결합 회전(combined rotation)은 (예컨대 약 360도를 초과하는) 실질적으로 무한한 회전을 가능하게 할 수 있으며, 혹은 상기 베이스 아암 링크(220)의 회전과는 독립적으로 상기 이송 아암(214)의 회전을 가능하게 할 수 있으며, 그럼으로써 상기 이송 아암(214)은 상기 베이스 아암(220)에 대하여 임의의 원하는 경로를 따라 신장할 수 있는 점이 주목된다.
도 2e를 참조하면, 다른 일 양상에서, 상기 구동 모터들(201MB, 201MU, 201MF)은 상기 이송 아암부(210)를 따라, 미국 특허 제7,578,649호에 설명된 것들과 실질적으로 유사한 방식으로 배치(distribute)될 수 있는 바, 상기 미국 특허 미국 특허 제7,578,649호의 개시는 그 전체가 본 명세서에 참조 병합된다. 예를 들어 (하모닉 구동 모터일 수 있는) 단일 모터(201MB)가 상기 베이스 아암 링크(220)를 회전 구동시키기 위해 축(X)을 중심으로 또는 상기 축(X)에 인접하여 배치될 수 있다. 상기 이송 아암(214)의 상부 아암 링크(213)를 구동시키기 위한 모터(201MU)는, 상기 상부 아암 링크(213)를 실질적으로 직접 구동시키기 위하여(또는 임의의 적합한 트랜스미션을 통해 구동시키기 위하여) 상기 어깨 축(SX)에서 상기 베이스 아암 링크(220) 상에 배치될 수 있다. 상기 이송 아암(214)의 전방 아암 링크(212)를 구동시키기 위한 모터(201MF)는, 실질적으로 상기 전방 아암 링크(212)를 직접 구동시키기 위하여(또는 임의의 적합한 트랜스미션을 통해 구동시키기 위하여) 상기 팔꿈치 축(E)에서 상기 상부 아암 링크(213) 상에 배치될 수 있다. 깨닫게 될 수 있는 바와 같이 일 양상에서 상기 엔드 이펙터(211)는 상기 상부 아암에 종속될 수 있는 반면에, 다른 일 양상에서는 상기 엔드 이펙터(211)를 독립적으로 회전시키기 위하여 추가적 구동 모터가 임의의 적합한 위치에서 제공될 수 있다.
도 2h, 2i 및 2j를 참조하면, 상기 베이스 아암 링크(220)를 회전 구동시키기 위한 (하모닉 구동 모터일 수 있는) 구동 모터(201MB)가 위에서 설명된 바와 같이 상기 축(X)을 중심으로 또는 상기 축(X)에 인접하여 배치될 수 있다. 상기 이송 아암(214)의 전방 아암 링크(212) 및 상기 상부 아암 링크(213)를 회전 구동시키기 위한 모터들(201MU 및 201MF)은 모터 모듈(201M) 내에 포함될 수 있는 바, 상기 모터 모듈(201M)은, 상기 베이스 아암 링크(220)의 부분을 형성하기 위하여 상기 베이스 아암 링크(220)의 단부에 제거가능하게(removably) 장착된다(실질적으로 상기 베이스 아암 링크(22)와 일렬로 늘어선다). 상기 모터 모듈(201M)은 정합부(201MS)를 구비한 하우징(201MH)을 포함할 수 있다. 또한 상기 모터 모듈(201M)은, (위에서 설명된 바와 같은) 상기 모터 모듈의 내부의 적어도 일부분들(portions)을 밀봉하기 위하여 그리고 상기 모터 모듈에 의해 발생되는 임의의 입자들이 상기 모터 모듈 안에 배치된 기판들 및 프로세싱 환경을 오염시키는 것을 실질적으로 방지하기 위하여, 예를 들어 자성유체 밀봉(ferro-fluidic seal)들과 같은 임의의 적합한 밀봉들(201SS) 및 차폐물(shields)(미도시) 및 커버들을 포함할 수 있다. 상기 정합부(201MS)는 임의의 적합한 방식으로 상기 모터 모듈(201M)을 상기 베이스 아암 링크(220)에 제거가능하게 장착하기 위하여 구성될 수 있다. 일 양상에서 임의의 적합한 밀봉(들)(289)이 상기 정합부(201MS)와 상기 베이스 아암 사이에 제공될 수 있으며, 그럼으로써 상기 모터 모듈(201M)의 내부의 적어도 일부분이 상기 베이스 아암(220)의 내부와 실질적으로 동일한 압력 및 분위기(atmosphere)에서 유지될 수 있는 바, 아래에서 설명될 바와 같다. 이 양상에서 상기 모터 모듈은, 동축 샤프트 구성의 개별의 샤프트들(270’, 271’)을 구동시키기 위하여 서로 겹쳐져(one above the other) 동축으로 배치된 모터들(201MU 및 201MF)을 포함한다. 모터(201MU)는 상기 하우징(201MH)에 장착된 고정자(201MUS) 및 상기 샤프트(271’)에 장착된 회전자(201MUR)를 포함할 수 있다. 모터(201MF)는 상기 하우징(201MH)에 장착된 고정자(201MFS) 및 샤프트(270’)에 장착된 회전자(201MFR)를 포함할 수 있다. 진공 환경 내에서 상기 모듈(201M)이 이용될 수 있도록, 상기 회전자들이 배치되는 환경으로부터 상기 고정자들이 배치되는 환경을 밀봉하기 위하여, 상기 고정자들(201MUS, 201MFS) 각각을 위하여 밀봉들 또는 슬리브들(seals or sleeves; 245)이 제공될 수 있는 바, 여기에서 상기 구동 샤프트들 및 회전자들은 진공 환경 내에 배치되고 고정자들(201MUS, 201MFS)은 상기 진공 환경 외측에 배치된다. 깨닫게 될 수 있는 바와 같이, 상기 모듈(201M)이 대기 환경(atmospheric environment) 내에서 이용되는 경우에 상기 밀봉들(245)은 제공될 필요가 없다.
상기 샤프트(270’)는 상기 내부 샤프트일 수 있으며, 임의의 적합한 베어링들(241)을 통해 상기 하우징(201MH)에 의해 회전가능하게 지지될 수 있다. 상기 샤프트(271’)는 상기 외부 샤프트일 수 있으며, 임의의 적합한 베어링들(242)에 의해 상기 하우징(201MH) 내에서 회전가능하게 지지될 수 있다. 상기 외부 샤프트(271’)의 베어링들(242)이 임의의 적합한 방식으로 상기 내부 샤프트(270’)의 베어링들(241)에 의해 지지될 수 있다는 점이 주목된다(예컨대 상기 외부 샤프트는 상기 내부 샤프트 베어링들에 결합된다). 그러한 지지 구성(support arrangement)의 일 예시는 2012년 3월 12일자 출원된 미국 특허출원 번호 제13/417,837호에 제공되며, 그 개시는 그 전체가 본 명세서에 참조 병합된다. 상기 내부 샤프트(270’)로 상기 외부 샤프트(271’)를 지지함으로써, 상기 샤프트들(270’, 271’)의 정렬(alignment)이 유지됨으로 인해, 상기 모터 모듈(201M)이 모듈식으로 되는 것과 제거가능하게 되는 것이 가능해지는 바, 상기 모터 모듈(201M)이 상기 베이스 아암 링크(220)에 장착되는 때에 실질적으로 상기 샤프트들이 정렬되어야 할 필요는 없다.
임의의 적합한 부호기들(240A, 240B)이 상기 샤프트들(270’, 271’)의 회전 움직임을 추적하기 위하여 제공되어 상기 하우징(201MH)(및 상기 구동 샤프트들에 장착된 부호기 트랙들(encoder tracks))에 적합하게 장착될 수 있다. 상기 부호기들(240A, 240B)은, 예컨대 컨트롤러(120)와 같은 적합한 컨트롤러에 연결될 수 있는 바, 이는 개별의 구동 샤프트들 및 아암 링크들의 회전을 제어하기 위하여 상기 컨트롤러에, 적합한 부호기 신호들을 송신하기 위한 것이다. 깨닫게 될 수 있는 바와 같이, 예를 들어 상기 부호기들(240A, 240B) 및 상기 모터들(201MU, 201MF)을 위한 적합한 제어 와이어들(control wires)이 상기 컨트롤러(120)에의 연결을 위하여 통과할 수 있도록 하기 위하여 상기 하우징(201MH)은 상기 정합부(201MS)를 통한 통공을 포함할 수 있다. 위에서 언급된 바와 같이 상기 베이스 아암 링크(220)의 내부는, 상기 와이어들의 상기 베이스 아암 링크(220)를 통한 상기 컨트롤러(120)에의 통과를 가능하게 하는 비-진공 환경으로서 유지될 수 있다. 다른 양상들에서 상기 부호기들 및 모터들은 임의의 적합한 무선 연결을 통하여 상기 컨트롤러에 연결될 수 있다.
도 3a 및 3b를 참조하면, 프로세싱 장치의 일부분이 개시된 실시예의 양상에 따라 도시된다. 여기에서 상기 이송 챔버(126)는 이송 챔버(125)와 실질적으로 유사한 선형적 세장형 이송 챔버이지만, 이송 챔버(126)가 이송 챔버(125)와 다른 프로세싱 스테이션(140) 구성을 가지도록 구성된다. 예를 들어 상기 이송 챔버(126)의 양 단부들은 실질적으로 동일함으로써 각각의 단부가 2개의 프로세싱 스테이션들(140A, 140B) 또는 2개의 로드 록들(102A, 102B)(또는 그것들의 조합)과 정합할 수 있는 반면에, 상기 이송 챔버(125)의 단부들은 서로 상이함으로써 일 단부는 (도 1에 도시되는) 2개의 로드 록들 또는 (미도시된) 2개의 프로세스 모듈들과 정합할 수 있으며 다른 단부는 3개의 프로세스 모듈들(140A, 140B, 140C) 또는 하나의 로드 록(도 5b 참조)과 정합하도록 구성된다. 다른 양상들에서 상기 이송 챔버들은, 임의의 적합한 수의 프로세스 모듈들 및/또는 로드 록들을 임의의 적합한 배치(arrangement)로 부착시키기 위한 임의의 적합한 구성을 가질 수 있다는 점이 이해되어야 한다. 개시된 실시예의 도 1, 3a 및 3b에 도시된 양상들에 있어서 상기 이송 챔버(125, 126)는 충분한 길이이며, 따라서 2개의 프로세스 모듈들(140)은 상기 이송 챔버(125, 126)의 각각의 측방향 측부(lateral side) 상에 선형적으로 배치된다. 구동 회전축(X)이 프로세스 모듈들(140S1, 140S2 및 140S3, 140S4) 안으로의 기판 이송 경로들(TP) 사이에서 실질적으로 배치되도록 상기 이송 로봇(130)이 상기 이송 챔버(125, 126) 안에 배치될 수 있다. 상기 베이스 아암 링크(220)가 제1 방향으로 회전되는 때에 상기 어깨 축(SX)이 상기 이송 챔버(125, 126) 내의 지점(399)에서 배치되도록, 임의의 적합한 거리만큼 구동 축(X)이 상기 이송 챔버(125, 126)의 중심선(centerline; CL)으로부터 오프셋(offset)될 수도 있다. 지점(399)은, 예를 들어 프로세싱 스테이션들(140A, 140B, 140S1, 140S3) 안으로의 이송 경로들이 교차하는 곳에 배치될 수 있으며, 또는 환언하면 도 3a의 챔버(126)에 대하여 프로세싱 스테이션들(140A, 140B, 140S1, 140S3)에 의해 형성된 클러스터의 중심 또는 도 1의 챔버(125)에 대하여 프로세싱 스테이션들(140A-140D)에 의해 형성된 클러스터의 중심에 배치될 수 있다. 상기 베이스 아암 링크가 제2 방향으로 회전되는 때에 상기 어깨 축(SX)은 상기 이송 챔버 내에 지점(398)에서 배치될 수 있다. 상기 지점(398)은, 예를 들어 프로세싱 스테이션들(140S2, 140S4) 및 로드 록들(102A, 102B) 안으로의 이송 경로들이 교차하는 곳에 배치될 수 있으며, 또는 환언하면 프로세싱 스테이션들(140S2, 140S4) 및 로드 록들(102A, 102B)에 의해 형성된 클러스터의 중심에 배치될 수 있다. 다른 양상들에서 상기 구동부(200)는 상기 이송 챔버(125, 126) 내에 임의의 적합한 위치에서 배치될 수 있다.
도 4a 및 4b에는, 상기 이송 아암(214)의 엔드 이펙터가 예를 들어 상기 프로세싱 스테이션들(140S2, 140S4) 및 로드 록들(102A, 102B) 각각에 접근할 수 있게끔 상기 어깨 축(SX)이 지점(398)에서 배치되도록, 위치된 상기 베이스 아암 링크(220)가 도시된다. 오로지 예시적 목적을 위해, 이중 블레이드(dual blade)(이중 단부로 된(double ended)) 엔드 이펙터를 구비하는 SCARA 유형 아암으로서 상기 이송 아암(214)이 도 4a에 도시되는 반면 도 4b에서는 상기 이송 아암(214)이 단일 블레이드(single blade) 엔드 이펙터를 구비한 SCARA 유형 아암으로서 도시되는 점이 주목된다. 다른 양상들에서 상기 이송 아암(214)은 임의의 적합한 구성을 가질 수 있다. 일 양상에서는 상기 상부 아암 링크 및 전방 아암 링크 각각의 독립적인 회전에 의해 상기 이송 아암이 상기 어깨 축(SX)의 대향하는 측부들 상에서 연장되는 것이 가능해질 수 있으며, 그럼으로써 상기 어깨 축(SX)을 중심으로 한 상기 이송 아암(214)의 회전 없이 일 단위로서(as a unit) 엔드 이펙터(EE1)가 프로세싱 스테이션(140S4)에 접근할 수 있고 엔드 이펙터(EE2)가 프로세싱 스테이션(140S2)에 접근할 수 있는 점도 주목된다. 상기 베이스 아암(220)에 상대적인 상기 이송 아암(214)의 독립적인 회전에 의해 일 단위로서 어깨 축(SX)을 중심으로 한 상기 이송 아암(214)의 회전이 가능해질 수 있으며, 그럼으로써 엔드 이펙터(EE1)가 프로세싱 스테이션(140S2)에 접근할 수 있으며 엔드 이펙터(EE2)가 프로세싱 스테이션(140S4)에 접근할 수 있다는 점도 주목된다. 깨닫게 될 수 있는 바와 같이 기판들의 신속한 맞교환(fast swapping)은, 상기 프로세싱 스테이션들 중 하나 안으로 하나의 엔드 이펙터를 삽입함, 상기 어깨 축(SX)을 중심으로 상기 이송 아암을 회전시킴, 그리고 그 후에 상기 프로세싱 스테이션들 중 상기 하나 안으로 다른 엔드 이펙터를 삽입함에 의해서도 이루어질 수 있다. 마찬가지로 도 4b를 참조하면 상기 베이스 아암(220)에 상대적인 상기 이송 아암(214)의 독립적인 회전에 의해 일 단위로서 어깨 축(SX)을 중심으로 한 상기 이송 아암(214)의 회전이 가능해질 수 있으며, 그럼으로써 상기 단일 블레이드 SCARA 아암의 엔드 이펙터(EE3)는 두 프로세싱 스테이션들 모두(140S2, 140S4)에 접근할 수 있다. 본 명세서에 설명된 바와 같이 상기 이송 로봇들의 구동부는 3 자유도를 정의하는 3개의 독립적인 회전축들을 포함한다. 상기 구동부의 1 자유도는 상기 이송 챔버 내에 적어도 하나의 이송 아암을 운반하기 위하여 상기 적어도 하나의 베이스 아암을 수평으로 이동시키며, 상기 구동부의 2 자유도는, 상기 적어도 하나의 이송 아암을 신장시키고 상기 적어도 하나의 이송 아암을 수축시키고 상기 2개의 엔드 이펙터들을 맞교환하도록 상기 적어도 하나의 이송 아암을 구동시킨다.
도 5b 및 5c를 참조하면 상기 이송 아암(214)은 이중 아암 SCARA 이송 아암으로서 도시된다. 이 양상에서 상기 이중 아암 SCARA 이송 아암은, 예를 들어 기계적 스위치 또는 공전 메커니즘(lost motion mechanism)을 이용하는, (예컨대 샤프트들(270, 271)을 통한) 2개의 구동 모터들로써 독립적으로 구동될 수 있으며, 그 방식은 미국 특허 번호 제7,946,800호 및 2008년 5월 8일자 출원된 미국 특허출원 번호 제12/117,415호에 설명된 것과 실질적으로 유사한 방식인 바, 그것들의 개시는 그 전체가 본 명세서에 참조 병합된다. 예를 들어 상기 구동 샤프트들(270, 271) 중 제1 샤프트(first one)는 일 단위로서 상기 어깨 축(SX)을 중심으로 상기 이중 아암 SCARA 이송 아암을 회전시키기 위하여 상기 운반 아암의 하우징에 연결될 수 있는 반면, 상기 구동 샤프트들(270, 271) 중 제2 샤프트(second one)는 상기 기계적 스위치를 통하여 두 아암들 모두에 결합됨으로써, 일 방향으로의 상기 제2 구동 샤프트(270, 271)의 회전은 제2 아암이 실질적으로 수축된 구성으로 남아 있는 동안에 상기 아암들 중 제1 아암이 신장되도록 하며, 반대 방향으로의 상기 제2 구동 샤프트(270, 271)의 회전은 제1 아암이 실질적으로 수축된 구성으로 남아 있는 동안에 상기 제2 아암이 신장되도록 하게 된다. 깨닫게 될 수 있는 바와 같이, 상기 제1 구동 샤프트 및 제2 구동 샤프트(270, 271)의 실질적인 동시 회전(substantially simultaneous rotation)을 통해, 일 단위로서 상기 어깨 축(SX)을 중심으로 한 상기 이중 아암 SCARA 이송 아암의 회전이 제공될 수 있다. 상기 엔드 이펙터들이 임의의 적합한 방식으로 상부 아암에 종속될 수 있다는 점이 주목된다.
다른 일 양상에서 상기 이중 SCARA 이송 아암이 2개의 모터들에 의해 구동될 수 있으며, 여기에서 상기 제1 SCARA 아암의 상부 아암 및 상기 제2 SCARA 아암의 전방 아암은 샤프트(270)에 구동적으로 결합(drivingly coupled)되며(즉 공통 구동 모터), 상기 제2 SCARA 아암의 상부 아암 및 상기 제1 SCARA 아암의 전방 아암은 샤프트(271)에 구동적으로 결합된다(즉 공통 구동 모터). 동일 방향으로의 상기 샤프트들(270, 271)의 회전은 일 단위로서 상기 어깨 축(SX)을 중심으로 한 상기 이중 아암 SCARA 이송 아암의 회전을 야기할 수 있으며, 반대 방향들로의 상기 샤프트들(270, 271)의 회전은 상기 아암들의 신장 또는 수축을 야기할 수 있는 바, 그 방식은 2011년 11월 10일자 출원된 미국 특허출원 제13/293,717호에 설명된 것과 실질적으로 유사한 방식이며, 미국 특허출원 제13/293,717호의 개시는 그 전체가 본 명세서에 참조 병합된다. 상기 엔드 이펙터들이 임의의 적합한 방식으로 상부 아암에 종속될 수 있다는 점이 주목된다.
또 다른 일 양상에서 상기 이중 아암 SCARA 이송 아암은, 샤프트들(270, 271) 및 하나의 추가적 샤프트(미도시)를 통하여 3개의 구동 모터들을 이용하여 구동될 수 있는 바(예컨대 이 경우에 상기 구동부는 임의의 Z-축 구동 축과는 독립적인 4개의 구동 축들을 갖는다), 그 방식은 미국 특허 번호 제6,485,250호 및 2012년 3월 12일자 출원된 미국 특허출원 번호 제13/417,837호에 설명된 것과 실질적으로 유사한 방식이며, 그것들의 개시는 그 전체가 본 명세서에 참조 병합된다.
도 6 및 6a을 참조하면, 상기 이송 아암(214)은 좌우 대칭적 개구리 다리(bi-symmetric frog leg) 이송 아암으로서 도시된다. 상기 개구리 다리 이송 아암은 구동 아암 링크들(drive arm links; 651, 652) 및 구동되는 아암 링크들(driven arm links; 661-664)을 포함할 수 있다. 상기 구동되는 아암 링크들(661, 664)은 엔드 이펙터(EE4)를 상기 구동 아암 링크들(651, 652)에 연결한다. 상기 구동되는 아암 링크들(662, 663)은 엔드 이펙터(EE5)를 상기 구동 아암 링크들(651, 652)에 연결한다. 구동 아암 링크(651)가 임의의 적합한 방식으로 샤프트(270)(도 2b)에 결합되고 구동 아암 링크(652)가 임의의 적합한 방식으로 샤프트(271)(도 2b)에 결합될 수 있으며, 그럼으로써 반대 방향들로의 상기 구동 샤프트들의 회전이 상기 엔드 이펙터(EE4)의 예컨대 프로세싱 스테이션(140C)으로의/으로부터의 신장 및 수축, 그리고 엔드 이펙터(EE5)의 예컨대 프로세싱 스테이션(140G)으로의/으로부터의 신장 및 수축을 야기하게 되는 바, 그 방식은 예를 들어 미국 특허 번호 제5,899,658호 및 제5,720,590호에 설명된 것과 실질적으로 유사한 방식이며, 그것들의 개시는 그 전체가 본 명세서에 참조 병합된다. 동일 방향으로의 상기 샤프트들(270, 271)의 회전은 어깨 축(SX)을 중심으로 한 상기 개구리 다리 이송 아암의 회전을 야기할 수 있으며, 그럼으로써 상기 구동 샤프트들의 반대 방향들로의 그 이상의 회전이 상기 엔드 이펙터(EE5)의 예컨대 프로세싱 스테이션(140C)으로의/으로부터의 신장 및 수축, 그리고 엔드 이펙터(EE4)의 예컨대 프로세싱 스테이션(140G)으로의/으로부터의 신장 및 수축을 야기하게 되는 바, 그 방식은 예를 들어 미국 특허 번호 제5,899,658호 및 제5,720,590호에 설명된 것과 실질적으로 유사한 방식인 점이 주목된다. 깨닫게 될 수 있는 바와 같이, 기판들의 신속한 맞교환은 상기 프로세싱 스테이션들 중 하나 안으로 하나의 엔드 이펙터를 삽입함, 상기 어깨 축(SX)을 중심으로 상기 이송 아암을 회전시킴, 그리고 그 후에 상기 프로세싱 스테이션들 중 상기 하나 안으로 다른 엔드 이펙터를 삽입함에 의해서도 이루어질 수 있다.
이제 도 5a, 5b 및 5c를 참조하면, 신장(elongated) 이중 클러스터 이송 챔버들을 포함하는 상이한 구성들의 프로세싱 장치가 개시된 실시예의 양상들에 따라 도시된다. 몇몇 양상들에서 상기 프로세싱 장치는 도 11a-11c에 대하여 설명된 바와 같이 다수 레벨들의 프로세싱 스테이션들 및/또는 로드 록들(예컨대 서로 겹쳐져 배치됨)을 포함할 수 있으며, 그럼으로써 프로세싱 스테이션들 및/또는 로드 록들의 수는 상기 프로세싱 장치의 윤곽을 증가시키지 않고 실질적으로 증가될 수 있다는 점이 또한 주목된다. 도 5a에는 도 1에 도시된 것과 실질적으로 유사한 단일 이송 챔버 구성이 도시되지만, 도 5a에서 이송 챔버(126)는 이송 챔버(125)와 상이한 프로세싱 스테이션 구성을 갖는 것으로 도시된다(예컨대 이송 챔버(125)의 3개의 프로세싱 스테이션들 대신에 2개의 프로세싱 스테이션들이 상기 이송 챔버의 단부에서 배치된다). 도 5b에는 탠덤(tandem) 이송 챔버 구성이 도시되는 바, 여기에서 2개의 이송 챔버들(125)은, 합쳐진(joined) 이송 챔버들 내의 환경들이 서로로부터 선택적으로 밀봉될 수 있게끔, 단일 로드 록(502)에 의해 함께 결합된다. 다른 양상들에서 상기 2개의 이송 챔버들은 상기 이송 챔버들 내의 환경들이 서로 소통되는 임의의 적합한 방식으로 연결될 수 있다. 도 5c에는 또 다른 일 구성이 도시되는 바, 여기에서 2개의 이송 챔버들(126)은, 합쳐진 이송 챔버들 안의 환경들이 서로로부터 선택적으로 밀봉될 수 있도록 2개의 로드 록들(502A, 502B)에 의해 함께 결합된다. 다른 양상들에서 상기 2개의 이송 챔버들은 상기 이송 챔버들 안의 환경들이 서로 소통되는 임의의 적합한 방식으로 연결될 수 있다. 깨닫게 될 수 있는 바와 같이 임의의 적합한 수의 이송 챔버들(125, 126)은, 임의의 적합한 길이 및 구성의 프로세스 모듈들, 로드 록들, EFEM들을 구비한 결합 이송 챔버를 형성하는 임의의 적합한 방식으로, 임의의 적합한 수의 로드 록들을 통하여 서로에 결합될 수 있다. 예를 들어 도 5d를 참조하면, 결합된 선형적 세장형 이송 챔버를 형성하도록 3개의 이송 챔버들(126)이 함께 결합됨으로써 상기 결합된 선형적 세장형 이송 챔버의 각각의 단부는 개별의 소형 환경(mini-environment)(EFEM)(106A, 106B)을 갖지만, 도 5b 및 5c에 도시된 것과 실질적으로 유사한 방식으로, 상기 이송 챔버들(125)이 서로 결합되거나 이송 챔버들(126)과 함께 서로 결합되어, 개별의 소형 환경들(106A, 106B)을 갖는 단부들을 구비한 결합된 선형적 세장형 이송 챔버를 형성할 수 있는 점이 이해되어야 한다. 이 양상에서 기판들은 소형 환경들(106A, 106B) 중 하나를 통하여 상기 프로세싱 장치의 일 단부에서 상기 프로세싱 장치 안으로 도입될 수 있으며 소형 환경들(106A, 106B) 중 다른 하나를 통하여 상기 프로세싱 장치의 다른 단부에서 상기 프로세싱 장치로부터 제거될 수 있다. 깨닫게 될 수 있는 바와 같이 소형 환경들(106A, 106B)과 실질적으로 유사한 소형 환경이 상기 프로세싱 스테이션들(140) 중 하나를 대체할 수 있으며, 그럼으로써 상기 결합된 선형적 세장형 이송 챔버의 단부들 사이에서 기판들이 상기 프로세싱 장치로 도입되거나 상기 프로세싱 장치로부터 제거될 수 있다. 이와 유사하게 도 1 및 5a에 도시된 것과 같은 단일 선형적 세장형 이송 챔버를 구비한 프로세싱 장치는, 도 5d에 대하여 설명된 것과 실질적으로 유사한 방식으로 상기 챔버(125, 126)의 단부들 사이에 또는 상기 챔버(125, 126)의 각각의 단부에서 배치되는 소형 환경을 가질 수 있다.
이제 도 6을 참조하면, 이 양상에서 도구(600)는, 선형적 세장형 이송 챔버(625)(신장 삼중 클러스터 이송 챔버로서 도시되는 바, 예컨대 하나의 클러스터는 프로세싱 스테이션들(140C-140G)에 의해 형성되며, 하나의 클러스터는 프로세싱 스테이션들(140B 및 140H)에 의해 형성되고, 하나의 클러스터는 프로세싱 스테이션들(140A, 140I) 및 로드 록들(102A, 102B)에 의해 형성된다)를 구비한 클러스터 유형 도구로서 도시된다. 상기 도구(600)는 도구 스테이션(100)과 실질적으로 유사할 수 있으며, 같은 특징부들은 같은 참조 번호를 가진다. 몇몇 양상들에서 상기 도구(600)(및 도 8a-9c에 도시된 도구의 일부분들)는 도 11a-11c에 대하여 설명된 바와 같이 다수 레벨들의 프로세싱 스테이션들 및/또는 로드 록들(예컨대 서로 겹쳐져 배치됨)을 포함할 수 있으며, 그럼으로써 상기 프로세싱 장치의 윤곽을 실질적으로 증가시키지 않고 프로세싱 스테이션들 및/또는 로드 록들의 수가 증가될 수 있다는 점이 또한 주목된다.
일반적으로 상기 진공 백 엔드(103)는 이송 챔버(625), 일반적으로 프로세싱 스테이션(들)(140)이라고 불리는 하나 이상의 프로세싱 스테이션(들)(140A-140I), 및 이송 로봇(630)을 포함한다. 상기 이송 로봇(630)은, 아래에서 설명될 것인 바, 상기 이송 챔버(625) 안에 배치되어 상기 로드 록(들)(102)과 다양한 프로세싱 스테이션들(140) 사이에서 기판들을 운반할 수 있다. 일 양상에서 상기 소형 환경(106)의 이송 로봇(113)은 이송 로봇(630)과 실질적으로 유사할 수 있는 반면에 다른 양상들에서 상기 이송 로봇(113)은 임의의 적합한 이송 로봇일 수 있는 점이 주목된다.
이제 도 7a 및 7b를 참조하면 일반적으로 상기 이송 로봇(630)은 구동부(700) 및 이송 아암부(710)를 포함하며, 상기 구동부(700)는 하우징(701), 장착 플랜지(702)를 구비하고, 상기 장착 플랜지(702)는 대기 프론트 엔드(101) 또는 진공 백 엔드(103) 중 하나 안에 상기 이송 로봇(630)을 장착하기 위하여 구성된다. 상기 이송 아암부(710)는 베이스 아암 또는 관절식 붐(articulated boom)(720), 및 어깨 축(SX)에서 회전가능하게 상기 베이스 아암(720)에 장착된 이송 아암(214)을 포함할 수 있다. 상기 베이스 아암(720)은 상부 아암 링크(721), 및 상기 상부 아암 링크(721)에 회전가능하게 결합된 전방 아암 링크(722)를 포함할 수 있다. 일 양상에서 상기 베이스 아암(720)은, 위에서 설명된 것과 실질적으로 유사한 방식으로 상부 아암 링크(721) 또는 전방 아암 링크(721) 중 하나에 장착된 정렬자(aligner; 230)(도 2c)를 포함할 수 있다. 상기 이송 아암(214)은, 위에서 설명된 것과 실질적으로 유사할 수 있고 회전가능하게 상기 베이스 아암(720)의 상기 전방 아암 링크(722)에 결합될 수 있다는 점이 주목된다. 상기 이송 아암은 일반적으로 본 명세서에 이송 아암(214)이라고 불릴 것이며 상이한 이송 아암 구성들을 가지는 것으로 다양한 도면들에서 도시될 것이라는 점이 또한 주목된다. 예를 들어 도 7a에서 상기 이송 아암(214)은, 상부 아암 링크(213), 회전가능하게 상기 상부 아암(213)에 결합된 전방 아암 링크(212), 및 회전가능하게 상기 전방 아암 링크(212)에 결합된 엔드 이펙터(211)를 구비한 SCARA 유형 아암으로서 도시되지만, 위에서 언급된 바와 같이 상기 이송 아암(214)은 2개의 자유도들 및 하나 이상의 엔드 이펙터들을 구비한 임의의 적합한 유형의 이송 아암일 수 있다.
상기 구동부(700)는 위에서 설명된 구동부(200)와 실질적으로 유사할 수 있다. 일 양상에서 상기 구동부(700)는, 상기 이송 아암부(710)의 신장 및 수축의 축에 실질적으로 직각인 방향으로 상기 이송 아암부(710)를 선형적으로 이동시키기 위하여 위에서 설명된 것과 실질적으로 유사한 Z-축 구동기(203)를 포함할 수도 있다. 다른 양상들에서 상기 구동부(700)는 Z-축 구동기를 구비하지 않을 수 있다. 상기 구동부(700)는 상기 이송 챔버에 결합된 로드 록들 및 프로세싱 스테이션들 각각에 상기 이송 아암이 접근할 수 있도록 하기 위하여 상기 이송 챔버 내에 임의의 적합한 위치에서 배치될 수 있다는 점이 주목된다. 예를 들어 도 6에서 상기 구동부(700)는 프로세싱 스테이션들(140B, 140H) 안으로의 기판 이송 경로와 실질적으로 정렬된 것으로 도시되지만 다른 양상들에서 상기 구동부는 임의의 적합한 위치에서 배치될 수 있다.
상기 구동부(700)의 모터들(201MB, 201MU, 201MF)(도 2d)은, 내부 구동 샤프트(262), 중간 구동 샤프트(261) 및 외부 구동 샤프트(260)를 구비한 동축 구동 샤프트 구성을 구동시키도록 구성될 수 있다. 상기 외부 구동 샤프트(260)가 회전할 때 상기 상부 아암 링크(721)가 그것과 함께 회전하도록, 상기 외부 구동 샤프트(260)는 구동 회전축(X)을 중심으로 상기 베이스 아암(720)의 상부 아암 링크(721)에 결합될 수 있다. 상기 베이스 아암(720)의 상기 전방 아암 링크(722)는 예를 들어 상기 구동부(700)의 하우징(701)에 종속될 수 있으며, 그럼으로써 상기 전방 아암 링크(722)의 어깨 회전축(SX)은, 상기 베이스 아암(720)이 신장 및 수축됨에 따라 실질적으로 선형인 경로를 따라 통행하도록 구속된다(예컨대 상기 이송 챔버의 길이를 따라 상기 이송 아암을 이동시키기 위해 단일 구동 모터가 상기 베이스 아암(720)의 신장 및 수축을 야기한다). 예를 들어 구동 축 풀리(780)가 상기 상부 아암 링크(721)에 대하여 회전 정지(rotationally stationary)되도록, 상기 구동 축 풀리(780)는 상기 구동 회전축(X)과 실질적으로 동심(同心)으로 장착될 수 있고 예를 들어 상기 구동부(700)의 하우징(701)(또는 상기 이송 장치(630)의 다른 임의의 적합한 일부분)에 임의의 적합한 방식으로 놓일(grounded) 수 있다. 다른 양상들에서 상기 구동 축 풀리(780)는 임의의 적합한 방식으로 회전 고정(rotationally fixed)될 수 있다. 종속된 풀리(783)는 임의의 적합한 베어링들(EXB)에 의해서와 같이 임의의 적합한 방식으로 상기 베이스 아암(720)의 팔꿈치 축(EX)에서 회전가능하게 장착될 수 있다. 상기 종속된 풀리(783)가 회전할 때 상기 전방 아암 링크(722)가 그것과 함께 회전하도록, 상기 종속된 풀리(783)는, 예를 들어 샤프트(763)에 의해 상기 전방 아암 링크(722)에 결합될 수 있다. 상기 풀리들(780, 783)은, 예를 들어 밴드들, 벨트들 등등을 포함하는 임의의 적합한 트랜스미션(791)에 의해서와 같이 임의의 적합한 방식으로 서로에 결합될 수 있다. 일 양상에서 상기 풀리들(780, 783)은, 상기 풀리들의 양 단부들 상에서 끝나는 적어도 2개의 벨트들 또는 케이블들로써 서로에 결합될 수 있으며, 그 후 느슨함(slack) 및 반발(backlash)이 실질적으로 제거되도록 서로 맞서 긴장될(tensioned against each other) 수 있다. 다른 양상들에서 임의의 적합한 트랜스미션 부재가 상기 풀리들(780, 783)을 결합하는 데에 이용될 수 있다. 상기 전방 아암 링크(722)의 어깨 축(SX)의 선형 움직임을 구동시키도록 풀리들(780, 783) 사이에서 상기 구동 회전축(X)으로부터 상기 팔꿈치 회전축(EX)으로 2 대 1(2:1) 풀리 비(pulley ratio)가 이용될 수 있다. 다른 양상들에서 임의의 적합한 풀리 비가 이용될 수 있다. 깨닫게 될 수 있는 바와 같이 상기 전방 아암 링크(722)의 종속된 성질은 샤프트(260)를 통하여 단일 구동 모터로써 상기 베이스 아암의 신장 및 수축을 가능하게 하는 반면, 상기 어깨 축(SX)은 상기 이송 챔버(625) 내의 실질적으로 선형인 경로(P)를 따라 통행하도록 구속된다.
외부 샤프트(271) 및 내부 샤프트(270)를 구비한 동축 스핀들(coaxial spindle)(구동 샤프트 구성)은, 도 2b에 대하여 위에서 설명된 것과 실질적으로 유사한 방식으로 상기 전방 아암 링크(722)의 어깨 축(SX)에서 배치될 수 있다. 상기 외부 샤프트(271)는 예를 들어 임의의 적합한 방식으로 상기 중간 구동 샤프트(261)에 의해 구동될 수 있다. 예를 들어, 상기 중간 구동 샤프트(261)가 회전하면 구동 축 풀리(781)가 그것과 함께 회전하도록 상기 구동 축 풀리(781)는 상기 구동 샤프트(261)에 결합될 수 있다. 아이들러 풀리(idler pulley; 784)는 팔꿈치 축(EX)을 중심으로 한 회전을 위해 상기 상부 아암 링크(721) 내에 배치될 수 있다. 상기 아이들러 풀리(784)가 회전할 때 상기 샤프트(765)가 그것과 함께 회전하도록 상기 아이들러 풀리(784)는 샤프트(765)에 결합될 수 있다. 상기 샤프트(765) 및 풀리(784)는 임의의 적합한 베어링들(EXB)로써와 같이 임의의 적합한 방식으로 지지될 수 있다. 상기 아이들러 풀리(784)는, 위에서 설명된 것들과 실질적으로 유사한 임의의 적합한 트랜스미션(790)을 통하여서와 같이 임의의 적합한 방식으로 풀리(781)에 결합될 수 있다. 풀리들(784 및 787)이 함께(in unison) 회전하도록 제2 아이들러 풀리(787)는 상기 전방 아암 링크(722) 안에서 상기 샤프트(765)에 결합될 수도 있다. 상기 샤프트(271) 및 어깨 풀리(789)가 함께 회전하도록 어깨 풀리(789)가 상기 샤프트(271)에 결합될 수 있다. 상기 제2 아이들러 풀리(787)는, 위에서 설명된 것들과 실질적으로 유사한 임의의 적합한 트랜스미션을 통하여서와 같이 임의의 적합한 방식으로 상기 어깨 풀리(789)에 결합될 수 있다.
상기 동축 스핀들의 내부 샤프트(270)는 예를 들어 상기 내부 구동 샤프트(262)에 의해 임의의 적합한 방식으로 구동될 수 있다. 예를 들어 상기 내부 구동 샤프트(262)가 회전할 때 구동 축 풀리(782)가 그것과 함께 회전하도록 상기 구동 축 풀리(782)는 상기 구동 샤프트(262)에 결합될 수 있다. 아이들러 풀리(785)는 팔꿈치 축(EX)을 중심으로 한 회전을 위하여 상기 상부 아암 링크(721) 내에 배치될 수 있다. 상기 아이들러 풀리(785)가 회전할 때 샤프트(764)가 그것과 함께 회전하도록 상기 아이들러 풀리(785)는 상기 샤프트(764)에 결합될 수 있다. 상기 샤프트(764) 및 풀리(785)는 임의의 적합한 베어링들(EXB)로써와 같이 임의의 적합한 방식으로 지지될 수 있다. 상기 아이들러 풀리(785)는 위에서 설명된 것들과 실질적으로 유사한 임의의 적합한 트랜스미션(792)을 통하여서와 같이 임의의 적합한 방식으로 풀리(782)에 결합될 수 있다. 풀리들(785 및 786)이 함께 회전하도록 제2 아이들러 풀리(786)가 상기 전방 아암 링크(722) 내에서 상기 샤프트(764)에 결합될 수도 있다. 상기 내부 샤프트(270) 및 어깨 풀리(788)가 함께 회전하도록 어깨 풀리(788)가 상기 샤프트(270)에 결합될 수 있다. 위에서 설명된 것들과 실질적으로 유사한 임의의 적합한 트랜스미션(793)을 통하여서와 같이 임의의 적합한 방식으로 상기 제2 아이들러 풀리(786)가 상기 어깨 풀리(788)에 결합될 수 있다. 풀리들(781, 784, 782, 785, 786, 788, 787, 789)은 개별로 1 대 1(1:1) 구동비들을 가질 수 있지만 다른 양상들에서 임의의 적합한 구동 비들이 이용될 수 있다. 다른 양상들에서는, 도 2e에 대하여 위에서 설명된 것과 실질적으로 유사한 방식으로 구동 모터들(201MU 및 201MF)이 상기 이송 아암(214)을 따라 배치될 수 있다. 또 다른 양상들에서는, 도 2h-2j에 대하여 위에서 설명된 것과 실질적으로 유사한 방식으로 상기 구동 모터들(201MU 및 201MF)이 모터 모듈 안에 배치될 수 있다. 깨닫게 될 수 있는 바와 같이 이송 아암(214)은 도 2d 및 2g에 대하여 위에서 설명된 것과 실질적으로 유사한 방식으로 상기 베이스 아암(720)의 상단 및/또는 하단에(on the top and/or bottom) 배치될 수 있다.
상기 이송 아암(214)이 일 단위로서 상기 어깨 축(SX)을 중심으로 회전 또는 신장 및 수축되도록 하기 위하여, 위에서 설명된 것들과 같이 임의의 적합한 방식으로 상기 외부 구동 샤프트(271) 및 내부 구동 샤프트(270)가 상기 이송 아암(214)에 결합될 수 있다.
도 7c-7e를 참조하면 개시된 실시예의 다른 일 양상에서, 예를 들어 상기 베이스 아암(720)을 구동시키기 위한 모터(들)는 상기 베이스 아암(720)의 임의의 적합한 하나 이상의 위치들에서 배치될 수 있다. 예를 들어 일 양상에서, 상기 베이스 아암에 화살표(799) 방향으로의 선형적 Z-축 움직임을 제공하도록 리프트 샤프트(lift shaft; 203LS)를 구동시키기 위하여, 선형 또는 Z-축 구동기(203)가, 상기 베이스 아암(720)의 어깨 축(X)에서 또는 어깨 축(X)에 인접하게 배치될 수 있다. 상기 상부 아암 링크(721)의 회전을 구동시키기 위하여 제1 모터(3800M1)가 예를 들어 상기 리프트 샤프트(203LS) 상에 임의의 적합한 방식으로 제공될 수 있다. 상기 모터(3800M1)가 적어도 부분적으로 상기 상부 아암 링크(721) 안에 배치될 수 있는 반면, 다른 양상들에서는 상기 모터(3800M1)가 상기 상부 아암 링크의 외측 표면 상에 장착될 수 있다. 일 양상에서 상기 모터(3800M1)는 상기 상부 아암 링크를 직접적으로 구동시킬 수 있는 반면, 다른 양상들에서 상기 모터(3800M1)는 풀리(3800P1)를 구동시킬 수 있다. 상기 풀리(3800P1)는 하나 이상의 밴드들, 벨트들, 체인들 등등으로써와 같이 임의의 적합한 방식으로 풀리(3800P2)에 결합될 수 있다. 상기 모터(3800M1)가 풀리(3800P1)를 회전시킴에 따라 상기 상부 아암 링크(721)가 상기 베이스 아암(720)의 어깨 축(X)을 중심으로 회전하게 되도록, 상기 풀리(3800P2)가 상기 상부 아암 링크(721)에 고정될 수 있다. 제2 모터(3800M2)가 상기 베이스 아암(720)의 팔꿈치 축(EX)에서 배치될 수 있다. 상기 모터(3800M2)는 상기 상부 아암 링크(721) 및 상기 전방 아암 링크(722) 중 하나 이상 내에 적어도 부분적으로 배치될 수 있다. 일 양상에서 상기 모터(3800M2)는 임의의 적합한 방식으로 상기 전방 아암 링크(722)에 결합될 수 있다. 상기 상부 아암 링크(721) 및 전방 아암 링크(722)가 회전함에 따라 아래에서 설명되는 것과 실질적으로 유사한 방식으로 상기 이송 아암(214)의 어깨 축(SX)이 실질적으로 직선인 경로를 따라 통행하도록, 모터들(3800M1, 3800M2)이 임의의 적합한 방식으로 그리고 임의의 적합한 컨트롤러에 의해 구동될 수 있다. 이 양상에서 상기 전방 아암 링크(722)는 전방 아암 베이스부(forearm base section; 722B) 및 교환가능 전방 아암 스페이서부(interchangeable forearm spacer section; 722S)를 포함할 수 있다. 상기 전방 아암 스페이서부(722S)의 일 단부는 상기 전방 아암 베이스부(722B)에 결합되거나 그렇지 않으면 고정될 수 있는 반면, 상기 전방 아암 스페이서부(722S)의 다른 단부는 모터 모듈(201M)에 결합되거나 그렇지 않으면 고정될 수 있다. 깨닫게 될 수 있는 바와 같이 임의의 적합한 수의 교환가능 전방 아암 스페이서부들(722S1, 722S2)이 제공될 수 있으며, 여기에서 각각의 전방 아암 스페이서부들은 다른 전방 아암 스페이서부들과는 상이한 길이를 가짐으로써 전방 아암 링크(722) 길이의 확대/축소(scaling)가 가능하게 된다. 깨닫게 될 수 있는 바와 같이 스페이서 링크(spacer link)는 위에서 설명된 것과 실질적으로 유사한 방식으로 상기 상부 아암 링크(721) 안에 제공될 수도 있으며, 그럼으로써 상기 상부 아암 링크(721)의 길이는 임의의 적합한 길이로 확대/축소(scale)될 수도 있다.
도 8a-8c를 참조하면, 이송 챔버(625)와 실질적으로 유사한 다른 일 이송 챔버(626)가 도시된다. 그러나 상기 이송 챔버(626)는 예를 들어 8개의 프로세싱 스테이션들(140A-140H)을 포함하는데, 여기에서 상기 클러스터들 중 하나는 프로세싱 스테이션들(140C, 140D, 140E, 140F)을 포함하고, 상기 챔버들 중 다른 하나는 프로세싱 스테이션들(140B 및 140G )을 포함하는 반면, 나머지 클러스터는 프로세싱 스테이션들(140A, 140H) 및 로드 록들(102A, 102B)을 포함한다. 도 8a-8b에서 상기 베이스 아암(720)은 예를 들어 3개의 위치들로 도시되는 바, 상기 3개의 위치들이 이송 아암(214)의 어깨 축(SX)을 개별의 클러스터의 중심 부분(central portion)에 정렬함으로써 상기 이송 아암(214)은 위에서 설명된 것과 실질적으로 유사한 방식으로 기판들을 픽킹(pick)하여 상기 개별의 클러스터의 각각의 프로세싱 스테이션/로드 록으로 위치시킬 수 있다. 도 9a-9c에는 프로세싱 스테이션들(140A, 140H) 및 로드 록들(102A, 102B)에 접근하기 위해 상기 이송 챔버(626) 내의 위치에서 상기 베이스 아암(720) 상에 배치되는 이송 아암(214)이 도시된다. 상기 이송 아암이 예시적 목적만으로, 이중 블레이드로 된(bladed) 엔드 이펙터(도 9a)를 구비한 SCARA 아암으로(도 9a), 좌우대칭 개구리 다리 이송 아암으로(도 9b), 이중 아암 SCARA 아암으로(도 9c) 도시된 점이 또한 주목되지만, 위에서 설명된 바와 같이 2 자유도 이송 아암과 같은 임의의 적합한 이송 아암이 임의의 적합한 방식으로 상기 베이스 아암(720)에 장착될 수 있다는 점이 이해되어야 한다.
도 10a, 10b 및 10c에는 개시된 실시예의 양상들에 따라 신장 삼중 클러스터 이송 챔버들을 포함하는 상이한 구성들의 프로세싱 장치가 도시된다. 도 10a에는 도 6에 도시된 것과 실질적으로 유사한 단일 이송 챔버 구성이 도시되는데, 도 10a에는 이송 챔버(626)가 도시된다. 도 10b에는 2개의 이송 챔버들(625)이 단일 로드 록(1002)에 의해 함께 결합되는 탠덤(tandem) 이송 챔버 구성이 도시된다. 도 10c에는 2개의 이송 챔버들(625, 626)이 2개의 로드 록들(1002A, 1002B)에 의해 함께 결합되는 또 다른 일 구성이 도시된다. 깨닫게 될 수 있는 바와 같이 임의의 적합한 수의 이송 챔버들(625, 626)이 임의의 적합한 방식으로 서로에 결합되어, 프로세스 모듈들, 로드 록들 및 EFEM의 임의의 적합한 구성 및 길이를 갖는 결합 이송 챔버가 형성될 수 있다. 예를 들어 도 10d를 참조하면 결합된 선형적 세장형 이송 챔버가 형성되도록 3개의 이송 챔버들(626)이 함께 결합되며, 그럼으로써 상기 결합된 선형적 세장형 이송 챔버의 각각의 단부는 개별의 소형 환경(106A, 106B)을 갖지만, 이송 챔버들(625)은 도 10b 및 10c에서 도시된 것과 실질적으로 유사한 방식으로 서로 결합되거나 이송 챔버들(626)과 함께 서로 결합되어 개별의 소형 환경들(106A, 106B)을 갖는 단부들을 구비한 결합된 선형적 세장형 이송 챔버를 형성할 수 있다는 점이 이해되어야 한다. 이 양상에서 기판들은 소형 환경(106A, 106B) 중 하나를 통하여 상기 프로세싱 장치의 일 단부에서 상기 프로세싱 장치 안으로 도입될 수 있으며, 소형 환경(106A, 106B) 중 다른 하나를 통하여 다른 단부에서 상기 프로세싱 장치로부터 제거될 수 있다. 깨닫게 될 수 있는 바와 같이 소형 환경들(106A, 106B)과 실질적으로 유사한 소형 환경이 프로세싱 스테이션들(140)을 대체할 수 있으며, 그럼으로써 상기 결합된 선형적 세장형 이송 챔버의 단부들 사이에서 기판들이 상기 프로세싱 장치로 도입되거나 상기 프로세싱 장치로부터 제거될 수 있다. 이와 유사하게, 도 6 및 10a에 도시된 것과 같은 단일 선형적 세장형 이송 챔버를 구비한 프로세싱 장치는, 도 10d에 대하여 설명된 것과 실질적으로 유사한 방식으로 상기 챔버(625, 626)의 단부들 사이에서 또는 상기 챔버(625, 626)의 각각의 단부에서 배치되는 소형 환경을 가질 수 있다.
이제 도 37을 참조하면, 개시된 실시예의 일 양상에서 상기 베이스 아암은 2개 초과의 아암 링크들(721, 722)을 포함할 수 있다. 예를 들어 상기 베이스 아암(720')은 도 7a에 대하여 위에서 설명된 것과 실질적으로 유사할 수 있으며, 구동부(700), 회전가능하게 상기 구동부(700')에 결합된 상부 아암 링크(721), 및 회전가능하게 상기 상부 아암 링크(721)에 결합된 전방 아암 링크(722)를 포함할 수 있다. 이 양상에서 상기 베이스 아암은, 회전가능하게 상기 전방 아암 링크(722)에 결합된 손목 링크(723)를 더 포함한다. 상기 모터 모듈(201M)에 상기 이송 아암(214)이 장착되며, 상기 모터 모듈(201M)은 상기 손목 링크(723)의 단부에 결합될 수 있다. 도 7c-7e에 대하여 위에서 언급된 바와 같이 상기 베이스 아암(720')의 어깨 축(X)은 Z-구동 리프트 샤프트(203LS)에 장착될 수 있다. 상기 리프트 샤프트(203LS)는, 상기 구동부(700') 내에 배치된 Z-축 구동기(203)에 구동적으로 결합될 수 있다. 도 7c-7e에 대하여 위에서 설명된 것과 실질적으로 유사한 방식으로, 모터(3800M1)는, 위에서 설명된 것과 실질적으로 동일한 방식으로 상기 상부 아암 링크(721)를 회전시키기 위하여 상기 베이스 아암(720')의 어깨 축(X)에서 배치될 수 있다. 상기 모터(3800M2)는 상기 베이스 아암(720')의 팔꿈치 축(EX)에서 배치될 수 있는 바, 여기에서 상기 모터는 적어도 부분적으로 상기 상부 아암 링크(721) 및 상기 전방 아암 링크(722) 중 하나 이상의 안에 배치될 수 있다. 상기 모터(3800M2)는, 실질적으로 풀리(3800P1)와 유사한 구동 풀리에 구동적으로 결합될 수 있으며, (도 7c-7e의 모터(3800M1)와 실질적으로 유사한 방식으로) 상기 팔꿈치 축에서 배치될 수도 있다. 실질적으로 풀리(3800P2)와 유사한 구동되는 풀리(driven pulley)는, 상기 베이스 아암(720')의 손목 축(WX)에서 배치될 수 있으며, 위에서 언급된 것들과 같이 임의의 적합한 방식으로 상기 구동 풀리에 결합될 수 있다. 모터(3800M2)와 실질적으로 유사할 수 있는 제3 모터(3800M3)는 상기 베이스 아암(720')의 손목 축(WX)에서 배치될 수 있으며, 그럼으로써 상기 모터(3800M2)는 적어도 부분적으로 상기 전방 아암 링크(722) 및 상기 손목 링크(723) 중 하나 이상의 안에 배치된다. 상기 모터(3800M3)는, 상기 손목 링크(723)를 상기 손목 축(WX)을 중심으로 회전시키기 위하여, 모터(3800M2) 및 전방 아암 링크(722)에 대하여 위에서 설명된 바(도 7c-7e 참고)와 같은 임의의 적합한 방식으로 상기 손목 링크(723)에 결합될 수 있다. 깨닫게 될 수 있는 바와 같이 모터들(3800M1, 3800M2, 3800M3)은 임의의 적합한 컨트롤러에 의한 임의의 적합한 방식으로 제어될 수 있으며, 그럼으로써 상기 이송 아암(214)은, 베이스 아암(720)에 대하여 위에서 설명된 것과 실질적으로 유사한 방식으로, 상기 베이스 아암(720')에 의해 실질적으로 직선인 경로를 따라 이송된다.
도 11, 12 및 13을 참조하면, 개시된 실시예의 양상에 따라 반도체 도구 스테이션(1100)이 도시된다. 이 양상에서 상기 도구 스테이션(1100)은 프론트 엔드(101)를 포함하며, 예를 들어 상기 프론트 엔드(101)는 위에서 설명된 것들과 실질적으로 유사한 소형 환경(106) 및 적재 포트 모듈들(105)을 포함한다. 또한 상기 도구 스테이션은 하나 이상의 로드 록들(102A, 102B)을 통하여 상기 프론트 엔드(101)에 연결되는 진공 백 엔드(1103)를 포함한다. 상기 백 엔드(1103)는 위에서 설명된 백 엔드(103)와 실질적으로 유사할 수 있으나, 이 양상에서 상기 백 엔드(1103)는 실질적으로 직사각형인 이송 챔버(1125)를 포함한다. 상기 이송 챔버(1125)의 일 측부는 상기 로드 록들(102A, 102B)을 통하여 상기 프론트 엔드(101)에 연결되며, 상기 이송 챔버(1125)의 다른 측부들은 임의의 적합한 수의 프로세싱 스테이션들(1140A-1140F)에 연결된다. 이 양상에서는 상기 이송 챔버(1125)의 개별의 측부들에 연결된 2개의 프로세싱 스테이션들이 있으나, 다른 양상들에서는 임의의 적합한 수의 프로세싱 스테이션들이 개별의 측부들의 각각에 연결될 수 있다. 또 다른 양상들에서 로드 록들 또는 버퍼 스테이션들(buffer stations)은, 하나 이상의 프로세싱 스테이션들을 대신하여 배치되어, 예를 들어 도 5b-5d 및 10b-10d에 대하여 위에서 설명된 것과 실질적으로 유사한 방식으로, 2개 이상의 실질적으로 직사각형인 이송 챔버들(1125)을 함께 연결한다. 상기 프로세싱 스테이션들(1140A-1140F)은 위에서 설명된 프로세싱 스테이션들과 실질적으로 유사할 수 있다는 점이 주목된다.
도 11a-11c을 참조하면, 깨닫게 될 수 있는 바와 같이, 상기 프로세싱 스테이션들(1140) 및 로드 록들(102)이 (예컨대 서로 겹쳐져 배치되는) 적층된 구성(stacked configuration)으로나 (예컨대 서로 겹쳐지고 나란한; one above the other and side by side) 2차원적 배열(two dimensional array)로 상기 이송 챔버(1125)에 연결되도록, 상기 이송 챔버(1125)가 구성될 수 있다. 예를 들어 도 11a를 참조하면 일 양상에서 상기 로드 록들(102)은 서로 겹쳐져 배치될 수 있으며(그리고 나란히 배치되어 로드 록들의 배열이 형성될 수 있으며), 상기 프로세싱 스테이션들(1140)은 서로 겹쳐져 배치될 수 있다(그리고 나란히 배치되어 프로세싱 스테이션들의 배열이 형성될 수 있다). 도 11b을 참조하면, 다른 일 양상에서, 상기 로드 록들(102)은 서로 겹쳐져 배치될 수 있으며(그리고 나란히 배치되어 로드 록들의 배열이 형성될 수 있으며), 상기 프로세싱 스테이션들(1140)은 단일 수평 행(single horizontal row)으로 배치될 수 있다. 도 11c을 참조하면, 또 다른 일 양상에서, 상기 로드 록들(102)은 단일 수평 행으로 배치될 수 있으며, 상기 프로세싱 스테이션들(1140)은 서로 겹쳐져 배치될 수 있다(그리고 나란히 배치되어 프로세싱 스테이션들의 배열이 형성될 수 있다). 또 다른 양상들에서 상기 로드 록들(102) 및 프로세싱 스테이션들(1140)은 임의의 적합한 방식으로 상기 이송 챔버(1125)에 연결될 수 있다. 도 1, 3a-6, 및 8a-10d 중 하나 이상의 프로세싱 스테이션들 및/또는 로드 록들은, 도 11a-11c에 대하여 위에서 설명된 것과 실질적으로 유사한 방식으로 단일 행들 및 적층들(stacks)의 임의의 조합으로 배치될 수도 있다는 점이 주목된다.
상기 이송 로봇(1130)은 위에서 설명된 이송 로봇(130 또는 630)과 실질적으로 유사할 수 있으며, 회전축(X11)을 중심으로 회전가능하도록 하기 위해 상기 이송 챔버(1125) 안에 배치될 수 있다. 예시적인 목적으로, 상기 이송 로봇(1130)은 이송 로봇(130)과 실질적으로 유사한 것으로 도시된다. 상기 회전축(X11)이 상기 이송 챔버(1125) 안에서 실질적으로 중심에 배치되는 것으로 도시되는 반면, 다른 양상들에서는 상기 회전축이 상기 이송 챔버(1125) 안의 임의의 적합한 위치에서 배치될 수 있다는 점이 주목된다. 도 11의 이송 아암(1130R)은 단일 SCARA 아암으로서 도시되며 도 12에서 상기 이송 아암(1130R1)은 이중 SCARA 아암으로 도시되고 도 13에서 상기 이송 아암들(1130R1, 1130R2)은 각각 단일 SCARA 아암 및 이중 SCARA로서 도시되며, 이 모든 것들은 이송 아암(214, 214’)에 대하여 위에서 설명된 개별의 아암 유형들과 실질적으로 유사하다는 점이 주목된다. 그러나 다른 양상들에서는 (위에서 설명된 바와 같이, 예컨대 각각의 로봇이 단일 SCARA를 포함하는, 각각의 로봇이 이중 SCARA를 포함하는, 하나의 로봇은 단일 SCARA를 포함하고 다른 로봇은 이중 SCARA를 포함하는, 각각의 아암이 개구리 다리 아암을 포함하는 등등의) 이송 아암 유형들의 임의의 적합한 조합(combination)이 개별의 이송 로봇들(1130A, 1130B)의 베이스 아암(220) 상에 배치될 수 있다. 상기 베이스 아암(220)에 대한 상기 이송 아암(214)의 독립적 회전에 의해, 개별의 이송 아암의 신장 및 수축의 축이, 상기 프로세싱 스테이션들(1140A-1140F) 각각 및 상기 로드 록들(102) 각각의 안팎으로 연장되는 경로에 정렬될 수 있게 되며, 그럼으로써 상기 이송 아암들 중 임의의 것이 상기 프로세싱 스테이션들 및 로드 록들 중 임의의 것으로 그리고 상기 임의의 것으로부터 기판들을 이송할 수 있다는 점도 주목된다.
도 2g, 2f 및 13을 참조하면, 하나 초과의 이송 로봇이 본 명세서에 설명된 이송 챔버들 중 임의의 것 안에 배치될 수 있다. 예를 들어 일 양상에서 2개의 이송 로봇들(1130A, 1130B)이 이송 챔버(1125) 안에 배치되나, 다른 양상들에서는 임의의 적합한 수의 이송 로봇들이 상기 이송 챔버(1125) 안에 배치될 수 있다. 일 양상에서는 하나의 이송 로봇(1130A)이 상기 이송 챔버(1125)의 상단(top; TCT)(도 2g)에 장착될 수 있는 반면 다른 이송 로봇(1130B)은 상기 이송 챔버(1125)의 하단(bottom; TCB)(도 2g)에 장착된다. 상기 이송 로봇들(1130A, 1130B) 각각의 축(X11)이 실질적으로 서로 일렬로 늘어선(substantially in line with each other) 것으로 도시되는 반면 다른 양상들에서는 상기 이송 로봇들 각각의 축들(X11)은 서로로부터 수평으로 이격될 수 있음으로써 상기 축들(X11)은 상기 이송 챔버의 실질적으로 대향하는 단부들 상에 배치되거나 서로에 대하여 임의의 적합한 공간적 관계(spatial relationship)를 가지도록 된다. 다른 일 양상에서 상기 이송 아암들(1130A, 1130B) 각각은 동축으로 배치되어 도 2f에 도시된 바와 같이 공통 구동부(200)에 연결될 수 있다. 이 양상에서 상기 구동부는 적어도 베이스 아암들(220, 220’)을 구동시키기 위한 적합한 동축 구동 샤프트 구성(및 이에 상응하는 모터들)을 포함할 것인 바, 여기에서 이송 아암들(214, 214’)을 위한 모터들이 위에서 설명된 바와 같이 상기 이송 아암(214, 214’)을 구동시키기 위해 배치된다.
이제 도 13a를 참조하면 프로세싱 장치의 일부분이 도시된다. 도면에 보여질 수 있는 바와 같이 상기 이송 챔버(1125)는 폐쇄가능 포트들(closable ports; 1196A-1196H)을 구비하는 바, 상기 폐쇄가능 포트들(1196A-1196H)은 프로세스 모듈들, 로드 록들, 또는 다른 임의의 적합한 기판 프로세싱 장비를 상기 이송 챔버(1125)에 결합시키기 위한 것이다. 이 양상에서 상기 이송 챔버(1125) 안의 이송 장치(1199)는 허브 유형(hub type) 이송 장치일 수 있다. 예를 들어 회전하는 허브(1199H)가 상기 이송 챔버(1125) 안의 임의의 적합한 위치에서 배치될 수 있다. 상기 허브(1199H)는 임의의 적합한 구동기에 의해 임의의 적합한 방식으로 회전가능하게 구동될 수 있다. 이 양상에서 상기 허브(1199H)는 4개의 허브 커플링들(hub couplings; 1199C)을 갖는 것으로 도시되지만 다른 양상들에서는 상기 허브가 임의의 적합한 수의 허브 커플링들을 가질 수 있다. (위에서 설명된 스페이서 링크(722S)와 실질적으로 유사할 수 있는) 허브 스페이서 링크들(hub spacer links; 1198)은 상기 허브 커플링들(1199C) 중 개별의 하나에 결합될 수 있다. 상기 허브 스페이서 링크(1198)의 일 단부는 상기 허브 커플링(1199C)에 결합되며 상기 허브 스페이서 링크(1198)의 다른 단부는 모터 모듈(201M)에 결합될 수 있는 바, (본 명세서에 설명된 이송 아암들과 실질적으로 유사할 수 있는) 임의의 적합한 이송 아암(214A, 214B)이 상기 모터 모듈(201M)에 장착된다. 상기 허브(1199H)는, 회전가능하게 화살표(1197)의 방향으로 인덱싱되어 상기 이송 아암들(214A, 214B)을 한 쌍의 포트들로부터 다른 쌍의 포트들로 이동시킬 수 있으며, 이 양상에서 상기 쌍들의 포트들은 상기 이송 챔버(1125)의 모서리들에서 배치된다. 원하는 포트에서 배치된 이송 아암(214A, 214B)은, 상기 이송 챔버(1125)로 그리고 상기 이송 챔버(1125)로부터 기판들을 이송하기 위하여, 상기 모터 모듈(201M)에 의해 신장 및 수축될 수 있다. 다른 양상들에서 상기 이송 아암들(214A, 214B)은 단일 포트에 접근하도록 위치될 수 있다. 일 양상에서 기판 유지 스테이션(1199S)은 상기 허브(1199H) 상에 배치될 수 있다. 상기 기판 유지 스테이션(1199S)은 버퍼(buffer), 정렬자, 또는 다른 임의의 적합한 웨이퍼 유지 스테이션일 수 있다. 상기 기판 유지 스테이션은 상기 이송 아암들(214A, 214B) 사이의 웨이어 이송을 가능하게 할 수 있다.
또한 도 17을 참조하면, 반도체 도구 스테이션(1100)과 실질적으로 유사한 반도체 도구 스테이션(1100’)이 도시된다. 그러나 이 양상에는 상기 이송 챔버(1125)에 결합된 4개의 로드 록들(1702A-1702D)이 있다. 다른 양상들에서는 임의의 적합한 수의 로드 록들이 상기 이송 챔버(1125)에 결합될 수 있다. 도 17에 보여질 수 있는 바와 같이 상기 로드 록들(1702A-1702D) 각각은, 이송 로봇을 포함할 수 있으며 개별의 적재 포트(load port; 105) 상에 배치된 개별의 기판 카세트(110)에 실질적으로 직접 결합될 수 있다. 상기 기판 카세트(110)는 상기 로드 록(1702A-1702D)에 결합된 때에 상기 기판 카세트(110)의 내부(interior)가 진공으로 유지되도록 구성될 수 있거나, 기판이 상기 카세트(110)와 상기 이송 챔버(1125) 사이에서 이송되는 각각의 시기에(each time) 상기 로드 록이 상기 기판 카세트의 내부 환경을 순환시키도록 구성될 수 있다는 점이 주목된다.
이제 도 14를 참조하면 반도체 도구 스테이션(1400)이 도시된다. 상기 도구 스테이션(1400)은 위에서 설명된 도구 스테이션(1100)과 실질적으로 유사할 수 있으나, 이 양상에서 상기 이송 챔버는 개개의 이송 챔버들(1125A-1125D)에 의해 형성되며, 상기 개개의 이송 챔버들(1125A-1125D)은 상기 로드 록들(102A, 102B)과 상기 프로세싱 스테이션들(1140A-1140F) 사이에서 기판들을 운반하기 위하여 직선형으로 배치된다(rectilinear arranged). 이 양상에는, 로드 록들 및/또는 버퍼 스테이션들(buffer stations; 1401-1404)을 통해 서로에 소통가능하게 결합되어 2x2 배열 또는 격자(two-by-two array or grid)의 이송 챔버들을 형성하는, 4개의 이송 챔버들(1125A-1125D)이 있다. 다른 양상들에서는 임의의 적합한 수의 이송 챔버들이 제공되고 서로에 결합되어 임의의 적합한 크기의 직선형 이송 챔버들(예컨대, N 및 M이 정수인 경우에 N x M 격자의 이송 챔버들)이 집합적으로 형성될 수 있다. 깨닫게 될 수 있는 바와 같이, 상기 도구 스테이션(1400)(및 본 명세서에 설명된 다른 도구 스테이션들)은, 도 11A-11C에 대하여 설명된 바와 같이, 다수 레벨들의 기판 유지 스테이션들을 포함할 수 있으며, 그럼으로써 상기 격자는 3차원적 격자(Y개의 수직으로 이격된 레벨들의 기판 유지 스테이션들을 갖는 N x M 격자의 이송 챔버들)가 된다. 각각의 이송 챔버는, 2006년 5월 26일자 출원된 미국 특허출원 번호 제11/442,511호와 2007년 2월 27일자 출원된 미국 특허출원 번호 제11/679,829호 및 미국 특허 번호 제7,458,763호에 설명된 것과 실질적으로 유사한 방식으로 모듈식(modular)일 수 있으며, 그것들의 개시는 그 전체가 본 명세서에 참조 병합된다. 로드 록들이 상기 이송 챔버들(1125A-1125D)을 소통가능하게 결합하는 경우 각각의 이송 챔버의 내부 환경은 다른 이송 챔버들의 내부 환경으로부터 선택적으로 밀봉될 수 있다는 점이 주목된다. 깨닫게 될 수 있는 바와 같이, 각각의 이송 챔버(1125A-1125D)는 위에서 설명된 아암(214)과 실질적으로 유사한 이송 아암(1430)을 포함할 수 있다. 상기 이송 아암들은, 상기 로드 록들 및/또는 버퍼 스테이션들(1401-1404)을 통하여 상기 이송 챔버들 사이에서 또는 직접적으로 상기 로봇들 사이에서(예컨대 로봇 대 로봇(robot to robot) 이송) 기판들을 이송하도록 구성될 수 있다. 다른 양상들에서 상기 이송 챔버들(1125A-1125D)은, 개별의 이송 챔버들을 통하여 기판들을 프로세싱 스테이션들 및/또는 그것들에 결합된 로드 록들로 운반하기 위한 임의의 적합한 이송 아암을 구비할 수 있다.
도 14a를 참조하면 반도체 도구 스테이션(1400)과 실질적으로 유사한 반도체 도구 스테이션(1400”)이 도시된다. 이 양상에서 상기 이송 챔버들(1125A, 1125D) 중 2개는 이송 챔버(1125E)로 대체된다. 이송 챔버(1125E)는 단일 챔버 안에 2개의 이송 로봇들(1450, 1451)을 포함한다. 상기 이송 로봇들(1450, 1451)은 위에서 설명된 것들과 실질적으로 유사할 수 있다. 일 양상에서 상기 이송 로봇들(1450, 1451) 중 하나 이상의 이송 로봇 상의 이송 아암(또는 본 명세서에 설명된 이송 아암(들) 중 다른 임의의 것(들))은 같지 않은(unequal) 길이의 아암 링크들(상기 상부 아암이 상기 전방 아암보다 짧거나 그 반대)을 가질 수 있는 바, 그 방식은 2005년 7월 11일자 출원된 미국 특허출원 제11/179,762호에 설명된 것과 실질적으로 유사한 방식이며, 그것의 개시는 그 전체가 본 명세서에 참조 병합된다. 여기에서 상기 이송 챔버(1125E)는 2개의 단부들(1125E1, 1125E2), 및 상기 단부들(1125E1, 1125E2) 사이에서 연장되는 측부들(sides)을 포함한다. 상기 이송 챔버(1125E)는 일 측부 상에 3개의 로드 록들(102A-102C)에 소통가능하게 결합되며, 다른 측부 상에 2개의 이송 챔버들(1125B, 1125C)에 소통가능하게 결합된다. 다른 양상들에는, 상기 이송 챔버의 측부에 소통가능하게 결합되고 3개보다 많거나 적은 로드 록들, 및 상기 이송 챔버의 다른 측부에 소통가능하게 결합되고 2개보다 많거나 적은 이송 챔버들이 있을 수 있다. 상기 이송 챔버들(1125B, 1125C)은 로드 록들(1401, 1403)을 통하여서나 임의의 적합한 버퍼 모듈을 통하여서와 같은 임의의 적합한 방식으로 상기 이송 챔버(1125E)에 결합될 수 있다. 깨닫게 될 수 있는 바와 같이 이송 로봇들(1450, 1451, 1430)은, 직접적으로 로봇들 사이에서(예컨대 로봇 대 로봇 인계(robot to robot handoff)) 기판들을 이송하거나 로드록(loadlock) 또는 버퍼 스테이션과 같은 임의의 적합한 기판 유지 스테이션의 이용을 통하여 기판들을 이송하도록 구성될 수 있다. 하나 이상의 프로세싱 스테이션들(1140A, 1140F)은 상기 이송 챔버(1125E)의 각각의 단부들(1125E1, 1125E2) 상에 배치될 수 있다. 하나의 아암(1451)은 상기 이송 챔버의 제1 부분(예컨대 로드 록들(102A, 102C, 1403)(예컨대 이송 챔버(1125B)) 및 프로세싱 스테이션(1140F))에 그 역할을 다하는(serve) 반면 다른 아암(1450)은 상기 이송 챔버의 제2 부분(예컨대 로드 록들(102C, 102B, 1401)(예컨대 이송 챔버(1125C)) 및 프로세싱 스테이션(1140A))에 그 역할을 다하게끔, 상기 2개의 로봇들(1450, 1451)의 개별의 구동 축들(X)이 서로로부터 수평으로 이격되도록, 상기 2개의 로봇들(1450, 1451)이 상기 이송 챔버(1125E) 안에 배치될 수 있다. 깨닫게 될 수 있는 바와 같이 상기 이송 챔버(1125E)의 상기 제1 부분 및 제2 부분은 겹쳐질(overlap) 수 있지만 다른 양상들에서 상기 제1 부분 및 제2 부분은 겹쳐지지 않을 수 있다. 또 다른 양상들에서 상기 이송 챔버(1125E)는, 이송 로봇(630)과 유사한 단일 이송 로봇을 포함할 수 있는 바, 상기 단일 이송 로봇은, 상기 기판 유지 스테이션들, 및/또는 상기 이송 챔버(1125E)에 소통가능하게 결합된 다른 이송 챔버들에 접근하기 위하여 상기 이송 아암이 상기 이송 챔버(1125E)의 길이를 통행하도록 구성된다.
또한 도 19를 참조하면, 반도체 도구 스테이션(1400)과 실질적으로 유사한 반도체 도구 스테이션(1400’)이 도시된다. 그러나 이 양상에는, 도 17에 대하여 위에서 설명된 것과 실질적으로 유사한 방식으로 직선형 이송 챔버를, 개별의 적재 포트(105)에서 위치된 기판 카세트들(110)에 소통가능하게 결합하는 2개의 로드 록들(1702A, 1702B)이 있다. 도 19에 보여질 수 있는 바와 같이, 상기 로드 록들(1702A, 1702B) 각각은 도 17에 대하여 위에서 설명된 것과 실질적으로 유사한 방식으로도 이송 로봇을 포함할 수 있다. 상기 도구 스테이션(1400’)으로부터 상기 도구 스테이션(1400’)의 임의의 측부 또는 측부들 상에 기판들이 삽입 및/또는 제거될 수 있도록, 추가적 로드 록들이 프로세싱 스테이션들을 대체할 수 있으며 그 반대도 마찬가지라는 점이 주목된다. 예를 들어 도 19a를 참조하면, 로드 록들(1702A, 1702B)이 상기 도구 스테이션(1140”)의 대향하는 단부들 상에 배치되도록 프로세싱 스테이션들(1140) 및 상기 로드 록들(1702A, 1702B)이 배치된다. 다른 양상들에서 상기 로드 록들 및 프로세싱 스테이션들은 임의의 적합한 구성을 가질 수 있다.
이제 도 15를 참조하면, 개시된 실시예의 양상에 따라 반도체 도구 스테이션(1500)이 도시된다. 상기 도구 스테이션(1500)은 도구 스테이션(1100)과 실질적으로 유사할 수 있는데, 이송 챔버(1525)의 일 측부(S1)는 각진 표면들을 포함하는 바, 상기 각진 표면들은, 개별의 프로세싱 스테이션들(1140C, 1140D) 안팎으로의 기판 이송 경로들(P1, P2)이 서로에 대해 임의의 적합한 각도(α)로 각지도록 구성된다. 깨닫게 될 수 있는 바와 같이, 하나 초과의 측부(S1-S3)가 측부(S1) 상의 것들과 실질적으로 유사한 각진 표면들을 포함하여, 다면적(multifaceted) 이송 챔버가 형성될 수 있다. 위에서 설명된 것들과 실질적으로 유사한 하나 이상의 이송 로봇들(1530)이, 상기 이송 챔버를 통하여 상기 프로세싱 스테이션들과 로드 록들 사이에서 기판들을 운반하기 위하여 상기 이송 챔버(1525) 안에 배치될 수 있다. 위에서 언급된 바와 같이 베이스 아암(220)에 대하여 일 단위로서 독립적으로 회전할 수 있는, 상기 하나 이상의 로봇들(1530)의 이송 아암(들)(214)의 능력으로 인하여, 상기 이송 챔버의 각각의 벽의 형상과 무관하게 상기 이송 아암의 신장 및 수축의 축이 상기 프로세싱 스테이션들 및 로드 록들 안팎으로의 이송 경로와 정렬됨이 가능해진다. 도 18에는 도구 스테이션(1500)과 실질적으로 유사한 반도체 도구 스테이션(1500’)이 도시된다. 그러나 도 18에 도시된 이 양상에서 상기 도구 스테이션(1500’)은 도 17 및 19에 대하여 설명된 것들과 실질적으로 유사한 3개의 로드 록들(1702A-1702C)을 포함한다. 다른 양상들에서 상기 도구 스테이션(1500’)은 임의의 적합한 수의 로드 록들을 포함할 수 있다.
도 16에는 개시된 실시예의 양상에 따른 도구 스테이션(1600)이 도시된다. 이 양상에서 상기 도구 스테이션은 도구 스테이션(1100)과 실질적으로 유사할 수 있으나, 증가된 수의 프로세싱 스테이션들(1640A-1640H)이 상기 이송 챔버(1625)에 소통가능하게 결합될 수 있도록 상기 이송 챔버(1625)는 오각형 형상을 가질 수 있다. 위에서 설명된 도구 스테이션들과 같이 몇몇 양상들에서 도구 스테이션(1600)은, 도 11a-11c에 대하여 설명된 바와 같이 다수 레벨들의 (예컨대 서로 겹쳐져 배치된) 로드 록들 및/또는 프로세싱 스테이션들을 포함할 수 있으며, 그럼으로써 상기 도구 스테이션의 윤곽을 실질적으로 증가시키지 않고 상기 여러 프로세싱 스테이션들 및/또는 로드 록들이 더 증가된다(further increased). 상기 이송 챔버를 통하여 상기 프로세싱 스테이션들과 로드 록들 사이에서 기판들을 운반하기 위하여 위에서 설명된 것들과 실질적으로 유사한 하나 이상의 이송 로봇들(1630)이 상기 이송 챔버(1625) 내에 배치될 수 있다. 다시 위에서 언급된 바와 같이 베이스 아암(220)에 대하여 일 단위로서 독립적으로 회전할 수 있는, 상기 하나 이상의 로봇들(1630)의 이송 아암(들)(214)의 능력으로 인하여, 상기 이송 챔버의 형상과 무관하게 상기 이송 아암의 신장 및 수축의 축이 상기 프로세싱 스테이션들 및 로드 록들 중 임의의 하나의 안팎으로의 이송 경로와 정렬됨이 가능해진다.
개시된 실시예의 양상들이 하나 또는 다수의 클러스터 이송 챔버들을 갖는 것으로 도시된 반면에 다른 양상들에서는 상기 이송 챔버들이 임의의 적합한 수의 프로세싱 스테이션/로드 록 클러스터들을 가질 수 있다는 점이 이해되어야 한다. 게다가 개시된 실시예의 양상들의 베이스 아암이 하나의 베이스 링크(도 2a 및 17) 및 2개의 베이스 링크들(도 7a)을 갖는 것으로 도시된 반면에, 다른 양상들에서는, 선형적 세장형 이송 챔버들(125, 126, 625, 626)의 길이를 따라 상기 이송 아암(214)을 운반하기 위하여, 그리고/또는 실질적으로 직사각형인 이송 챔버(1125, 1525) 및/또는 실질적으로 오각형인 이송 챔버(1625)(또는 다른 적합한 다측부(multi-sided) 이송 챔버) 안에서 회전축 둘레로(around) 상기 이송 아암(들)(1130R, 1130R1, 1130R2, 1130R3)을 운반하기 위하여, 상기 베이스 아암은, 상기 베이스 아암의 어깨 축(SX)(상기 어깨 축을 중심으로 상기 이송 아암(214)이 장착됨)이 임의의 적합한 거리로 연장될 수 있도록 하기 위한 임의의 적합한 수의 링크들을 구비할 수 있다.
이제 도 20a를 참조하면 개시된 실시예의 양상들에 따른 프로세싱 장치(2000)의 개략도가 도시된다. 도한 도 20e, 34a 및 34b를 참조하면 일반적으로 상기 프로세싱 장치(200)는, 하나 이상의 다른 프로세싱 도구 모듈들(2020A, 2020B, 2020C)에 연결된 하나 이상의 프로세싱 도구 조립체들/모듈들(2020), 및/또는 하나 이상의 진공 터널들(vacuum tunnels; 2010, 2010A, 2010B, 2050)에 의한 EFEM 또는 일괄 적재기 정합부(EFEM or batch loader interface; 2060)와 같이 다른 적합한 기판 프로세싱 장비를 포함한다. 상기 프로세싱 도구 모듈들은, 다양한 원조 장비 제조자들에 의해 제공되는, 현존하거나 또는 "기성품인(off the shelf)" 프로세싱/클러스터 도구들일 수 있다. 도 20e에 보여질 수 있는 바와 같이 프로세싱 도구 모듈들(2020, 2020A, 2020B)이 클러스터 구성을 가질 수 있으며 또는 프로세싱 도구 모듈들(2020C)이 선형 구성(linear configuration) 또는 그것의 임의의 적합한 조합을 가질 수 있다. 각각의 상기 프로세싱/클러스터 도구들은 상기 기판들을 프로세싱하기 위하여, 미리 결정되고 상이한 프로세싱 특성들을 가질 수 있다. 개시된 실시예들의 양상들은, 이 현존하는 프로세싱 도구 모듈들이 예를 들어 자동화 모듈(2030)에 의해 예를 들어 대향하는 구성(opposing configuration)으로 서로에 소통가능하게 연결될 수 있도록 하는 바, 여기에서 기판들은 상기 자동화 모듈을 통하여, 아래에서 설명될 바와 같이 한번 접촉됨으로써 상기 대향하는 프로세싱 도구 모듈들 안으로 이송된다. 또한 아래에서 설명될 바와 같이 프로세싱 도구들은 운반 터널들(2010A, 2010B, 2050)에 의해서와 같이 실질적으로 선형인 구성으로 서로에 연결될 수 있다.
본 명세서에서 상기 "터널들"(2010A, 2010B, 2050)이 진공 분위기(vacuum atmosphere)를 갖는 진공 터널들로서 설명되는 반면, 다른 양상들에서 상기 "터널들"은 그 안에, 예컨대 불활성 기체 분위기, 비-진공 분위기, 진공 분위기 또는 그것들의 임의의 조합과 같은, 임의의 적합한 분위기를 가질 수 있다는 점이 이해되어야 한다. 다른 양상들에서 상기 "터널"을 형성하는 하나 이상의 모듈들(예컨대 진공 모듈, 자동화 모듈, 배향 모듈(orientation module), 정합 모듈(interface module), 기타 등등 아래에서 설명될 것들)이 (예컨대 모듈들 사이로 이송 카트들(transfer carts)이 통과할 수 있도록 하는 게이트 밸브(gate valve)와 같이) 임의의 적합한 방식으로 상기 터널 내의 다른 모듈들로부터 밀봉가능할 수 있음으로써 상기 하나 이상의 모듈들이 상기 터널 내의 다른 모듈들과는 상이한 (위에서 언급된 것들과 같은) 분위기를 가질 수 있다는 점도 이해되어야 한다.
상기 프로세싱 도구 모듈들(2020)은 하나 이상의 프로세싱 챔버들(2021-2023), 이송 챔버(2024), 및 로드 록들(2025, 2026)을 포함할 수 있다. 일 양상에서 상기 프로세싱 도구 모듈들(2020)은 도 3a-6 및 8a-19a에 대하여 위에서 설명된 것들과 실질적으로 유사할 수 있는 반면에, 다른 양상들에서 상기 프로세싱 도구 모듈들은 임의의 적합한 구성(configuration) 및/또는 구성요소들(components)을 가질 수 있다. 또한 도 20b를 참조하면 일 양상에서 상기 프로세싱 도구 모듈들(2020), 및 예컨대 상기 자동화 모듈들(2030)과 같은 상기 프로세싱 장치의 다른 모듈들/구성요소들은, 상기 프로세싱 챔버들(2022) 및/또는 로드 록들(2025, 2026)이 상기 모듈들의 포트들에 적층된 구성으로 결합될 수 있도록 구성될 수 있다(즉 상기 프로세싱 챔버들(2022) 및/또는 로드 록들(2025, 2026)은 하나 이상의, 수직으로 이격되거나 적층된 평면들(planes)(PL) 안에 배치된다). 다른 양상들에서 상기 프로세싱 챔버들은 적층되지 않고 오히려 공통 평면 내에 배치될 수 있다. 도 20c를 참조하면 상기 자동화 모듈들(2030) 및 EFEM들(2060)은 적층된 이송 평면들(stacked transfer planes; PL)로써도 구성될 수 있으며, 그럼으로써 상기 진공 터널들(2010)이 상이한 평면들(PL) 내에 배치될 수 있다. 상기 터널 안으로/밖으로 기판들을 승/하강시키도록 기판 인덱서들/엘리베이터들(substrate indexers/elevators; 2030IN)이 상기 터널 안에 배치될 수 있는 점도 주목된다. 깨닫게 될 수 있는 바와 같이, 상기 터널들이 적층된 경우에 기판들이 상기 적층된 터널들 사이에서 이송될 수 있도록 상기 인덱서들/엘리베이터들(2030IN)은 상기 적층된 터널들을 연결할 수 있다.
실질적으로 동시에 하나 이상의 웨이퍼들을 이송하도록 구성된 자동화 모듈(2030)은 임의의 적합한 방식으로 상기 프로세싱 도구 모듈들(2020)을 상기 진공 터널들(2010A, 2010B, 2050)에 연결할 수 있다. 상기 자동화 모듈은 하우징을 포함할 수 있으며, 상기 하우징은 내부에 밀봉된 환경을 유지할 수 있는 챔버를 형성하고 기판 포트 개구들을 구비함으로써, 상기 기판 포트 개구들을 통하여 상기 챔버 안팎으로 기판들이 운반된다. 상기 자동화 모듈(2030)의 하우징은 제1 단부(2030E1), 제2 단부(2030E2), 및 상기 단부들 사이에서 연장되는 2개의 측부들(2030S1, 2030S2)을 포함할 수 있다. 상기 측부들의 각각은, 예를 들어 상기 프로세싱 도구 모듈들(2020)의 로드 록들, 진공 터널, EFEM, 적재 포트 모듈(예컨대 상기 적재 포트 모듈은 아래에서 설명될 바와 같이 상기 자동화 모듈에 실질적으로 직접 연결될 수 있다) 및/또는 임의의 다른 적합한 자동화 장비(automation equipment)(예컨대 기판들을 프로세싱 또는 취급하기 위한 장비)에의 결합을 위한 적어도 2개의 기판 운반 개구들 또는 연결 포트들(2030P1, 2030P2, 2030P4, 2030P5)(도 24a, 24b)을 구비할 수 있다. 상기 측부들(2030S1, 2030S2)은 프로세스 도구 조립체(2020, 2020A, 2020B, 2020C)의 측부들과의 맞결합(mating)을 위한 맞결합 정합부(mating interface)를 한정할 수 있다. 상기 하우징의 적어도 일 측부(2030S1, 2030S2)는, 하나 초과의 연결 포트들(2030P1, 2030P2, 2030P4, 2030P5)을 구비하고, 상기 연결 포트들은, 상기 연결 포트들에서 상기 맞결합 정합부에 맞결합되는 상기 프로세스 도구 조립체의 측부에 있는 기판 운반 개구들과 공동으로 상기 자동화 모듈(2030)의 하우징과 상기 프로세스 도구 모듈(들)(2020, 2020A, 2020B, 2020C) 사이의 장비 경계(equipment boundary; EB)를 한정한다. 상이한 프로세싱 도구 모듈들(2020, 2020A, 2020B, 2020C)이 미리 결정된 상이한 특성들을 가질 수 있으며 상기 하우징의 상기 맞결합 정합부에 교환가능하게 맞결합될 수 있다는 점이 주목된다. 상기 프로세싱 도구 모듈들의 연결 포트들 사이의 이격 또는 거리가 변동(vary)될 수 있으며, 상기 자동화 모듈(2030)은, 예를 들어 적어도 상기 자동화 모듈들 안에 배치된 이송 로봇들에 의해 제공되는 리치(reach)를 통하여, 그리고 상기 프로세싱 도구 모듈들에 상기 자동화 모듈들을 결합시킬 수 있는 다양한 장착 구성들을 통하여, 상기 프로세싱 도구 모듈들의 연결 포트들 사이의 거리에 있어서의 이 변동을 수용(accommodate)하도록 구성된다.
일 양상에서 상기 자동화 모듈(2030)은 예컨대 도 21a에 도시된 바와 같이 직교 측부들(orthogonal sides)을 구비하는 것 같은 임의의 적합한 형상(예컨대 직교 형상)을 가질 수 있다는 점이 주목된다. 다른 양상들에서 상기 자동화 모듈(2030’)은 쐐기 형상(wedge shape)을 가질 수 있으며, 여기에서 상기 자동화 모듈(2030’)의 측부들은, 도 20d에 도시된 바와 같은 임의의 적합한 프로세싱 도구들 또는 다른 자동화 장비에의 결합을 위한 면을 가진다. 도 20d에서는 상기 자동화 모듈(2030’)의 상기 면을 가진 측부들(facetted sides)이 상기 자동화 모듈(2030’)의 내부(interior)에 대하여 볼록한 형상을 가지는 것으로 도시되나 다른 양상들에서는 상기 면을 가진 측부들 중 하나 이상이 상기 자동화 모듈(2030’)의 내부에 대하여 오목한 형상을 가질 수 있다는 점이 주목된다. 또 다른 양상들에서 상기 자동화 모듈의 일 측부는 상기 단부들에 직교일 수 있는 반면, 다른 측부는 도 20a에서 도시되는 바와 같이 면을 가질 수 있다. 깨닫게 될 수 있는 바와 같이, 상기 직교 형상 이송 챔버가 상기 직교 형상 자동화 모듈을 프로세싱 도구 모듈의 각진 포트들에 연결될 수 있게 하도록, 쐐기 어댑터(wedge adapter)가 상기 직교 형상 이송 챔버를 위해 제공될 수 있다. 이와 유사하게, 상기 쐐기 형상 자동화 모듈이 프로세싱 도구 모듈의 직교적으로 배치된(orthogonally arranged) 포트들에 연결될 수 있도록, 직교 어댑터(orthogonal adapter)가 상기 쐐기 형상 자동화 모듈을 위하여 제공될 수 있다.
상기 자동화 모듈(2030)의 단부들 중 적어도 하나는, 상기 자동화 모듈(2030)을 예를 들어 상기 운반 터널, 로드 록, 적재 포트 모듈 및/또는 다른 임의의 적합한 자동화 장비(예컨대 기판들을 프로세싱 또는 취급하기 위한 장비)에 결합하기 위한 포트(2030P3, 2030P6)를 포함할 수 있다(도 24a, 24b). 위에서 설명된 운반 로봇들과 실질적으로 유사할 수 있는 적어도 하나의 운반 로봇(transport robot; 2080)은, 실질적으로 기판에 한번 접촉함으로써 하나 이상의 기판들을 상기 운반 터널(및/또는 상기 운반 터널을 통행하는 카트)로부터 상기 프로세싱 도구 모듈들(2020)의 로드 록들 중 임의의 하나에 이송하기 위하여, 적어도 부분적으로 상기 자동화 모듈(2030) 안에 배치될 수 있다. 상기 프로세싱 장치의 하나 이상의 구성요소들이 (도 20b에 도시된 바와 같이) 적층된 평면들 안에 배치된 경우에, 상기 운반 로봇(2080)은, 상기 적층된 프로세싱 평면들(stacked processing planes) 각각에의 접근을 제공하기에 충분한 Z-움직임 기능(capability)을 포함할 수 있다. 일 양상에서 상기 자동화 모듈(2030)은 임의의 적합한 진공 모듈(2040) 또는 다른 임의의 적합한 연결 모듈을 통하여 상기 진공 터널들(2010A, 2010B)(또는 하나 이상의 EFEM들)에 연결될 수 있다. 상기 진공 모듈(2040)은 관통 진공 포드(pass through vacuum pod), 로드 록, 버퍼 모듈, 기판 정렬자 모듈, 상기 진공 터널들(2010A, 2010B) 안에 배치된 셔틀 또는 카트를 위한 셔틀 정합부(shuttle interface) 및/또는 다른 임의의 적합한 모듈일 수 있다. 다른 일 양상에서 상기 자동화 모듈(2030)은 상기 진공 터널, 예컨대 진공 터널(2050)에 실질적으로 직접 결합될 수 있으며, 그럼으로써 상기 자동화 모듈(2030) 안의 이송 로봇(2080)은, 직접적으로 기판들을 상기 진공 터널로, 예컨대 상기 진공 터널(2050) 안의 셔틀 또는 카트로 이송할 수 있다. 아래에서 설명될 바와 같은 또 다른 양상들에서 다른 하나의 프로세싱 도구 모듈이 진공 터널(2050) 대신에 상기 자동화 모듈(2030)에 결합될 수 있으며, 그럼으로써, 대향하는 프로세싱 도구 모듈들은 서로에, 그리고 상기 진공 터널(들)(2010A, 2010B)에 소통가능하게 결합된다.
도 21a를 참조하면 프로세싱 장치(2000)와 실질적으로 유사한 프로세싱 장치(2100)의 개략도가 도시된다. 이 양상에서 상기 자동화 모듈(2030)은 대향하는 프로세싱 도구 모듈들(2120A, 2120B)을 예를 들어 EFEM(2060)에 연결한다. 상기 EFEM(2060)은 내부에 제어된 분위기를 갖는 하우징, 기판 카세트들(2065)과 상기 EFEM(2060) 사이에서 하나 이상의 기판들을 이송하기 위한 적재 포트들(2061-2064), 및 상기 카세트들(2065)과 예를 들어 진공 모듈(2040) 사이에서 상기 기판들을 이송하도록 구성된 이송 로봇(2180)을 포함한다. 일 양상에서 상기 이송 로봇(2180)은 위에서 설명된 것들과 실질적으로 유사할 수 있는 반면 다른 양상들에서 상기 이송 로봇은 임의의 적합한 이송 로봇일 수 있다. 상기 진공 모듈(2040)은, 상기 EFEM(2060)을 상기 자동화 모듈(2030)에 연결하며 이 양상에서는 상기 EFEM(2060)의 분위기와 상기 자동화 모듈(2030)의 (진공 분위기일 수 있는) 분위기 사이의 전이(transition)를 제공하도록 구성된 로드 록일 수 있다. 다른 양상들에서 상기 진공 모듈(2040)은 대기의 모듈(atmospheric module)로 대체될 수 있는 바, 상기 대기의 모듈은, 진공 모듈(2040)과 유사한 특징들을 갖지만 상기 대기의 모듈 및 상기 터널 정합부(tunnel interface; 2030)가 비-진공 모듈들이도록 상기 대기의 모듈 안에 비-진공 환경을 유지하도록 구성될 수 있다(예컨대 상기 기판들이 상기 프로세싱 도구 모듈들(2120A, 2120B)로 이송되는 때에 비-진공과 진공 사이의 전이는 로드 록들(2140A, 2140B)에서 일어날 수 있다).
도 24a도 참조하면 위에서 설명된 바와 같이 상기 자동화 모듈(2030)은 이송 로봇(2080)을 포함한다. 일 양상에서 상기 이송 로봇(2080)은 위에서 설명된 이송 로봇들과 실질적으로 유사할 수 있다. 상기 이송 로봇(2080)의 구동부(2081)도 위에서 설명된 구동부들(200, 700)과 실질적으로 유사할 수 있다. 일 단위로서 상기 어깨 축(SX)을 중심으로 상기 아암(들)(2082) 및 엔드 이펙터(들)(2083)를 회전시키도록 상기 구동부(2081)가 구성될 수 있으며, 그럼으로써 상기 아암(들)(2082)은 기판들을 상기 자동화 모듈(2030)의 양 측방향 측부들로(예컨대 상기 대향하는 프로세싱 도구 모듈들 둘 모두의 로드 록들(2025, 2026)로) 이송하기 위하여 기판들을 (예컨대 진공 터널 및/또는 상기 자동화 모듈(2030)의 종축을 따라) 화살표(2400) 방향 및 화살표(2401) 방향으로 이송할 수 있다. 도 24b를 참조하면, 다른 양상들에서 상기 자동화 모듈(2030)의 이송 로봇(2439)은, 축(X24)을 중심으로 회전가능한 베이스 링크(2450)를 포함할 수 있다. 상기 이송 로봇(2439)은, 이송 로봇(2080)에 대하여 본 명세서에 설명된 것과 실질적으로 유사한 방식으로, 본 명세서에 설명된 개시된 실시예의 각각의 양상들에 채용될 수 있다는 점이 이해되어야 한다. 상기 베이스 링크(2450)는 양면으로 된 붐(double sided boom)의 형태일 수 있으며 상기 축(X24)으로부터 반대 방향들로 길이방향으로 연장됨으로써 상기 축(X24)을 중심으로 회전하는 2개의 길이방향 단부들을 구비한 실질적으로 강성인 링크(substantially rigid link)를 형성할 수 있다. 선택적 순응성 관절 로봇(Selective Compliant Articulated Robot; SCARA) 아암들, 개구리 다리 아암들(frog leg arms), 립프로그 아암들(leapfrog arms), 좌우대칭적 아암들(bi-symmetric arms), 공전 기계적 스위치 유형 아암들(lost motion mechanical switch type arms), 또는 (위에서 설명된) 하나 이상 엔드 이펙터들을 구비한 다른 임의의 적합한 아암을 포함하지만 이에 한정되지는 않는, 임의의 적합한 이송 아암 또는 아암들(2451, 2452)이 개별의 어깨 축(SX1, SX2)에서 상기 베이스 링크(2450)의 각각의 단부에 장착될 수 있다.
상기 이송 로봇(2439)은 실질적으로 회전축(X24) 옆에 또는 상기 회전축(X24)에 인접하여 배치된 구동부(2450D)를 포함할 수 있으며, 상기 구동부(2450D)는 축(X24)을 중심으로 상기 베이스 링크(2450)를 회전시키도록 구성된다. 상기 구동부(2450D)는 임의의 적합한 구동기일 수 있으며, 임의의 적합한 트랜스미션을 통하여서와 같이 임의의 적합한 방식으로 상기 베이스 링크(2450)에 연결될 수 있다. 예컨대 도 2h-2j에 대하여 위에서 설명된 것과 실질적으로 유사한 구동부(2451D, 2452D)가, 상기 아암들(2451, 2452) 중 개별의 하나를 구동시키기 위하여 상기 베이스 링크(2450)의 개별의 단부들에서 배치될 수 있다. 다른 양상들에서 상기 구동부(2451D, 2452D)는 임의의 적합한 구성을 갖는 임의의 적합한 구동부들일 수 있다. 상기 프로세스 도구 모듈들의 로드 록들(2025, 2026), 상기 진공 터널들 안에서 통행하는 상기 카드들, 또는 상기 포트들 중 하나에 연결된 다른 임의의 적합한 기판 유지 위치에/로부터 기판들을 픽킹(picking)하고 위치시키기 위하여, 상기 구동부들(2451D, 2452D)은, 상기 자동화 모듈(2030)을 통하여 신장/수축의 개별의 축들(2490, 2491, 2492)을 따르는 화살표들(2400, 2401)의 방향들로 개별의 아암(들)의 신장 및 수축을 야기하도록 구성될 수 있다. 일 양상에서 상기 구동부들(2451D, 2452D)은, 상기 구동부들의 개별의 아암들을 일 단위로서 개별의 어깨 축들(SX1, SX2)을 중심으로 회전시키도록 구성될 수 있고, 구동기(2450D)는 상기 베이스 링크(2450)를 회전시키도록 구성될 수 있으며, 그럼으로써 각각의 아암(2451, 2452)은, 포트들(2030P3, 2030P6)을 통하여 기판들을 이송하기 위해서 축(2492)을 따라 신장/수축할 수 있다. 양 포트들(2030P3, 2030P6)을 통한 신장/수축에 덧붙여, 도 24b에 보여질 수 있는 바와 같이 상기 아암들(2451, 2452)은 실질적으로 직선인 연장부(substantially straight line extension)를 위하여 구성될 수 있으며, 상기 아암들(2451, 2452)의 나란한 구성(side by side configuration)은 (상기 베이스 링크(2450)의 회전으로써) 아암(2451)이 포트들(2030P1, 2030P4)을 통하여 연장될 수 있도록 할 수 있으며, (상기 베이스 링크(2450)의 회전으로써) 아암(2452)이 포트들(2030P2, 2030P5)을 통하여 연장될 수 있도록 할 수 있다. 다른 양상들에서, 상기 아암들(2451, 2452)의 나란한 구성은 (상기 베이스 링크(2450)의 회전 없이 그러나 축(SX1)을 중심으로 한 상기 아암(2451)의 회전으로써) 아암(2451)이 포트들(2030P2, 2030P4)을 통하여 연장될 수 있도록 할 수 있으며, (상기 베이스 링크(2450)의 회전 없이 그러나 축(SX2)을 중심으로 한 상기 아암(2451)의 회전으로써) 아암(2452)이 포트들(2030P1, 2030P5)을 통하여 연장될 수 있도록 할 수 있다.
또한 상기 이송 로봇(2439)의 아암들(2451, 2452)은, 하나의 아암(2451, 2452)으로부터 다른 아암(2451, 2452)으로 기판들을 인계(hand off)하도록, 구성될 수 있으며 예컨대 컨트롤러(120)(도 1)에 의하여 제어될 수 있다. 예를 들어 일 양상에서 기판들은 상기 아암들(2451, 2452) 사이에서 실질적으로 직접 인계될 수 있다. 다른 일 양상에서는, 상기 아암들(2451, 2452) 중 하나에 의해, 상기 이송 아암(2439)을 제외한, 상기 자동화 모듈(2030) 안에 배치된 기판 유지 위치(2471)에 상기 기판들이 위치될 수 있으며, 그럼으로써, 상기 기판들을 하나의 아암(2451, 2452)으로부터 다른 아암으로 이송하기 위하여 상기 아암들(2451, 2452) 중 다른 하나가 상기 기판을 상기 유지 위치(2471)로부터 픽킹할 수 있다. 또 다른 양상들에서 상기 베이스 아암(2450)은 기판 유지 위치(2471)와 유사한 기판 유지 위치를 포함할 수 있으며(예컨대 상기 기판 유지 위치는 상기 베이스 아암(2450)에 장착된다), 그럼으로써 기판은, 기판 유지 위치(2471)에 대하여 위에서 설명된 것과 실질적으로 유사한 방식으로 하나의 아암으로부터 다른 아암으로 이송될 수 있다.
도 24c 및 24d를 참조하면, 상기 이송 로봇들(2080, 2439)은, 예를 들어 도 2g에 대하여 위에서 설명된 것과 실질적으로 유사한 방식의 수직적으로 대향하는 구성(vertically opposing arrangement)으로 상기 자동화 모듈(2030) 안에 장착될 수 있다. 예를 들어 일 양상에서 상기 아암(2080)은 상기 자동화 모듈(2030)의 상단에 장착될 수 있는 반면에 상기 아암(2439)은 상기 자동화 모듈(2030)의 하단(bottom)에 장착되며, 또는 그 반대도 마찬가지이다. 다른 양상들에서, 제1 이송 아암(2080)은 상기 자동화 모듈의 상단에 장착될 수 있으며, 제2 아암(2080)은 상기 자동화 모듈의 하단에 장착될 수 있다. 또 다른 양상들에서, 제1 이송 아암(2439)은 상기 자동화 모듈의 상단에 장착될 수 있으며, 제2 아암(2439)은 상기 자동화 모듈의 하단에 장착될 수 있다. 깨닫게 될 수 있는 바와 같이, 각각의 상기 이송 아암들(2080, 2439)은, 상기 이송 아암들(2080, 2439)에 의해 옮겨지는(carried) 기판을 상기 진공 터널들(2010) 각각의 이송 평면들(PL) 및 상기 프로세싱 도구 모듈들(2020, 2020A, 2020B, 2020C)의 이송 평면들과 정렬시키기 위하여, 화살표(299) 방향으로 이동가능할 수 있으며, 예컨대 컨트롤러(120)에 의하여서와 같은 임의의 적합한 방식으로 제어될 수 있다. 상기 이송 로봇들(2080, 2439)은, 상기 터널들(2010) 중 임의의 하나 이상 및 상기 자동화 모듈(2030)과 프로세싱 도구 모듈들(2020, 2020A, 2020B, 2020C) 사이에서 (예컨대 카트에/로부터 기판들을 이송하기 위하여, 그리고/또는 상기 자동화 모듈 안으로 연장되는 카트 상의 기판 홀더에의/로부터의 기판의 이송을 위하여 상기 터널 안으로 닿음(reaching)으로써) 기판들을 이송하기 위하여 임의의 적합한 방식으로 제어될 수도 있다. 깨닫게 될 수 있는 바와 같이 상기 이송 로봇들은, 하나의 이송 로봇(2080, 2439)이 다른 이송 로봇(2080, 2439)의 작동을 방해하지 않도록 상기 이송 로봇들의 개별의 축들(X, X24)을 중심으로 회전될 수 있다.
상기 프로세싱 도구 모듈들(2120A, 2120B)(또는 기판들을 유지 또는 프로세싱할 수 있는 다른 임의의 적합한 모듈들)이 대향하는 구성으로 배치되도록, 상기 프로세싱 도구 모듈들(2120A, 2120B)은 상기 자동화 모듈(2030)의 측방향 측부들에 결합될 수 있다. 상기 프로세싱 도구 모듈들(2120A, 2120B)은 위에서 설명된 것들과 실질적으로 유사할 수 있다. 다른 양상들에서 상기 프로세싱 도구 모듈들은 임의의 적합한 구성을 가질 수 있다. 예를 들어 프로세싱 도구 모듈들(2120A, 2120B)은, 하나 이상의 이송 챔버들(2121TC1, 2121TC2)을 포함하는 이송 모듈(transfer module; 2121)을 포함할 수 있으며, 각각의 상기 하나 이상의 이송 챔버들은 거기에 결합된 프로세싱 챔버들(2122)을 구비한다. 각각의 이송 챔버(2121TC1, 2121TC2)는 임의의 적합한 이송 로봇(2150)을 포함할 수 있으며, 그럼으로써 기판들은 직접적인 로봇 대 로봇 이송 또는 (버퍼, 정렬자, 히터, 쿨러(cooler) 또는 임의의 적합한 유지 스테이션일 수 있는) 기판 유지 스테이션(2160A, 2160B)을 통하여 상기 이송 챔버들(2121TC1, 2121TC2) 사이에서 이송된다. 일 양상에서는 상기 이송 모듈(2121)이, 예를 들어 로드 록들(2140A, 2140B)에 의해 상기 자동화 모듈(2030)에 연결될 수 있는 반면, 다른 양상들에서는 상기 이송 모듈(2121)은 상기 자동화 모듈(2030)에 실질적으로 직접 결합될 수 있다.
도 21b를 참조하면 다른 기판 유지 스테이션들, 프로세싱 챔버들 및/또는 진공 터널들이 임의의 적합한 방식으로 상기 자동화 모듈(2030)에 연결될 수 있다. 예를 들면, 임의의 적합한 모듈(2170)(예컨대 기판 정렬자, 히터, 쿨러, 버퍼 등등)이 상기 진공 모듈(2040)의 반대측에서 상기 자동화 모듈(2030)에 결합될 수 있다. 도 21c도 참조하면, (진공 모듈(2040)과 실질적으로 유사할 수 있는) 진공 모듈(2040a) 및/또는 진공 터널(2010)은, 상기 프로세싱 장치의 프로세싱 능력을 모듈 방식으로(modularly) 증가시키기 위하여, 상기 진공 모듈(2040)의 반대측에서 상기 자동화 모듈에 결합될 수 있다. 예를 들어 도 21c에 보여질 수 있는 바와 같이, (위에서 설명된 것과 실질적으로 유사한 방식으로 상기 자동화 모듈에 연결되는) 추가적인 프로세싱 도구 모듈들(2120C, 2120D)이 상기 프로세싱 장치에 추가될 수 있도록 다른 하나의 자동화 모듈(2030A)이 상기 진공 터널(2010)에 결합된다. 깨닫게 될 수 있는 바와 같이, 임의의 적합한 수의 추가적 진공 모듈들(2040), 진공 터널들(2010), 진공 정합 모듈들(vacuum interface modules) 및 프로세싱 도구 모듈들이, 위에서 설명된 것과 실질적으로 유사한 방식으로 상기 프로세싱 장치에 추가될 수 있다.
도 22a를 참조하면 프로세싱 장치(2200)가 개시된 실시예의 양상들에 따라 개념적으로 도시된다. 상기 프로세싱 장치(2200)는 위에서 설명된 프로세싱 장치(2100)와 실질적으로 유사할 수 있으나, 이 양상에서 상기 자동화 모듈(2030)은 진공 터널(2010) 및 진공 모듈(2040)을 통하여 EFEM(2060)에 연결된다. 진공 모듈들(2040) 및/또는 진공 터널들(2010)의 각각은, 아래에서 설명될 바와 같이 동시에 하나 이상의 기판들을 운반 또는 유지하기 위하여 구성될 수 있다. 깨닫게 될 수 있는 바와 같이, 상기 프로세싱 장치의 프로세싱 능력을 증가시키기 위하여 상기 프로세싱 장치(2200)는 위에서 설명된 것과 실질적으로 유사한 방식으로, 도 22b에 도시된 바와 같이 임의의 적합한 수의 추가적 진공 모듈들(2040), 진공 터널들(2010A) 및/또는 자동화 모듈들(2030A)의 추가에 의해 확장될 수도 있다. 결합 또는 연결된 진공 모듈들(2040), 진공 터널들(2010) 및 자동화 모듈들(2030)은, 운반 축(transport axis; TX)을 따라 연장되어 모듈식 터널(modular tunnel)을 형성하는 바, 상기 모듈식 터널은 예를 들어 위에서 언급된 상기 진공 모듈들(2040), 진공 터널들(2010) 및 자동화 모듈들(2030)을 추가함으로써 임의의 적합한 길이로 연장될 수 있다는 점이 주목된다. 깨닫게 될 수도 있는 바와 같이, 상기 운반 축(TX)이 연장되는 방향이 변화되게 하기 위하여 다른 모듈들이 상기 진공 모듈(2040’)에 연결될 수 있도록, 진공 모듈(2040’)과 같은 진공 모듈들은 하나 이상의 측부들 상에 포트들(2040C1-2040C4)을 포함할 수 있다. 상기 진공 모듈(2040’)은, 상기 기판이 이송 경로(TX1)로부터 이송 경로(TX2)로 전이(transition)할 때 상기 기판의 결정 구조가 미리 결정된 정렬 위치(alignment position)에서 유지되도록, 상기 기판을 회전시킬 수 있는 회전 모듈(rotation module; 2040RR)을 포함할 수 있다. 상기 회전 모듈(2040RR)은, 상기 이송 경로들(TX1, TX2)을 따라 통행하는 운반 카트와 상기 자동화 모듈(2030) 안의 2개 이상의 이송 로봇들 사이에서 기판들의 인계를 촉진할 수 있는 인덱서/엘리베이터 또는 기판 버퍼의 부분(part)일 수 있다.
본 명세서에 설명된 프로세싱 장치는, 상기 프로세싱 장치 안의 하나 초과의 위치에서 상기 프로세싱 장치로의/로부터의 기판들의 입/출(entry/exit)을 가능하게 하도록 구성될 수도 있다. 예를 들어 도 23a를 참조하면 EFEM(2060A, 2060B)은 진공 모듈들(2040A, 2040B, 2040C), 상기 진공 터널(2010) 및 상기 자동화 모듈들(2030A, 2030B)에 의해 형성된 운반 터널의 양 단부들에 연결될 수 있다. 여기에서, 일 양상에서는 기판들이 EFEM(2060A)을 통하여 상기 프로세싱 장치로 들어가고 EFEM(2060B)을 통하여 나올 수 있으며, 또는 그 반대도 마찬가지이다. 다른 양상들에서 상기 기판들은 EFEM(2060A 및 2060B) 중 임의의 하나 이상을 통하여 들어가고 나올 수 있다. 도 23b도 참조하면, 상기 프로세싱 장치로/로부터 기판들을 추가/제거하기 위한 입/출 지점은 상기 운반 터널의 단부들 사이에 배치될 수도 있다. 예를 들어, 진공 모듈(2040’)과 같은 진공 모듈들이 상기 운반 터널에 추가되어, 상기 운반 터널의 단부들 사이의, 중점(midpoint) 또는 다른 임의의 점에서의 EFEM(2060C)의 연결을 가능하게 할 수 있다. 여기에서, 일 양상에서는 기판들이 EFEM(2060A)을 통하여 상기 프로세싱 장치에 들어가고 EFEM(2060B) 및/또는 EFEM(2060C)을 통하여 나올 수 있다; 기판들이 EFEM(2060B)을 통하여 상기 프로세싱 장치에 들어가고 EFEM(2060A) 및/또는 EFEM(2060C)을 통하여 나올 수 있다; 기판들이 EFEM(2060C)을 통하여 상기 프로세싱 장치에 들어가고 EFEM(2060A) 및/또는 EFEM(2060B)을 통하여 나올 수 있다. 다른 양상들에서 상기 기판들은 EFEM(2060A, 2060B 및 2060C) 중 임의의 하나 이상을 통하여 들어가고 나옴으로써 상기 프로세싱 장치를 통하는 임의의 적합한 프로세스 흐름(process flow)이 형성될 수 있다.
이제 도 25a 및 25b를 참조하면 상기 진공 터널(2010)은 하나 이상의 진공 터널 모듈들(2500A-2500n)을 포함할 수 있으며, 상기 하나 이상의 진공 터널 모듈들은 함께 밀봉 결합되어 임의의 적합한 길이를 갖는 진공 터널을 형성할 수 있다. 각각의 진공 터널 모듈(2500A-2500n)은, 상기 진공 터널 모듈들의 서로에의 연결 또는 본 명세서에 설명된 프로세싱 장치의 다른 임의의 적합한 모듈에의 연결을 가능하게 하도록, 상기 진공 터널 모듈(2500A-2500n)의 각각의 단부에서 연결 포트(2500P)를 포함할 수 있다. 이 양상에서 각각의 진공 터널 모듈(2500)은, 적어도 하나의 운반 카트 안내부(transport cart guide; 2510), 및 적어도 하나의 운반 카트(2530)를 개별의 진공 터널 모듈(2500)을 통하여 구동시키기 위한 적어도 하나의 모터 구성요소(motor component; 2520)를 포함한다. 상기 포트들(2500P)은 상기 포트들을 통한 상기 운반 카트들의 통과(passage)를 가능하게 하는 크기로 될(sized) 수 있다는 점이 주목된다. 깨닫게 될 수 있는 바와 같이, 2개 이상의 진공 터널 모듈들(2500)이 서로에 결합된 때에, 각각의 진공 챔버 모듈(2500)의 상기 적어도 하나의 운반 카트 안내부(2510)는 실질적으로 연속적인 운반 카트 안내부를 형성하며, 상기 실질적으로 연속적인 운반 카트 안내부는 상기 진공 터널(2010)의 길이방향 단부들(2010E1, 2010E2) 사이에서 상기 운반 카트(2530)의 통과를 가능하게 하기 위하여 상기 진공 터널(2010)을 통하여 연장된다. 상기 진공 챔버 모듈들(2500) 각각의 상기 적어도 하나의 모터 구성요소(2520)도 실질적으로 연속적인 모터 구성요소를 형성하며, 상기 실질적으로 연속적인 모터 구성요소는 상기 진공 터널(2010)의 단부들(2010E1, 2010E2) 사이에서 상기 운반 카트의 실질적으로 연속적인 구동 움직임(driving movement)을 가능하게 한다.
도 26a, 26b, 26c 및 27b도 참조하면, 상기 적어도 하나의 운반 카트(2530, 2531, 2530’, 2531’) 각각은 베이스(2530B, 2530B’) 및 상기 베이스(2530B, 2530B’)로부터 연장되는 적어도 하나의 기판 홀더(2530S, 2531S, 2530S’, 2531S’)를 포함할 수 있다. 일 양상에서 상기 기판 홀더(2530S, 2531S, 2530S’, 2531S’)는 개별의 베이스(2530B, 2530B’)로부터 캔틸레버식으로 될(cantilevered) 수 있는 반면, 다른 양상들에서 상기 기판 홀더(2530S, 2531S, 2530S’, 2531S’)는 임의의 적합한 방식으로 개별의 베이스(2530B, 2530B’)로부터 지지될 수 있다. 상기 기판 홀더(2530S, 2531S, 2530S’, 2531S’)는, 아래에서 더 상세하게 설명될 바와 같이 하나 이상의 기판들(S)을 능동적으로 또는 수동적으로(actively or passively) 파지/유지(gripping/holding)하기 위하여 임의의 적합한 형상을 가질 수 있다. 상기 베이스(2530B, 2530B’)는, 상기 운반 카트(2530, 2531, 2530’, 2531’)의 상기 진공 터널(2010)을 통한 움직임을 가능하게 하기 위하여 상기 적어도 하나의 모터 구성요소(2520, 2521, 2520’, 2521’) 중 개별의 하나 및 상기 적어도 하나의 운반 카트 안내부(2510, 2510’)의 개별의 하나와 정합(interface with)되도록 임의의 적합한 방식으로 구성될 수 있다. 깨닫게 될 수 있는 바와 같이, 상기 진공 터널이 하나 초과의 운반 카트를 포함하는 경우, 상기 운반 카트들 각각은, 다른 운반 카트들이 상기 터널 안에서 기판들을 운반하고 있는 동시에 상기 터널 안에서 기판들을 이송할 수 있다(즉 하나 초과의 기판이 동시에 상기 터널 안에서 운반될 수 있다). 일 양상에서는 상기 운반 카트(2530)는 수동식(passive) 운반 카트(예컨대 상기 카트는 실질적으로 고정되고 정지된 기판 홀더를 구비한다)인 것으로 본 명세서에 설명되고 도시되는 반면에 다른 양상들에서는 상기 운반 카트가, 카트로 운반되는(cart borne) 이송 아암을 포함하는 능동식 카트일 수 있으며, 상기 카트로 운반되는 이송 아암은, 상기 진공 터널(2010)의 단부들을 지나(past) 연장될 수 있는 하나 이상의 관절식 링크들을 구비한다. 운반 카트들의 적합한 예시들은, 미국 특허 번호 제8,197,177호; 제8,129,984호; 제7,959,395호; 제7,901,539호; 제7,575,406호; 및 5,417,537호, 및 미국 특허 공개공보 번호 제2012/0076626호; 제2011/0158773호; 제2010/0329827호; 제2009/0078374호 및 제2009/0191030호에서 찾을 수 있으며, 그것들의 개시는 그 전체가 본 명세서에 참조 병합된다.
도 26a, 26b, 26c 및 27b에 보여질 수 있는 바와 같이 상기 베이스(2530B, 2530B’)는 일반적으로 상기 진공 챔버 모듈(2500, 2500’)의 측방향 측부를 향해 배치되지만 다른 양상들에서 상기 베이스는 임의의 적합한 위치에 배치될 수 있다. 또한 상기 기판 홀더들(2530S, 2531S, 2530S’, 2531S’)은 일반적으로 진공 챔버 모듈(2500, 2500’)의 중심선(centerline; CL)을 향하여 상기 베이스(2530B, 2530B’)로부터 연장되는 것으로 도시되나, 다른 양상들에서 상기 기판 홀더들(2530S, 2531S, 2530S’, 2531S’)은 상기 진공 챔버 모듈들(2500, 2500’) 안에서 기판들(S)을 지지하기 위하여 임의의 적합한 방향으로 연장될 수 있다. 깨닫게 될 수 있는 바와 같이, 상기 진공 챔버 모듈(2500, 2500’) 안에 하나 초과의 운반 카트(2530, 2531, 2530’, 2531’)가 있는 경우에 상기 기판 홀더들(2530S, 2531S, 2530S’, 2531S’)은 상이한 이격된 평면들(different spaced apart planes; 2698, 2699) 안에 배치될 수 있으며, 그럼으로써 상기 운반 카트들(2530, 2531, 2530’, 2531’)은 상기 진공 챔버 모듈들(2500, 2500’) 안에서 서로의 옆을 지나갈(pass by one another) 수 있다. 도면들에 2개의 평면들(2698, 2699)만이 도시되어 있는 동안에도 임의의 적합한 수의 이송 평면들 및 그 이송 평면들 내에서 작동하는 상응하는 기판 홀더들이 있을 수 있다는 점이 이해되어야 한다. 깨닫게 될 수 있는 바와 같이, 상기 운반 카트들(2530, 2531, 2530’, 2531’)과 정합하는(interfacing with) 운반 로봇들은, 양 운반 평면(2698, 2699)을 따라 옮겨지는 기판들에 접근하기 위한 임의의 적합한 양의 Z-움직임 능력(capability)을 가질 수 있다.
각각의 진공 챔버 모듈(2500)의 상기 적어도 하나의 모터 구성요소(2520) 및 운반 카트 안내부(2510)는, 상기 진공 터널(2010)을 통한 상기 운반 카트(2530)의 구동 및 상기 운반 카트(2530)와의 정합을 위한 임의의 적합한 모터 구성요소 및 안내부일 수 있다. 일 양상에서 도 25a-26c에 도시된 바와 같이, 상기 적어도 하나의 모터 구성요소는 상기 진공 챔버 모듈들(2500)의 각각의 측방향 측부들 상에 배치될 수 있다. 다른 양상들에서, 도 27a 및 27b를 참조하면 상기 적어도 하나의 모터 구성요소는 상기 진공 챔버 모듈들(2500) 각각의 하단 또는 상단에 배치될 수 있다. 예를 들어 상기 모터 구성요소(2520)는, (예컨대 상기 운반 카트를 구동 및 부상시키는 정지된 권선들을 구비하는) 자기 부상 장치, (예컨대 상기 체인/케이블에 의해 상기 카트가 상기 진공 터널을 통하여 끌리거나/밀어넣어지는) 체인/케이블 구동기, (예컨대 볼 스크루에 의해 상기 카트가 상기 진공 터널을 통하여 끌리거나/밀어넣어지는) 볼 스크루 구동기(ball screw drive), (예컨대 이동가능 자석이 상기 진공 터널의 길이를 따라 구동되고 상기 운반 카트는 상기 이동가능 자석에 자기적으로 결합되는 자석들을 포함함으로써, 상기 이동가능 자석이 상기 진공 터널의 길이를 따라 구동됨에 따라 상기 운반 카트가 상기 이동가능 자석에 의해 구동되는) 자기 결합 구동기(magnetic coupling drive), 또는 그것들의 임의의 조합 또는 다른 임의의 적합한 구동기와 같은, 임의의 적합한 구동 시스템의 임의의 구성요소일 수 있거나 상기 임의의 적합한 구동 시스템의 임의의 구성요소를 포함할 수 있다. 상기 운반 카트 안내부(2510)는, 예를 들어 접촉 안내 부재(contact guide member)(예컨대 하나 이상의 레일들, 롤러들, 베어링들 등등) 또는 비접촉 안내 부재(contactless guide member)(예컨대 자기적, 자기 부상) 안내 부재들일 수 있다. 비접촉 운반 카트 안내부 및 접촉 운반 카트 안내부 및 구동 시스템들의 적합한 예시들은, 예를 들어 미국 특허 번호 제8,197,177호; 제8,129,984호; 제7,959,395호; 제7,901,539호; 제7,575,406호; 및 제5,417,537호, 및 미국 특허 공개공보 번호 제2012/0076626호; 제2011/0158773호; 제2010/0329827호; 제2009/0191030호; 및 제2009/0078374호에서 찾을 수 있으며, 그것들의 개시는 그 전체가 본 명세서에 참조 병합된다.
도 26a, 26b, 26c 및 27b에 도시된 바와 같은 일 양상에서, 상기 적어도 하나의 운반 카트 안내부(2510)는, 상기 베이스(2530B, 2530B’) 가 올라타서 따라가는(ride along) 레일 또는 베어링일 수 있다. 깨닫게 될 수 있는 바와 같이, 이 양상에서 상기 적어도 하나의 운반 카트 안내부(2510, 2510’)는 개별의 운반 카트(2530)를 물리적으로 지지(예컨대 접촉)할 수 있다. 상기 적어도 하나의 모터 구성요소(2520)는 하나 이상의 정지된 권선들(2520W)을 포함할 수 있으며, 상기 운반 카트(2530, 2531, 2530’, 2531’)는, 상기 적어도 하나의 운반 카트(2530, 2531, 2530’, 2531’) 중 개별의 하나를 상기 적어도 하나의 운반 카트 안내부(2510, 2510’) 중 개별의 하나를 따라 구동시키기 위하여 상기 권선들(2520W)과 정합하는(interface with) 하나 이상의 자기 플래턴들(magnetic platens; 2530P)을 포함할 수 있다. 상기 자기 플래턴들(2530P)은, 임의의 적합한 방식으로 상기 운반 카트 베이스(2530B, 2530B’)와 일체로 되거나 상기 운반 카트 베이스(2530B, 2530B’)에 부착(affix)될 수 있다. 상기 적어도 하나의 모터 구성요소(2520, 2521)는 예컨대 컨트롤러(120)(도 1)와 같은 임의의 적합한 컨트롤러에 연결될 수 있는 바, 여기에서 상기 컨트롤러(120)는 상기 운반 카트(2530, 2531, 2530’, 2531’) 중 개별의 하나를 구동시키기 위하여 상기 권선들을 제어하도록 구성 또는 프로그램(program)될 수 있다. 임의의 적합한 실드(들)(shield(s))(2620, 2620’)가 상기 적어도 하나의 운반 카트 안내부(2510, 2510’)와 상기 적어도 하나의 운반 카트(2530, 2531, 2530’, 2531’)의 상호작용에 의해 발생되는 임의의 입자들을 실질적으로 담음으로써 상기 진공 터널(2010) 안에서 운반되는 기판들(S)에 상기 입자들이 옮겨가지 않게끔 방지하기 위하여, 상기 임의의 적합한 실드(들)(shield(s))(2620, 2620’)가 상기 적어도 하나의 운반 카트 안내부(2510, 2510’)에 인접하여 배치될 수 있다. 깨닫게 될 수 있는 바와 같이, 상기 결합된 진공 챔버 모듈들(2500A-2500n)에 의해 형성된 운반 커널의 단부들 사이에서 상기 적어도 하나의 운반 카트(2530)의 위치를 추적(track)하기 위하여, 임의의 적합한 위치 피드백 장치(들)(2610)가 상기 적어도 하나의 운반 카트(2530) 및 진공 챔버 모듈(2500) 중 하나 이상의 위에(on) 포함될 수 있다. 상기 위치 피드백 장치(들)(2610)는, 상기 컨트롤러(120)에 신호를 송신하기 위하여 상기 컨트롤러(120)에 연결될 수 있는 바, 상기 컨트롤러(120)는 (예컨대 상기 운반 터널 안에 미리 결정된 위치로 상기 적어도 하나의 운반 카트(2530)를 구동시키도록) 상기 권선들(2520W)을 제어하기 위해 이용될 수 있다. 위치 피드백 장치들의 적합한 예시들은 예를 들어 미국 특허 제8,129,984호 및 미국 특허 공개공보 제2009/0033316호에서 찾을 수 있으며, 그것들의 개시는 그 전체가 본 명세서에 참조 병합된다.
도 28a을 참조하면, (진공 터널(2010)과 실질적으로 유사할 수 있는) 진공 터널(2800)의 일부분은, 예시적인 목적만으로 2개의 진공 터널 모듈들(2500)을 갖는 것으로 도시된다. 일 양상에서 상기 진공 터널(2800) 안에서 작동하는 운반 카트들(2530, 2531)의 기판 홀더들(2530S, 2531S)은 상기 진공 터널(2800) 안에서 길이방향으로 연장됨으로써, 상기 기판 홀더들(2530S, 2531S) 상에 유지되는 기판(S)을 진공 모듈들(2040, 2040A, 2040B)과 같은 임의의 적합한 기판 유지 스테이션으로 이송하기 위하여 또는 예를 들어 EFEM(2060) 또는 자동화 모듈(2030) 안에 배치된 이송 로봇에 실질적으로 직접 상기 기판들(S)을 인계하기 위하여, 각각의 기판 홀더(2530S, 2531S)가 미리 결정된 거리(DE)만큼 상기 터널 밖으로 연장되도록 구성될 수 있다. 다른 양상들에서 상기 기판 홀더들(2530S, 2531S)은 임의의 적합한 구성 또는 형상을 가질 수 있다. 이 양상에서 상기 기판 홀더들(2530S, 2531S)은, 예컨대 상기 진공 터널(2800)의 길이방향 단부(2800E1)를 향하여, 공통의 방향을 바라보며(facing), 그럼으로써 상기 기판 홀더들(2530S, 2530S1)은 기판들(S)을 이송하기 위하여 상기 단부(2800E1)를 지나서 연장될 수만 있다. 깨닫게 될 수 있는 바와 같이, 본 명세서에 설명된 이송 로봇들 같이, 상기 진공 터널(2800)의 길이방향 단부(2800E2)에서 배치되는 임의의 자동화부(automation)는, 실질적으로 직접 상기 기판 홀더들(2530S, 2531S)에 기판들(S)을 픽킹하고 위치시키기 위하여, 미리 결정된 양(DL) 만큼 상기 진공 터널(2800) 안으로 연장되도록 구성될 수 있다.
도 28b 및 28c를 참조하면 진공 터널(2800’)의 일부분은 예시적인 목적만으로 2개의 진공 터널 모듈들(2500) 및 정합 모듈(2820)을 구비하는 것으로 도시된다. 도 28에 보여질 수 있는 바와 같이 상기 진공 터널(2800’) 안에서 작동하는 (도 28a에 대하여 위에서 설명된 운반 카트들과 실질적으로 유사할 수 있는) 2개의 운반 카트들(2530, 2531)이 있다. 개시된 실시예의 이 양상에서도, 상기 운반 카트들의 기판 홀더들(2530S, 2531S)은 상기 진공 터널(2800’) 안에서 길이방향으로 연장되지만, 상기 기판 홀더들은 공통의 방향으로 연장되기보다는 반대 방향들로 연장된다(기판 홀더(2530S)는 단부(2800E1)를 향하여 연장되며 기판 홀더(2531S)는 단부(2800E2)를 향하여 연장된다). 이 양상에서 상기 기판 홀더(2530S)는, 도 28a에 대하여 위에서 설명된 것과 유사한 방식으로 상기 기판 홀더(2530S)와 임의의 적합한 기판 유지 스테이션 및/또는 이송 로봇 사이에서 기판들을 이송하기 위하여, 상기 진공 터널(2800’)의 상기 단부(2800E1)를 지나서 연장된다. 이와 유사하게 상기 기판 홀더(2531S)는, 도 28a에 대하여 위에서 설명된 것과 유사한 방식으로 상기 기판 홀더(2531S)와 임의의 적합한 기판 유지 스테이션 및/또는 이송 로봇 사이에서 기판들을 이송하기 위하여 상기 진공 터널(2800’)의 상기 단부(2800E2)를 지나서 연장된다. 일 양상에서 상기 기판 홀더(2531S) 상에 위치된 기판들은 기판 홀더(2530S)로 이송되어, 기판 홀더(2531S)가 상기 단부(2800E1)를 지나서 연장될 수 없을 때에 상기 기판이 이송 로봇의 기판 유지 위치에 이송될 수 있도록 하며, 그 반대도 마찬가지이다. 그것으로써, 적어도 하나의 정합 모듈(2820)은 진공 터널 모듈들(2500) 사이에 배치될 수 있으며 상기 기판 홀더들(2530S, 2531S) 사이에서 기판들(S)의 이송을 가능하게 하도록 구성될 수 있다. 예를 들어 정합 모듈(2820)은, 화살표(2899)의 방향으로(예컨대 상기 기판들의 이송 평면에 실질적으로 직각인 방향으로) 이동가능한 기판 지지체(substrate support; 2820E)를 포함할 수 있다. 상기 정합 모듈(2820)은 상기 진공 챔버 모듈들에 대하여 위에서 설명된 것과 실질적으로 유사한 방식으로 상기 운반 카트들(2530, 2531)을 위한 안내 레일들 및 모터들 구성요소들을 포함할 수 있다. 상기 기판 지지체(2820E)는, 상기 기판들의 상기 기판 홀더들(2530S, 2531S) 사이에서의 이송을 위해, 상기 운반 카트들(2530, 2531)이 상기 정합 모듈(2820)을 통과하는 것을 가능하게 하도록, 그리고 상기 기판 홀더들(2530S, 2531S) 상에 유지되는 기판들(S)의 상기 기판 지지체(2820E)와의 정렬을 가능하게 하도록, 구성될 수 있다. 예를 들어 운반 카트(2531)로부터 운반 카트(2530)로의 기판의 이송을 위하여, 상기 컨트롤러(120)(도 1)는, 상기 기판이 상기 기판 지지체(2820E)와 정렬되게끔 상기 운반 카트(2531)가 위치되도록, 상기 운반 카트(2531)를 제어할 수 있다. 상기 기판 지지체(2820E)는 상기 기판 홀더(2531S)로부터 상기 기판(S)을 들어올리도록(lift) 화살표(2899)의 방향으로 움직일 수 있다. 상기 컨트롤러(120)는 상기 운반 카트(2531)가 상기 기판 지지체(2820E)로부터 멀어지게 움직이도록 할 수 있으며, 상기 기판 홀더(2530S)를 상기 기판 지지체(2820E)와 정렬하기 위하여 상기 운반 카트(2530)를 제어할 수 있다. 상기 기판 지지체(2820E)는, 상기 기판(S)을 상기 기판 홀더(2530S) 상에 위치시키기 위하여 상기 방향(2899)으로 움직일 수 있다. 깨닫게 될 수 있는 바와 같이 일 양상에서 임의의 적합한 센서들(2820SS)이 상기 정합 모듈(2820) 안에 제공될 수도 있으며, 상기 기판을 미리 결정된 배향(orientation)으로 정렬하기 위하여 상기 기판 지지체(2820E)에 의해 회전되는 기판을, 상기 센서들이 스캐닝(scan)할 수 있도록 상기 기판 지지체(2820E)가 회전가능할 수 있다. 다른 일 양상에서 상기 기판 지지체(2820E)는 임의의 적합한 구동 메커니즘에 의해 화살표(2898)의 방향으로 이동가능할 수 있으며, 그럼으로써 상기 센서들(2820SS)이 상기 기판을 스캐닝할 수 있으며, 상기 기판 지지체(2820E)는, 상기 기판을 상기 운반 카트들의 기판 홀더들 상에 중심정렬(centering)시키기 위하여 화살표(2898)의 방향으로 움직일 수 있다.
도 30a 및 30b를 참조하면 개시된 실시예의 일 양상에서 상기 진공 터널들 안에서 작동하는 운반 카트들은, 각각의 운반 카트가 상기 진공 터널의 양 단부들을 지나서 연장될 수 있도록, 회전가능한 기판 홀더들을 포함할 수 있다. 예를 들어 (운반 카트들(2530, 2531)과 실질적으로 유사할 수 있는) 운반 카트(3030)는, 안내 부재(2510, 2510’)에 올라타서 따라가도록(ride along) 구성된 베이스(3030B), 및 기판 홀더 지지부(support section; 3030S)를 포함한다. 기판 홀더(3030S1)는, 상기 기판 홀더(3030S1)가 축(RX)을 중심으로 회전하도록 임의의 적합한 방식으로 상기 기판 홀더 지지부(3030S)에 회전가능하게 장착될 수 있다. 구동 결합 부재(drive coupling member; 3030M)는, 상기 기판 홀더가 상기 진공 터널의 양 단부들을 지나서 연장될 수 있도록 상기 기판 홀더(3030S1)를 상기 축(RX)을 중심으로 적어도 약 180° 회전시키기 위하여, 상기 기판 홀더(3030S1)에 결합될 수 있다. 깨닫게 될 수 있는 바와 같이, 기판들을 상기 기판 홀더로 그리고 상기 기판 홀더로부터 이송하기 위해 상기 기판 홀더가 상기 진공 터널의 상기 단부들을 지나서 연장되는 것을 가능하게 하기 위하여, 상기 기판 홀더(3030S1) 및/또는 상기 구동 결합 부재(3030M)는, 상기 기판 홀더(3030S1)를 미리 결정된 위치에 유지시키기 위한 임의의 적합한 기계적 또는 고체 상태 잠금 메커니즘(들)(mechanical or solid state locking mechanism(s); 3030L)을 포함할 수 있다. 일 양상에서 상기 기판 홀더(3030S1)의 길이(LL) 및 그것의 구성은, 상기 기판 홀더(3030S1)가 상기 진공 터널 안에서 임의의 지점에서 회전할 수 있도록 하는 것일 수 있다. 다른 양상들에서 상기 기판 홀더(3030S1)의 길이(LL)는, 상기 기판 홀더(3030S1)가 상기 진공 터널의 폭(WW)(도 31a) 안에서 회전할 수 없도록 하는 것일 수 있다. 도 31a를 참조하면, 상기 기판 홀더(3030S1)의 회전을 가능하게 하도록 (진공 터널(2010)과 실질적으로 유사할 수 있는) 상기 진공 터널(3100)은 배향 모듈(orientation module; 3120)을 포함할 수 있다. 상기 운반 카트(3030)가 상기 배향 모듈(3120)을 통과할 수 있게 하도록 상기 배향 모듈(3120)은, 위에서 설명된 것과 실질적으로 유사한 방식으로 안내 레일들 및 모터 구성요소들을 포함할 수 있다. 상기 배향 모듈(3120)은, 상기 기판 홀더(3030S1)의 방향을 변경시키기 위하여 상기 기판 홀더(3030S1)가 회전하는 것을 가능하게 하도록 형상화된 하우징을 구비할 수 있다. 이 양상에서 상기 배향 모듈(3120)은 상기 기판 홀더(3030S1)의 회전을 가능하게 하기 위하여 상기 하우징이 실질적으로 원형으로 형상화된 부분(substantially circular shaped portion; 3120R)을 갖는 것으로 도시되지만, 다른 양상들에서 상기 하우징은 임의의 적합한 형상 및/또는 구성을 가질 수 있다. 구동기(3110)는, 상기 운반 카트(3030)의 구동 결합 부재(3030M)와 정합하기 위하여 상기 배향 모듈(3120) 안에 배치될 수 있다. 예를 들어 상기 구동 결합 부재(3030M) 및 상기 구동기(3110)는, 비-접촉 방식으로 상기 구동 결합 부재(3030M)를 상기 구동기에 자기적으로 결합시키기 위한 하나 이상의 자석들을 포함할 수 있다. 다른 양상들에서 상기 구동 결합 부재(3030M) 및 상기 구동기(3110)는 임의의 적합한 방식으로 서로에 결합될 수 있다. 상기 잠금 메커니즘(들)(3030L)은, 상기 구동 결합 부재(3030M) 및 상기 구동기(3110)가 결합된 때에는 상기 잠금 메커니즘(들)이 해제되어 상기 기판 홀더(3030S1)의 회전이 가능하게 되고, 상기 구동 결합 부재(3030M) 및 상기 구동기(3110)가 비-결합된(de-coupled) 때에는 상기 잠금 메커니즘(들)(3030L)이 맞물리도록, 구성될 수 있다. 작동 중에 상기 컨트롤러(120)(도 1)는 상기 배향 모듈(3120) 내의 구동기(3110)와 상기 구동 결합 부재(3030M)를 정렬하도록 상기 운반 카트(3030)를 움직일 수 있다. 상기 기판 홀더(3030S1)가 상기 진공 터널(3100)의 양 단부들을 지나서 연장될 수 있도록 하게끔 상기 기판 홀더가 (회전 전의 상기 기판 홀더의 방향에 비하여) 실질적으로 반대 방향을 바라보도록, 상기 구동기(3110)는 상기 기판 홀더(3030S1)를 적어도 약 180° 회전시키기 위하여 작동될 수 있다.
깨닫게 될 수 있는 바와 같이, 그리고 위에서 언급된 바와 같이 본 명세서에 설명된 기판 홀더들은 하나 초과의 기판을 유지하도록 구성될 수 있다. 예를 들어 도 29를 참조하면, 상기 기판 홀더들은 기판들의 일괄 이송(batch transfer)을 위하여 구성될 수 있다. 예를 들어 일괄 기판 홀더(2930)는, 상이한 이격된 평면들 안에서 기판들을 유지하기 위하여 임의의 적합한 수의 이격된 기판 지지체들(2930S1, 2930S2)을 포함할 수 있다. 상기 기판 홀더들은, 도 31c에 도시된 바와 같이, 실질적으로 동일한 평면 내에서 서로 일렬로 늘어선(in line with each other) 적어도 2개의 기판들을 유지할 수 있는 이중 단부(double ended) 기판 홀더들(3030S2)을 포함할 수도 있다. 다른 양상들에서 상기 기판 홀더들은 임의의 적합한 조합의, (예컨대 상이한 평면들 안에서 기판들을 유지하기 위한) 이격된 기판 홀더들 및 이중 단부 기판 홀더들을 구비할 수 있다. 깨닫게 될 수도 있는 바와 같이, 위에서 설명된 것들과 같은 운반 카트들은 기판들의 신속한 맞교환을 가능하게 할 수 있다. 예를 들어 각각의 카트가 동일 방향을 바라보는 기판 홀더들을 구비한 경우 하나의 운반 카트는 기판을 픽킹할 수 있으며 다른 운반 카트는 실질적으로 즉시 계속하여(in substantially immediate succession) 기판을 위치시킬 수 있다. 운반 카트가 일괄 기판 홀더를 포함하는 경우 상기 일괄 홀더 안의 일 지지체는 빈 채로 남을 수 있으며, 그럼으로써 프로세싱되지 않은 기판이 다른 지지체로부터 제거되는 동안에 프로세싱된 기판은 실질적으로 즉시 계속하여, 상기 빈 지지체 내에 위치될 수 있으며, 그 반대도 마찬가지이다. 상기 기판 홀더가 이중 단부 기판 홀더를 포함하는 경우 배향 챔버(3120)는 상기 진공 터널의 상기 단부들에서 위치될 수 있으며, 그럼으로써 실질적으로 즉시 계속하여, 상기 이중 단부 기판 홀더의 일 측부는 기판을 픽킹할 수 있고 상기 홀더는 회전될 수 있으며 상기 이중 단부 기판 홀더의 다른 측부는 기판을 위치시킬 수 있다.
위에서 언급된 바와 같이 일 양상에서 본 명세서에 설명된 운반 카트들 중 하나 이상은, 상기 이송 카트 상에 배치된 이송 아암을 포함할 수 있고, 상기 이송 아암은, 기판을 픽킹하고 상기 진공 터널의 외측 또는 상기 진공 터널의 단부들 너머의 위치로 상기 기판을 위치시키기 위하여 신장 및 수축할 수 있다. 예를 들어 도 32를 참조하면 상기 운반 카트(3200)는 연장가능 아암 링크들을 구비한 아암(3200A)을 포함한다. 상기 링크들은, 상기 베이스 링크(3201)가 회전할 때 상기 기판 홀더(3203)가 상기 이송 경로(TX)를 따라 신장/수축하게끔 구속되도록 임의의 적합한 방식으로 서로에 연결될 수 있다. 일 양상에서 상기 운반 카트(3200)는 베이스 아암 구동기를 포함할 수 있으며 상기 베이스 아암 구동기는, 상기 운반 카트가 캠(cam; 3200C)을 통과함에 따라 상기 캠이 상기 베이스 아암 구동기에 맞물려서, 상기 기판 홀더(3203)를 연장시키기 위한 상기 베이스 아암(3201)의 회전을 야기하도록, 진공 터널 모듈(2500) 안에 미리 결정된 위치(예컨대 상기 진공 터널의 단부에서, 또는 기판들을 이송하도록 상기 아암이 연장될 임의의 적합한 위치에서)로서 배치된 상기 캠(3200C)에 맞물리도록 구성될 수 있다. 상기 기판 홀더(3203)를 수축시키기 위해 상기 운반 카트가 상기 캠으로부터 멀어지도록 움직일 수 있다. 상기 베이스 아암 구동기가 상기 캠과의 맞물림이 해제될 때에 상기 아암이 수축되도록, 상기 아암(3200A)은, 예컨대 스프링들 또는 다른 편향 부재들(biasing members)을 통하여 상기 수축된 구성으로 편향될 수 있다. 다른 양상들에서 상기 아암의 신장은 자기 결합 구동기를 통하여 구동될 수 있다. 예를 들어 모터 구성요소들(3301, 3302)은 상기 진공 터널 안에 미리 결정된 위치들에서 (예컨대 상기 진공 터널의 단부에서, 또는 기판들을 이송하도록 상기 아암이 연장될 임의의 적합한 위치에서) 상기 진공 터널 모듈(2500) 안에 배치될 수 있다. 상기 모터 구성요소들(3301, 3302)은, 예컨대 그 개시가 전체로서 본 명세서에 참조 병합된 미국 특허 번호 제7,959,395호에 설명된 방식으로 상기 아암(3320A)을 신장 및 수축시키기 위하여 상기 운반 카트(3320)의 이동가능 플래턴들(movable platens; 3310A, 3310B)을 구동하도록 구성될 수 있다. 또 다른 양상들에서 상기 운반 카트에 의해 옮겨지는 아암은 임의의 적합한 방식으로 구동될 수 있다.
깨닫게 될 수 있는 바와 같이, 본 명세서에 설명된 개시된 실시예들의 양상들에서 기판들이 예를 들어 상기 진공 터널 안에서 움직이는 운반 카트에 의해 운반되는 경우에, 임의의 자동화부(예컨대 위에서 설명된 바와 같이 정렬자들, 로봇들, 버퍼들 등등)는, 기판을 픽킹하고 상기 운반 카트 상의 기판 홀더로/로부터 위치시키기 위한 Z-움직임 능력들을 포함할 수 있다. 다른 양상들에서, 상기 운반 카트들은 기판들을 픽킹하고 위치시키기 위한 Z-움직임 능력을 포함할 수 있다.
도 34a 및 34b를 참조하면 일괄 로드 록(3400A-D)이 도시된다. 상기 일괄 로드 록(3400A-D)은 그 개시가 전체로서 본 명세서에 참조 병합된 2008년 5월 19일자 출원된 미국 특허 번호 제12/123,391호에 설명된 것과 실질적으로 유사할 수 있다. 일 양상에서 상기 일괄 로드 록(3400)은 임의의 적합한 방식으로 적재 포트(3420)에 실질적으로 직접 결합될 수 있다. 상기 일괄 로드 록(3400)은, 기판들을 기판 캐리어(substrate carrier; 3420A-3420D)로 그리고 상기 기판 캐리어(3420A-3420D)로부터 이송하기 위하여 예컨대 이송 아암과 같은 임의의 적합한 자동화부를 포함할 수 있다. 상기 일괄 로드 록(3400A-D)은, 자동화 모듈(2030)에 대하여 위에서 설명된 것과 유사한 자동화 정합부(automation interface)를 형성할 수 있다. 예를 들어 도 34a에는 개시된 실시예의 양상들에 따른 프로세싱 장치의 일부분이 도시된다. 상기 프로세싱 장치는 프로세스 도구 모듈들(2120A, 2020B)을 포함하며, 각각은 예컨대 그 각각에 결합된 로드 록들(3530)을 구비한다. 일괄 로드 록(3400A, 3400B, 3400C, 3400D)은 상기 로드 록들(3530) 각각에 결합될 수 있다. 하나 이상의 진공 터널들(2010A, 2010B)은 상기 일괄 로드 록(3400A, 3400B, 3400C, 3400D)에 연결될 수 있다. 예시적인 목적만으로, 진공 터널(2010A)은 일괄 로드 록(3400B)을 일괄 로드 록(3400C)과 연결할 수 있으며, 상기 일괄 로드 록(3400C)은 또한 프로세싱 도구 모듈들(2120A, 2120B)을 서로에 연결시키는 바, 이는 임의의 적합한 자동화 물자 취급 시스템(automated material handling system; AMHS)(3510) 상의 운반을 위하여, 상기 기판들이 기판 캐리어(3430)로 돌아오지 않는 채로 프로세싱 도구 모듈들(2120A, 2120B) 사이에서 기판들을 운반하기 위한 것이다. 진공 모듈(2040)은, 일괄 로드 록(3400D)(및 상기 프로세싱 장치의 나머지)을 예를 들어 EFEM 또는 다른 자동화 장비에 연결하기 위하여 상기 일괄 로드 록(3440D)에 상기 진공 터널(2010B)을 결합시킬 수 있다. 이 양상에서 상기 일괄 로드 록들(3400A, 3400B, 3400C, 3400D) 각각은 적재 포트(3420A, 3420B, 3420C, 3420D)에 실질적으로 직접 결합될 수 있으며, 상기 적재 포트는 상기 일괄 로드 록들(3400A, 3400B, 3400C, 3400D)을 상기 AHMS(3510)에 정합(interface)시킨다. 도 34b에는 개시된 실시예의 양상들에 따른, 도 34a에 도시된 것과 유사한 프로세싱 장치의 일부분이 도시된다. 그런데, 도 34b에서 상기일괄 로드 록들(3400A, 3400B, 3400C, 3400D)은 상기 프로세싱 도구 모듈들(2120A, 2120B)에 실질적으로 직접 결합되며, 상기 적재 포트들(3420A, 3420B, 3420C, 3420D)과 개별의 상기 프로세싱 도구 모듈들(2120A, 2120B) 사이에서 로드 록으로서 기능한다.
도 35a, 35b 및 35c를 참조하면 개시된 실시예의 양상들에 따라 프로세싱 장치의 일부분이 도시된다. 이 양상에서 상기 프로세싱 도구 모듈들(2120A, 2120B)은 진공 터널(2010B)을 통하여 서로에 연결될 수 있으며, 진공 터널들(2010A, 2010C)을 통하여 다른 프로세싱 도구 모듈들(또는 다른 적합한 자동화 장비)에 연결될 수 있다. 여기서 상기 진공 터널들(2010A, 2010B)은 일괄 로드 록들(3400A, 3400B)을 통하여 상기 프로세싱 도구 모듈에 연결된다. 도 35a에 보여질 수 있는 바와 같이 적재 포트들(3420A, 3420B)은 상기 일괄 로드 록들(3400A, 3400B) 각각에 결합된다. 상기 진공 터널들(2010B, 2010C)은 로드 록들(3500A, 3500B)을 통하여 프로세싱 도구(2120B)에 연결되는 바, 상기 로드 록들(3500A, 3500B)은 임의의 적합한 로드 록들일 수 있다. 여기서 상기 로드 록들(3500A, 3500B)은 상기 자동화 모듈(2030)에 결합되며, 상기 자동화 모듈은 상기 일괄 로드 록들(3400C, 3400D)에 결합된다. 적재 포트들(3420C, 3420D)은 임의의 적합한 방식으로 상기 일괄 로드 록들(3400C, 3400D)에 결합된다. 상기 일괄 로드 록들이 전방 개방 통합 포드들(front opening unified pods; FOUPs)과 정합되는 것으로 도시되는 반면에 다른 양상들에서 상기 일괄 로드 록들은 저면 개방 캐리어(bottom opening carrier)들 또는 상면 적재 캐리어(top loading carriers)들과 같은 임의의 적합한 기판 캐리어들과 정합되도록 구성될 수 있다는 점이 이해되어야 한다.
도 36a-36c를 참조하면, 개시된 실시예의 양상들에 따라 프로세싱 장치의 일부분이 도시된다. 프로세싱 도구 모듈들(2120A, 2120B)은 로드 록(3610)의 측방향 측부들 상에 배치된다. 이 양상에서 상기 로드 록(3610)은, 상기 프로세싱 도구 모듈들(2120A, 2120B)의 이송 챔버(2120TC)와 결합하기 위하여 쐐기 형상을 가지는 것으로 도시된다. 깨닫게 될 수 있는 바와 같이, 예컨대 2개의 기판 유지 위치들(예컨대 3620A, 3620B)에서 위치된 기판들은, 상기 쐐기 형상의 각도에 상응하는 수렴(converging)/발산(diverging) 경로를 따라 상기 프로세싱 도구 모듈들(2120A, 2120B)로 그리고 상기 프로세싱 도구 모듈들(2120A, 2120B)로부터 운반될 수 있다. 다른 양상들에서 상기 로드 록은, 상기 프로세싱 도구 모듈들(2120A’, 2120B’)과 결합함을 가능하게 하도록 구성된 직교 형상(orthogonal shape)(도 36d의 로드 록(3610’)을 참조)과 같이 임의의 적합한 형상 및/또는 구성을 가질 수 있다. 깨닫게 될 수 있는 바와 같이, 상기 직교 형상 로드 록(3610’)은, 도 36d에 도시된 바와 같이 실질적으로 평행한 경로들을 따라 상기 기판 유지 위치들(3620A, 3620B) 각각과 상기 프로세싱 도구 모듈들 사이에서의 기판들의 이송을 가능하게 할 수 있다. 깨닫게 될 수 있는 바와 같이, 쐐기 어댑터 및 직교 어댑터들(orthogonal adapters)은, 상기 자동화 모듈에 대하여 위에서 설명된 것과 실질적으로 유사한 방식으로 직교 로드 록(3610’) 및 쐐기 로드 록(3610)을 위하여 제공될 수 있으며, 그럼으로써 상기 쐐기 로드 록(3610)이 프로세싱 도구 모듈의 직교적으로 배치된 포트들에 연결될 수 있으며 상기 직교 로드 록이 프로세싱 도구 모듈의 각지도록 배치된(angularly arranged) 포트들에 연결될 수 있다. 진공 터널들(2800’)은 상기 로드 록(3610, 3610’)의 길이방향 단부들 각각에 결합될 수 있다. 위에서 설명된 바와 같이 상기 진공 터널들 각각은, 도 31c에 도시된 바와 같이 실질적으로 동일한 평면 내에서 서로 일렬로 늘어선 적어도 2개의 기판들을 유지할 수 있는 하나 이상의 이중 단부 기판 홀더들(3030S2)이 포함된 운반 카트를 포함할 수 있다. 또한 위에서 설명된 바와 같이 상기 진공 터널들(2800’) 각각은 정합 모듈(interface module; 2820)을 포함할 수 있다. 상기 정합 모듈(2820)은 기판 지지체(2820E)(도 28c)를 포함할 수 있으며, 상기 기판 지지체(2820E)는 화살표(2899)의 방향으로(예컨대 상기 기판들의 이송 평면에 실질적으로 직각인 방향으로) 이동가능하다. 깨닫게 될 수 있는 바와 같이, 상기 터널을 통하여 통행하는 이중 단부 기판 홀더들(3030S2)을 구비한 2개 이상의 운반 카트들이 있는 경우에 상기 운반 카트들 각각은 동시에 적어도 하나의 기판을 유지하고 있을 수 있다(예컨대 상기 카트들 중의 모든 카트는 개별의 진공 터널(2800’) 안에 다른 운반 카트들과 무관하게 기판들을 상기 개별의 터널(2800’)의 양 단부들로 운반 및 픽킹 또는 위치시킬 수 있다). 이 양상에서 상기 정합 모듈은, 상기 카트들 각각이 상기 진공 터널들(2800’)의 양 단부들에 기판들을 이송할 수 있게 할 수 있다. 예를 들어 운반 카트(3670)는, 상기 진공 터널(2800’)의 단부(2800E1)에서의 임의의 적합한 기판 유지 위치로부터 상기 이중 단부 기판 홀더(3030S2)의 단부(3650)로써 기판을 픽킹할 수 있다. 그 기판을 진공 터널(2800’)의 단부(2800E2)에서의 임의의 적합한 기판 유지 위치에 위치시키기 위하여, 상기 운반 카트(3670)는 상기 정합 모듈(2820)의 기판 지지체(2820E) 위에 상기 기판이 위치되도록, 위치선정될 수 있다. 상기 기판 지지체(2820E)는, 단부(3650)에서 상기 기판을 들어올리도록 화살표(2899)의 방향으로 움직일 수 있다. 상기 운반 카트(3670)는, 상기 기판 지지체(2820E) 위에 상기 이중 단부 기판 홀더(3030S2)의 단부(3651)를 위치시키도록 움직일 수 있으며, 상기 기판 지지체는, 상기 기판이 상기 진공 터널(2800’)의 단부(2800E2)에서 위치될 수 있도록, 단부(2651) 상에 상기 기판을 위치시키기 위하여 화살표(2899)의 방향으로 움직일 수 있다.
도 36a-36c에 보여질 수도 있는 바와 같이, 그리고 위에서 설명된 바와 같이, 진공 터널들(2800’, 3600)은 서로 겹쳐져 적층될 수 있다. 이 양상에서 상기 로드 록(3610)은 적어도 하나의 인덱서(3620A, 3620B)를 포함할 수 있으며, 상기 적어도 하나의 인덱서는, 상기 진공 터널들(2800’, 3600)의 상이한 운반 평면들 사이에서 상기 기판들을 이송하기 위하여 화살표(3899)의 방향으로 움직이도록 구성된다. 상기 인덱서(3620A, 3620B)는, 상기 진공 터널들 안에서 통행하는 운반 카트들의 기판 홀더들이 기판들을 픽킹하여 상기 인덱서에 위치시킬 수 있도록, 구성될 수 있다(여기에서 상기 인덱서는 온오프식으로(on off) 상기 기판 홀더들 상의 기판들을 올리거나 낮춘다). 상기 인덱서들(3620A, 3620B)은, 정합 모듈(2820)에 대하여 위에서 설명된 것과 실질적으로 유사한 방식으로 상기 기판들을 정렬하기 위하여 상기 기판들의 회전을 제공할 수도 있다. 일 양상에서 상기 적층된 진공 터널들 중 하나(3600)는 "급행(express)" 터널일 수 있는 바, 상기 "급행" 터널은, 가능한 중간 목적지들에서 정지함 없이 상기 프로세싱 장치의 2개의 위치들 사이에서 실질적으로 무정지인 통행(substantially non-stop travel)을 제공하는 반면, 상기 진공 터널들 중 다른 것들(2800’)에는 상기 2개의 위치들 및 중간 목적지에서의 정지가 제공될 수 있다.
상기 전술한 설명은 상기 개시된 실시예의 상기 양상들을 설명하기 위한 것일 뿐이라는 점이 이해되어야 한다. 상기 개시된 실시예의 상기 양상들로부터 이탈됨이 없이 다양한 대체들 및 수정들이 당업자에 의해 고안될 수 있다. 이에 따라, 상기 개시된 실시예의 상기 양상들은 모든 그러한, 대안들, 수정들 및 변형들을 포함하는 것으로 의도된다. 더욱이, 단지, 상이한 특징들이 상호 상이한 독립항이나 종속항들에 언급되어 있다는 사실이, 본 발명의 상기 양상들의 범위 내에 속하는 조합인 경우 상기 특징들의 그러한 조합이, 유리하게 사용될 수 없다는 것을 의미하지 않는다.

Claims (20)

  1. 적어도 하나의 측벽(lateral side wall)를 가지는 이송 챔버로서, 상기 적어도 하나의 측벽은 나란히 배치된 적어도 2 개의 기판 이송 포트(substrate transport port)들을 구비하는, 이송 챔버;
    이송 챔버에 연결된 구동 섹션으로서, 3 개의 동일축(coaxial)이며 독립적인 회전축들을 가지는 모터들을 구비하며 3 자유도인, 구동 섹션; 및,
    이송 챔버 안에 장착된 기판 이송 장치;를 포함하는, 기판 프로세싱 장치(substrate processing apparatus)로서, 상기 기판 이송 장치는:
    구동 섹션에 작동 가능하게 결합되고 베이스 아암 링크의 일 단부에 배치된 회전축을 가지는 베이스 아암 링크로서, 상기 베이스 아암 링크는 관절식 아암 링크 (articulated arm link) 및 비관절식 아암 링크(unarticulated arm link)중 하나이고, 베이스 아암 링크의 회전축을 형성하고 이송 챔버 안의 고정 위치에 배치된 회전축에서 이송 챔버 안에 피봇되게 장착된, 베이스 아암 링크; 및,
    2 개의 이송 아암들로서, 각각의 이송 아암은 개별적인 이송 아암으로부터 독립적으로 매달린 대응하는 기판 홀더(substrate holder)를 가지고, 각각의 이송 아암은 다른 회전축에서 베이스 아암 링크의 다른 단부에 피봇되게 장착되고, 다른 회전축은 베이스 아암 링크와 관련하여 공통 회전축으로서, 2 개의 이송 아암들에 대하여 공통적인, 2 개의 이송 아암들;을 구비하고,
    공통 회전축 둘레에서 2 개의 이송 아암들중 하나에 대한 각각의 이송 아암의 독립적인 회전을 위하여, 또한 나란히 배치된 적어도 2 개의 기판 이송 포트들 각각을 통하여 대응 기판 홀더상에서 기판들의 독립적인 이송을 각각의 개별적인 이송 아암으로 수행하기 위하여, 상기 베이스 아암 링크가 비관절식 아암 링크이든 또는 관절식 아암 링크이든 무관하게, 각각의 이송 아암이 3 자유도의 구동 섹션의 3 개의 동일축(coaxial)이며 독립적인 구동축들과 상이한 독립적 구동축에 독립적으로 결합되고 상기 상이한 독립적 구동축에 의해 베이스 아암 링크 및 2 개의 이송 아암들중 각각의 다른 이송 아암에 대하여 독립적으로 회전되도록, 각각의 이송 아암이 3 자유도의 구동 섹션에 결합되는, 기판 프로세싱 장치.
  2. 제 1 항에 있어서,
    이송 챔버는 제 1 단부 및 제 2 단부를 구비하고, 적어도 하나의 측방향 측부는 제 1 단부와 제 2 단부 사이에서 연장되고, 제 1 단부 및 제 2 단부중 적어도 하나는 나란하게 배치된 적어도 2 개의 다른 기판 이송 포트들을 구비하고;
    기판 이송 장치는, 적어도 하나의 측방향 측벽상의 적어도 2 개의 기판 이송 포트들과 제 1 단부 및 제 2 단부중 적어도 하나에 있는 적어도 2 개의 다른 기판 이송 포트들 사이에서 기판들을 이송시키도록 구성되는, 기판 프로세싱 장치.
  3. 제 1 항에 있어서,
    구동 섹션은 동일축(coaxial)의 구동 샤프트 구성을 구비하는, 기판 프로세싱 장치.
  4. 제 1 항에 있어서,
    구동 섹션은 2 개의 이송 아암들의 신장(extension) 및 수축(retraction)의 축에 실질적으로 직각인 방향으로 기판 이송 장치를 선형적으로 움직이도록 구성된 Z 축 구동부를 구비하는, 기판 프로세싱 장치.
  5. 제 1 항에 있어서,
    기판 이송 장치는 450 mm 직경의 웨이퍼를 취급하도록 구성되는. 기판 프로세싱 장치.
  6. 제 1 항에 있어서,
    기판 이송 장치는 200 mm 직경의 웨이퍼, 300 mm 직경의 웨이퍼, 평판 패널 디스플레이용 평판 패널, 발광 다이오드, 유기 발광 다이오드 또는 태양 전지판(solar array)를 취급하도록 구성되는, 기판 프로세싱 장치.
  7. 제 1 항에 있어서,
    베이스 아암 링크는 회전축으로부터 공통 회전축까지의 실질적으로 경직의 비관절 링크(rigid unarticulated link)인, 기판 프로세싱 장치.
  8. 제 1 항에 있어서,
    2 개의 이송 아암들 각각은, 대응하는 기판 홀더가 이송 아암으로부터 매달려 있으면서, 공통 축 둘레에서 단위체로서 독립적으로 회전 가능한, 기판 프로세싱 장치.
  9. 제 1 항에 있어서,
    적어도 2 개의 기판 이송 포트들중 적어도 하나는 베이스 아암 링크의 회전축에 대하여 반경 방향으로 치우쳐(offset)있는, 기판 프로세싱 장치.
  10. 제 1 항에 있어서,
    나란하게 배치된 적어도 2 개의 기판 이송 포트들은 관련된 제 1 기판 이송 경로를 가진 제 1 기판 이송 포트 및 관련된 제 2 기판 이송 경로를 가진 제 2 기판 이송 포트를 구비하고, 베이스 아암 링크의 회전축은 실질적으로 제 1 기판 이송 경로와 제 2 기판 이송 경로 사이에 위치되는, 기판 프로세싱 장치.
  11. 이송 챔버 내측에 장착된 기판 이송 장치를 제공하는 단계로서, 이송 챔버는 이송 챔버의 적어도 하나의 측방향 측벽상에 배치된 적어도 2 개의 나란한 기판 이송 포트들을 구비하고, 기판 이송 장치는,
    3 자유도의 구동 섹션에 작동 가능하게 결합되고 베이스 아암 링크의 일 단부에 배치된 회전축을 가지는 베이스 아암 링크로서, 상기 베이스 아암 링크는 관절식 아암 링크 (articulated arm link) 및 비관절식 아암 링크(unarticulated arm link)중 하나이고, 베이스 아암 링크의 회전축을 형성하고 이송 챔버 안의 고정 위치에 배치된 회전축에서 이송 챔버 안에 피봇되게 장착된, 베이스 아암 링크; 및,
    2 개의 이송 아암들로서, 각각의 이송 아암은 개별적인 이송 아암으로부터 독립적으로 매달린 대응하는 기판 홀더(substrate holder)를 가지고, 각각의 이송 아암은 다른 회전축에서 베이스 아암 링크의 다른 단부에 피봇되게 장착되고, 다른 회전축은 베이스 아암 링크와 관련하여 공통 회전축으로서, 2 개의 이송 아암들에 대하여 공통적인, 2 개의 이송 아암들;을 구비한, 기판 이송 장치의 제공 단계;
    공통 회전축 둘레에서 2 개의 이송 아암들중 하나에 대하여 각각의 이송 아암을 독립적으로 회전시키는 단계로서, 상기 베이스 아암 링크가 비관절식 아암 링크이든 또는 관절식 아암 링크이든 무관하게, 각각의 이송 아암이 3 자유도의 구동 섹션의 상이한 독립적 구동 축들에 독립적으로 결합되어 상기 상이한 독립적 구동 축들에 의해 베이스 아암 링크 및 2 개의 이송 아암들중 각각의 다른 이송 아암에 대하여 독립적으로 회전되도록, 각각의 이송 아암이 3 자유도의 구동 섹션에 결합되고, 상기 3 자유도의 구동 섹션은 2 개의 이송 아암에 작동 가능하게 결합되고 3 개의 독립적 회전축을 가지는, 각각의 이송 아암의 독립적 회전 단계; 및,
    2 개의 이송 아암들중 제 1 이송 아암이 적어도 2 개의 나란한 기판 이송 포트들중 제 1 기판 이송 포트를 통하여 제 1 이송 아암의 대응 기판 홀더상에 유지된 기판을 독립적으로 이송시키고, 2 개의 기판 이송 아암들중 제 2 이송 아암이 적어도 2 개의 나란한 기판 이송 포트들중 제 1 기판 이송 포트 또는 적어도 2 개의 나란한 기판 이송 포트들중 제 2 기판 이송 포트를 통하여 제 2 이송 아암의 대응 기판 홀더에 의해 유지된 기판을 독립적으로 이송시키도록, 각각의 개별적인 이송 아암으로써 기판들을 독립적으로 이송시키는 단계;를 포함하는, 기판 이송 방법.
  12. 제 11 항에 있어서,
    이송 챔버는 제 1 단부 및 제 2 단부를 구비하고, 적어도 하나의 측방향 측부는 제 1 단부와 제 2 단부 사이에서 연장되고, 제 1 단부 및 제 2 단부중 적어도 하나는 나란하게 배치된 적어도 2 개의 다른 기판 이송 포트들을 구비하고; 기판 이송 방법은:
    적어도 하나의 측방향 측벽상에 있는 적어도 2 개의 기판 이송 포트들과 제 1 단부 및 제 2 단부중 적어도 하나에 있는 적어도 2 개의 다른 기판 이송 포트들 사이에서 기판들을 이송시키는 단계를 더 포함하는, 기판 이송 방법.
  13. 제 11 항에 있어서,
    구동 섹션은 동일 축의 구동 샤프트 구성을 구비하는, 기판 이송 방법.
  14. 제 11 항에 있어서,
    구동 섹션의 Z 축 구동부로써, 2 개의 이송 아암들의 신장(extension) 및 수축(retraction)의 축에 실질적으로 직각인 방향으로 기판 이송 장치를 선형적으로 움직이도록 단계를 더 구비하는, 기판 이송 방법.
  15. 제 11 항에 있어서,
    기판 이송 장치는 450 mm 직경의 웨이퍼들을 취급하도록 구성되는, 기판 이송 방법.
  16. 제 11 항에 있어서,
    기판 이송 장치는 200 mm 직경의 웨이퍼, 300 mm 직경의 웨이퍼, 평판 패널 디스플레이용 평판 패널, 발광 다이오드, 유기 발광 다이오드 또는 태양 전지판(solar array)를 취급하도록 구성되는, 기판 이송 방법.
  17. 제 11 항에 있어서,
    베이스 아암 링크는 회전축으로부터 공통 회전축까지의 실질적으로 경직의 비관절 링크(rigid unarticulated link)인, 기판 이송 방법.
  18. 제 11 항에 있어서,
    개별의 기판 홀더가 매달려있는 2 개의 이송 아암들을 더 포함하고, 2 개의 이송 아암들 각각은 공통 축 둘레에서 단위체로서 독립적으로 회전하는, 기판 이송 방법.
  19. 제 11 항에 있어서,
    적어도 2 개의 기판 이송 포트들중 적어도 하나는 베이스 아암 링크의 회전축에 대하여 반경 방향으로 치우쳐(offset)있는, 기판 이송 방법.
  20. 제 11 항에 있어서,
    적어도 2 개의 기판 이송 포트들은 나란히 배치되고, 관련된 제 1 기판 이송 경로를 가진 제 1 기판 이송 포트 및, 관련된 제 2 기판 이송 경로를 가진 제 2 기판 이송 포트를 구비하고, 베이스 아암 링크의 회전축은 실질적으로 제 1 기판 이송 경로와 제 2 기판 이송 경로 사이에 위치되는, 기판 이송 방법.




KR1020167021551A 2012-02-10 2013-02-11 기판 프로세싱 장치 KR102096074B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201261597507P 2012-02-10 2012-02-10
US61/597,507 2012-02-10
US201261660900P 2012-06-18 2012-06-18
US61/660,900 2012-06-18
US201261662690P 2012-06-21 2012-06-21
US61/662,690 2012-06-21
PCT/US2013/025513 WO2013120054A1 (en) 2012-02-10 2013-02-11 Substrate processing apparatus

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020147024510A Division KR102359364B1 (ko) 2012-02-10 2013-02-11 기판 프로세싱 장치

Publications (2)

Publication Number Publication Date
KR20160098524A KR20160098524A (ko) 2016-08-18
KR102096074B1 true KR102096074B1 (ko) 2020-04-01

Family

ID=48948087

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020227003606A KR102529273B1 (ko) 2012-02-10 2013-02-11 기판 프로세싱 장치
KR1020167021551A KR102096074B1 (ko) 2012-02-10 2013-02-11 기판 프로세싱 장치
KR1020237014866A KR20230067705A (ko) 2012-02-10 2013-02-11 기판 프로세싱 장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020227003606A KR102529273B1 (ko) 2012-02-10 2013-02-11 기판 프로세싱 장치

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020237014866A KR20230067705A (ko) 2012-02-10 2013-02-11 기판 프로세싱 장치

Country Status (6)

Country Link
US (2) US20150013910A1 (ko)
JP (4) JP6843493B2 (ko)
KR (3) KR102529273B1 (ko)
CN (2) CN107598909B (ko)
TW (3) TW202203356A (ko)
WO (1) WO2013120054A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220042646A (ko) 2020-09-28 2022-04-05 현대중공업지주 주식회사 기판이송장치

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20100086490A (ko) * 2007-10-24 2010-07-30 오씨 외를리콘 발처스 악티엔게젤샤프트 작업편 제조방법 및 장치
US8899171B2 (en) 2008-06-13 2014-12-02 Kateeva, Inc. Gas enclosure assembly and system
US9048344B2 (en) 2008-06-13 2015-06-02 Kateeva, Inc. Gas enclosure assembly and system
US10434804B2 (en) 2008-06-13 2019-10-08 Kateeva, Inc. Low particle gas enclosure systems and methods
US8383202B2 (en) 2008-06-13 2013-02-26 Kateeva, Inc. Method and apparatus for load-locked printing
US10224232B2 (en) 2013-01-18 2019-03-05 Persimmon Technologies Corporation Robot having two arms with unequal link lengths
JP6703937B2 (ja) * 2013-03-15 2020-06-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板堆積システム、ロボット移送装置、及び電子デバイス製造のための方法
KR102285254B1 (ko) 2013-08-26 2021-08-03 브룩스 오토메이션 인코퍼레이티드 기판 이송 장치
US10424498B2 (en) 2013-09-09 2019-09-24 Persimmon Technologies Corporation Substrate transport vacuum platform
CN108695213B (zh) * 2013-09-26 2022-03-18 应用材料公司 用于基板处理的混合平台式设备、系统以及方法
WO2015066624A1 (en) * 2013-11-04 2015-05-07 Applied Materials, Inc Transfer chambers with an increased number of sides, semiconductor device manufacturing processing tools, and processing methods
WO2015100375A1 (en) 2013-12-26 2015-07-02 Kateeva, Inc. Thermal treatment of electronic devices
CN113270350A (zh) 2014-01-17 2021-08-17 布鲁克斯自动化公司 衬底运输设备
KR20240046638A (ko) 2014-01-21 2024-04-09 퍼시몬 테크놀로지스 코포레이션 기판 이송 진공 플랫폼
JP6113923B2 (ja) 2014-01-21 2017-04-12 カティーバ, インコーポレイテッド 電子デバイスのカプセル化のための装置および技術
KR102390045B1 (ko) 2014-04-30 2022-04-22 카티바, 인크. 가스 쿠션 장비 및 기판 코팅 기술
CN105097623A (zh) * 2014-05-07 2015-11-25 盛美半导体设备(上海)有限公司 晶圆装载端口结构
WO2016129069A1 (ja) * 2015-02-12 2016-08-18 富士機械製造株式会社 部品供給装置
US10131020B2 (en) * 2015-03-10 2018-11-20 James P. Sullivan Part orienter with removable rotating fixture
US9889567B2 (en) * 2015-04-24 2018-02-13 Applied Materials, Inc. Wafer swapper
US10134623B2 (en) * 2015-07-13 2018-11-20 Brooks Automation, Inc. On the fly automatic wafer centering method and apparatus
US10515834B2 (en) * 2015-10-12 2019-12-24 Lam Research Corporation Multi-station tool with wafer transfer microclimate systems
US20170125269A1 (en) * 2015-10-29 2017-05-04 Aixtron Se Transfer module for a multi-module apparatus
JP6700130B2 (ja) * 2016-07-12 2020-05-27 東京エレクトロン株式会社 接合システム
US11167434B2 (en) * 2016-08-30 2021-11-09 HighRes Biosolutions, Inc. Robotic processing system
US10159169B2 (en) * 2016-10-27 2018-12-18 Applied Materials, Inc. Flexible equipment front end module interfaces, environmentally-controlled equipment front end modules, and assembly methods
US10651067B2 (en) * 2017-01-26 2020-05-12 Brooks Automation, Inc. Method and apparatus for substrate transport apparatus position compensation
JP7275039B2 (ja) 2017-03-15 2023-05-17 ラム リサーチ コーポレーション リニア真空搬送モジュールを有する省スペースプラットフォームアーキテクチャ
US10420109B2 (en) * 2017-04-13 2019-09-17 Futurewei Technologies, Inc. System and method for providing explicit feedback in communications systems with multi-point connectivity
JP6881010B2 (ja) * 2017-05-11 2021-06-02 東京エレクトロン株式会社 真空処理装置
US10861723B2 (en) * 2017-08-08 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. EFEM robot auto teaching methodology
US11020852B2 (en) * 2017-10-05 2021-06-01 Brooks Automation, Inc. Substrate transport apparatus with independent accessory feedthrough
US10155309B1 (en) * 2017-11-16 2018-12-18 Lam Research Corporation Wafer handling robots with rotational joint encoders
SG11202005150YA (en) 2017-12-01 2020-06-29 Applied Materials Inc Highly etch selective amorphous carbon film
US11282737B2 (en) 2018-02-15 2022-03-22 Lam Research Corporation Moving substrate transfer chamber
TW202401627A (zh) * 2018-03-16 2024-01-01 美商布魯克斯自動機械美國公司 基板輸送裝置及用於基板輸送裝置之方法
US10943805B2 (en) 2018-05-18 2021-03-09 Applied Materials, Inc. Multi-blade robot apparatus, electronic device manufacturing apparatus, and methods adapted to transport multiple substrates in electronic device manufacturing
US11535460B2 (en) * 2018-05-31 2022-12-27 Brooks Automation Us, Llc Substrate processing apparatus
US20210272832A1 (en) * 2018-06-18 2021-09-02 Lam Research Corporation Reduced footprint wafer handling platform
CN112840447A (zh) 2018-10-04 2021-05-25 应用材料公司 运输系统
US11850742B2 (en) 2019-06-07 2023-12-26 Applied Materials, Inc. Dual robot including splayed end effectors and systems and methods including same
JP7458718B2 (ja) 2019-07-19 2024-04-01 株式会社Screenホールディングス 基板処理装置および基板搬送方法
US11569111B2 (en) * 2019-12-02 2023-01-31 Brooks Automation Us, Llc Substrate processing apparatus
US11049740B1 (en) 2019-12-05 2021-06-29 Applied Materials, Inc. Reconfigurable mainframe with replaceable interface plate
WO2021156985A1 (ja) * 2020-02-05 2021-08-12 株式会社安川電機 搬送システム、搬送方法および搬送装置
US11833662B2 (en) 2020-02-27 2023-12-05 Lam Research Corporation Wafer handling robot with radial gas curtain and/or interior volume control
US11521870B2 (en) 2020-07-08 2022-12-06 Applied Materials, Inc. Annealing chamber
WO2022051751A1 (en) * 2020-09-01 2022-03-10 Persimmon Technologies Corporation Material-handling robot with magnetically guided end-effectors
US11602064B2 (en) 2020-09-01 2023-03-07 Applied Materials, Inc. Dynamic electrical and fluid delivery system with indexing motion for batch processing chambers
US20220111513A1 (en) * 2020-10-14 2022-04-14 Applied Materials, Inc. Infinite rotation of vacuum robot linkage through timing belt with isolated environment
KR20220053854A (ko) * 2020-10-23 2022-05-02 피코앤테라(주) 이에프이엠
TWI745266B (zh) * 2021-05-31 2021-11-01 博斯科技股份有限公司 反應爐冷卻系統及其方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030223853A1 (en) * 2002-05-29 2003-12-04 Caveney Robert T. Dual arm substrate transport apparatus
JP2008016815A (ja) * 2006-07-04 2008-01-24 Psk Inc 基板搬送装置及びこの基板搬送装置を用いた基板処理設備
JP2008135630A (ja) 2006-11-29 2008-06-12 Jel:Kk 基板搬送装置
JP2011230256A (ja) 2010-04-28 2011-11-17 Nidec Sankyo Corp 産業用ロボット

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1046654C (zh) * 1993-04-16 1999-11-24 布鲁克斯自动化公司 传送装置
JPH06302671A (ja) * 1993-04-16 1994-10-28 Sony Corp 真空装置
US5789890A (en) * 1996-03-22 1998-08-04 Genmark Automation Robot having multiple degrees of freedom
TW372926B (en) * 1996-04-04 1999-11-01 Applied Materials Inc Method and system of processing semiconductor workpieces and robot for use in said system
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
JPH11300663A (ja) * 1998-04-24 1999-11-02 Mecs Corp 薄型基板搬送装置
JPH11330199A (ja) * 1998-05-18 1999-11-30 Sony Corp 真空プロセス装置
JP2000072248A (ja) * 1998-08-27 2000-03-07 Rorze Corp 基板搬送装置
US6485250B2 (en) * 1998-12-30 2002-11-26 Brooks Automation Inc. Substrate transport apparatus with multiple arms on a common axis of rotation
CN1151910C (zh) * 1999-01-15 2004-06-02 阿西斯特技术公司 工件处理机器人
JP2000308984A (ja) * 1999-04-23 2000-11-07 Murata Mach Ltd スカラーアームとこれを用いた搬送装置
US6440261B1 (en) * 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
JP2001147910A (ja) * 1999-11-19 2001-05-29 Nec Soft Ltd 分散バッチジョブ処理継続方式およびその記録媒体
US6297611B1 (en) * 2000-07-06 2001-10-02 Genmark Automation Robot having independent end effector linkage motion
US20020061248A1 (en) 2000-07-07 2002-05-23 Applied Materials, Inc. High productivity semiconductor wafer processing system
JP2002158272A (ja) * 2000-11-17 2002-05-31 Tatsumo Kk ダブルアーム基板搬送装置
JP2008034858A (ja) * 2001-05-21 2008-02-14 Tokyo Electron Ltd 処理装置
WO2003006216A1 (en) * 2001-07-13 2003-01-23 Brooks Automation, Inc. Substrate transport apparatus with multiple independent end effectors
TW550151B (en) * 2001-07-13 2003-09-01 Brooks Automation Inc Substrate transport apparatus with multiple independent end effectors
JP3755744B2 (ja) * 2001-08-02 2006-03-15 株式会社安川電機 基板搬送用ロボット
JP2003117877A (ja) * 2001-10-17 2003-04-23 Japan Servo Co Ltd 多関節型の産業用ロボット
US7891935B2 (en) * 2002-05-09 2011-02-22 Brooks Automation, Inc. Dual arm robot
JP4294984B2 (ja) 2003-03-19 2009-07-15 東京エレクトロン株式会社 基板搬送装置及び基板処理装置
KR100583727B1 (ko) * 2004-01-07 2006-05-25 삼성전자주식회사 기판 제조 장치 및 이에 사용되는 기판 이송 모듈
KR101398665B1 (ko) * 2004-06-09 2014-05-26 브룩스 오토메이션 인코퍼레이티드 이중 스카라 아암
JP2006205264A (ja) * 2005-01-25 2006-08-10 Jel:Kk 基板搬送装置
TWI318195B (en) * 2005-05-26 2009-12-11 Advanced Display Proc Eng Co System for manufacturing flat-panel display
US8220354B2 (en) * 2006-06-28 2012-07-17 Genmark Automation, Inc. Belt-driven robot having extended Z-axis motion
TWI398335B (zh) * 2006-11-27 2013-06-11 Nidec Sankyo Corp Workpiece conveying system
TWI475627B (zh) * 2007-05-17 2015-03-01 Brooks Automation Inc 基板運送機、基板處理裝置和系統、於基板處理期間降低基板之微粒污染的方法,及使運送機與處理機結合之方法
KR100850436B1 (ko) 2007-06-20 2008-08-05 주식회사 싸이맥스 반도체 제조설비
JP5294681B2 (ja) * 2008-04-28 2013-09-18 東京エレクトロン株式会社 基板処理装置及びその基板搬送方法
US8784033B2 (en) * 2009-01-11 2014-07-22 Applied Materials, Inc. Robot systems, apparatus and methods for transporting substrates
CN102349145B (zh) * 2009-01-11 2015-05-27 应用材料公司 用于传送基板的静电末端执行器设备、系统和方法
JP5373517B2 (ja) * 2009-09-14 2013-12-18 株式会社ディスコ 搬送機構および加工装置
US8459922B2 (en) * 2009-11-13 2013-06-11 Brooks Automation, Inc. Manipulator auto-teach and position correction system
JP2011119556A (ja) * 2009-12-07 2011-06-16 Yaskawa Electric Corp 水平多関節ロボットおよびそれを備えた搬送装置
US9076829B2 (en) * 2011-08-08 2015-07-07 Applied Materials, Inc. Robot systems, apparatus, and methods adapted to transport substrates in electronic device manufacturing

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030223853A1 (en) * 2002-05-29 2003-12-04 Caveney Robert T. Dual arm substrate transport apparatus
JP2008016815A (ja) * 2006-07-04 2008-01-24 Psk Inc 基板搬送装置及びこの基板搬送装置を用いた基板処理設備
JP2008135630A (ja) 2006-11-29 2008-06-12 Jel:Kk 基板搬送装置
JP2011230256A (ja) 2010-04-28 2011-11-17 Nidec Sankyo Corp 産業用ロボット

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220042646A (ko) 2020-09-28 2022-04-05 현대중공업지주 주식회사 기판이송장치

Also Published As

Publication number Publication date
CN104349872A (zh) 2015-02-11
JP2023113831A (ja) 2023-08-16
TW201349376A (zh) 2013-12-01
TWI629743B (zh) 2018-07-11
JP6325612B2 (ja) 2018-05-16
KR20220019075A (ko) 2022-02-15
CN107598909B (zh) 2024-01-30
JP2021010011A (ja) 2021-01-28
CN107598909A (zh) 2018-01-19
US20160329234A1 (en) 2016-11-10
KR20230067705A (ko) 2023-05-16
US20150013910A1 (en) 2015-01-15
JP2015508236A (ja) 2015-03-16
JP6843493B2 (ja) 2021-03-17
JP7292249B2 (ja) 2023-06-16
TW202203356A (zh) 2022-01-16
TW201839895A (zh) 2018-11-01
TWI725303B (zh) 2021-04-21
WO2013120054A1 (en) 2013-08-15
CN104349872B (zh) 2017-10-13
JP2016219831A (ja) 2016-12-22
KR20160098524A (ko) 2016-08-18
KR102529273B1 (ko) 2023-05-04

Similar Documents

Publication Publication Date Title
KR102096074B1 (ko) 기판 프로세싱 장치
KR102359364B1 (ko) 기판 프로세싱 장치
KR102316440B1 (ko) 공정 장치
US10818537B2 (en) Substrate transport apparatus
US20220266460A1 (en) Substrate transport apparatus
US20230271792A1 (en) Substrate processing apparatus

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant