TWI475627B - 基板運送機、基板處理裝置和系統、於基板處理期間降低基板之微粒污染的方法,及使運送機與處理機結合之方法 - Google Patents

基板運送機、基板處理裝置和系統、於基板處理期間降低基板之微粒污染的方法,及使運送機與處理機結合之方法 Download PDF

Info

Publication number
TWI475627B
TWI475627B TW097118330A TW97118330A TWI475627B TW I475627 B TWI475627 B TW I475627B TW 097118330 A TW097118330 A TW 097118330A TW 97118330 A TW97118330 A TW 97118330A TW I475627 B TWI475627 B TW I475627B
Authority
TW
Taiwan
Prior art keywords
conveyor
substrate
interface
loading
processing
Prior art date
Application number
TW097118330A
Other languages
English (en)
Other versions
TW200949972A (en
Inventor
Babbs Daniel
Fosnight William
C May Robert
Weaver William
Original Assignee
Brooks Automation Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Brooks Automation Inc filed Critical Brooks Automation Inc
Publication of TW200949972A publication Critical patent/TW200949972A/zh
Application granted granted Critical
Publication of TWI475627B publication Critical patent/TWI475627B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67376Closed carriers characterised by sealing arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67379Closed carriers characterised by coupling elements, kinematic members, handles or elements to be externally gripped
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • H01L21/67393Closed carriers characterised by atmosphere control characterised by the presence of atmosphere modifying elements inside or attached to the closed carrierl
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Robotics (AREA)

Description

基板運送機、基板處理裝置和系統、於基板處理期間降低基板之微粒污染的方法,及使運送機與處理機結合之方法
本發明係關於一種用於基板處理期間,減少微粒污染之介面系統。
在半導體工業中,減少晶圓通過晶圓製造設施(FAB)之週期時間及減少製程線上工作量(WIP),以及改進晶圓安全性是一種期望。傳統上,裝載埠實體介面是一多重步驟之處理,包含多至6個機構以執行運送機裝載及卸載操作。在此情況,裝載埠週期時間範圍,取決於製造業,例如在12至18秒之間,並且在極端應用上,裝載埠生命期可跨7年之久,週期數達兩百萬。傳統上,每運送機25晶圓之批次量(lot size)被用以最佳化器械使用及減少器械安裝效應及晶圓處理耗消。傳統半導體製造一般著重在流動生產線之高量低混合產品類型。相反地,生產實業已趨向包括高量及低量兩者之多產品類型。實質上,半導體交易模式之改變驅使製造管理者減少存貨及縮短製造週期時間。後者受晶圓運送機之批次量影響極深。若干建議指出,批次量小於13晶圓是週期時間內有效增益可實行之關鍵。此方法之目標之一是例如驅使批次量至單一晶圓。雖然單一晶圓可能是理論上之理想,處理機架構之目前狀態無法與其相關之製作法改變程度相配合,因而增加了器械安裝時間。某些器械之安裝時間可能等於或大於單一晶圓之處理時間,否定了原始意圖。此外,由於先進處理機特徵之複雜性,某些測試或合格晶圓以確保該處理是操作 於規範之內是必要的。該等非產品晶圓可結合單一晶圓策略被使用或操作。
一較小之多晶圓批次量可能有效地支援單一晶圓策略。然而,依可知悉的,運送機批次量之改變對於裝載埠設計具有相稱之影響。尤其是,裝載埠之週期時間通常與該批次量成線性比例。舉例言之,為避免限制處理機之通量(throughput),週期時間12秒之25晶圓批次量可使用週期時間2.4秒之5晶圓批次量。由於週期時間縮短而重新計算,可得到相同穩定狀態之通量,在7年生命期,週期數可達1千萬。更進一步,對於可開或關運送機於1/5該時間之裝載埠,其必須具備本質上之穩定性;否則,裝載埠之平均故障週期(MCBF)將負面地衝擊器械層面平均故障週期。
另一方面,批次量及週期時間之減少對於運送機之影響是雙重的。首先,批次量減少影響裝載埠之運送機之開或關時間。再則,製造週期時間影響該運送機之必需開/關週期數。一簡單之計算,基於遮罩層(mask layers)數目、各層之處理步驟及每遮罩層之日數,可估算運送機之週期總數。目前,27遮罩層,各具32處理步驟是一般標準。每遮罩層之日數因裝置及製造業者而異,但是合理之估計是每遮罩層1.5日。為計算範例之目的,假設該運送機可於各處理步驟,被裝載至不同器械上(保守假設)。
處理步驟÷每遮罩層之日數=週期數運送機 /日
32÷1.5=21.33週期數運送機 /日
在極端要求情況下,裝置製造業者建議,每遮罩層之日數減少至1至0.7日是極度需要,以達到生產力最佳化,及未來裝置可使用高達45遮罩層。將預計之改變插入我們先前之計算中,可以得到以下運送機週期數之新值。每遮罩層之處理步驟數假設沒有變更。
處理步驟÷每遮罩層之日數=週期數運送機 /日
32÷0.7=45.7週期數運送機 /日
基於先前範例計算,我們可推導出,運送機跨越7年生命期之週期數是介於54,498與116,764之間。換言之,運送機可能要每31.5分鐘開啟及關閉週期。傳統之裝載埠、運送機及該兩者之介面無法滿足該等期望操作參數。期望更強健之運送機及運送機與器械之介面(例如能承受更高週期(如x2-x10),及在運送機內及通過介面時可提供更高清潔度)與簡化及加速系統之要求是相關聯的,該系統使基板得到不同處理模式,實行不同處理步驟及調整傳統裝載埠及運送機之可行性。
依上述先前技術之缺失及需求,本發明提供一種基板處理系統,包括:處理部,其可維持處理環境於其中;運送機,其機殼可形成容納至少一基板之內部容積,以傳送基板至該處理部,該機殼經組構以允許該內部容積可被抽取至預定之真空壓,其不同於外在基板處理系統之外部氣 壓;及裝載埠,其可聯結至該處理部,以使處理環境隔絕於外在氣壓,該裝載埠可與該運送機接合,以抽取該運送機之內部容積及聯結該運送機至該處理部,使基板經由該裝載埠裝載進入該處理部。
圖1A-1B顯示包括本發明特徵之基板處理裝置2及基板運送機或傳送盒(pods)100。
參見圖1A-1B,其中處理機2僅為代表性。在其他實施例中,該處理機可為任何要求之類型及設計(應注意的是,在此所述實例不意謂限制實施例之特徵);該處理機可用以實行材料之沉積、離子植入、蝕刻、微影(lithography)、拋光或任何需要之處理。該處理機也可例如為量測(metrology)器械。在實施例中,處理機2可通常具有處理部6及前端模組(FEM)4(依參照慣例,晶圓被視為由前方載入該處理機)。該處理部6可被隔絕及維持要求之處理環境(例如,真空、惰性氣體(N2)、等等)。該FEM 4可連接至該處理部。在該實施中,FEM 4可具有與該處理部共同之環境(例如處理環境,諸如惰性氣體)。該FEM 4可隔離式地經由加載互鎖(load lock(s))與該處理部6連接(例如,假若該處理部之該部分為真空狀態)。在其他實施例中,該FEM可能具有清潔空氣環境,或在其他實施例中,該處理機可不具有FEM,該處理部可與基板運送機直接接合。該運送機100可經設計,如下所述,以允許該運送機直接接合處理部6,而無關在該處理部或 運送機內之氣體種類,或是否該處理部為真空。如圖1A-1B所示,該FEM 4具有用於基板運送機100之介面。該介面,也可稱作裝載埠10,其允許運送機與該FEM 4接合,亦即與處理機2接合,以使晶圓/基板裝載至該處理機或從該處理機卸下。該FEM 4可包括期望之環境控制(將詳述於後),以使得晶圓之裝載(從外部環境至處理機)不會有處理環境之降級。該運送機可形成一保持該基板之小室,例如,相同或不同於該處理環境之清潔環境(具有特定氣體)。介於運送機與裝載埠之介面可稱作運送機小室與處理環境(例如在該FEM 4或處理部6)之清潔通道,基板被傳送於其中,而不會有處理環境之降級,此將詳述於後。
參見圖2,其為裝載埠10與運送機100之局部放大立面圖,該介於運送機小室及該FEM環境之連通介面I(例如,清潔通道)可通常包括運送機外殼與運送機門之介面103、運送機外殼與裝載埠邊緣之介面101、運送機門與裝載埠門之介面105、及裝載埠門與裝載埠邊緣之介面13。在其他實施例中,該等連通運送機小室與FEM環境或處理部環境或真空之介面可具有其他要求之結構,其可能具有較多或較少之介面(例如,2或更多前述介面可合併成一共同面)。在實施例中,由該等多介面I所提供之清潔通道(介於運送機小室與處理環境之間)可為開啟或關閉狀態)例如,當運送機與裝載埠接合時為開啟,而所有其他時間則為關閉狀態,直至運送機介面完成)。該清潔通道維持清潔(例如,該內部環境實質上無降級)於該通道開啟或關閉時,以及在開啟或關閉持續狀 態時。為建立及維持該清潔通道,通道複合介面I之各介面閉合,如此使得運送機小室環境、或處理環境隔絕於外部環境或污染表面(例如可能接觸外部環境)。舉例言之,運送機外殼與運送機門之介面103可被封閉以隔絕該運送機環境,以及裝載埠門與裝載埠邊緣之介面13可被封閉以隔絕FEM或處理部環境,或真空(例如,當該清潔通道關閉時)。此外,運送機門與裝載埠門之介面105可被封閉以將外部環境(例如,在運送機門及裝載埠門之污染表面)隔絕於清潔通道,以及運送機外殼與裝載埠邊緣之介面101可被封閉以將處理環境隔絕於外部環境(例如,當清潔通道開啟時)。
在實施例中,介面,例如運送機外殼與運送機門之介面103、運送機外殼與裝載埠邊緣之介面101、運送機門與裝載埠門之介面105、及裝載埠門與裝載埠邊緣之介面13,可能至少部分為固態(將詳述於後),以減少移動部揭露在清潔通道。運送機與裝載埠之介面之適當實例已揭示於美國專利申請案,其案號包括有:11/207,231申請日8/19/05;11/211,236申請日8/24/05;11/210,918申請日8/23/08;11/594,365申請日11/7/06;11/787,981申請日4/18/07;及11/803,077申請日5/11/07。
仍參見圖1A-1B及2,裝載埠10可經組構以接合較少或較小容量之運送機100。具有特徵類似於運送機100之小容量運送機,及具有特徵類似於裝載埠10之裝載埠介面之適當介面實例已揭示於美國專利申請案,其案號包括有:11/207,231申請日8/19/05;11/211,236申請日8/24/05;11/210,918申請 日8/23/05;11/594,365申請日11/7/06;11/787,981申請日4/18/07;及11/803077申請日5/11/07。在實施例中,裝載埠介面11經設置,以符合當前EFEM介面標準。舉例言之,該裝載埠10可配設於依SEMI E63所設立之BOLTS介面內(譬如用於傳統25晶圓裝載埠),及可將該運送機100設於依SEMI E15.1所標示之空間封殼(space envelope)內。在實施例中,裝載埠10具有能夠與堆疊之運送機100接合之堆疊式裝載埠結構,及遞交該運送機內之基板至位於SEMI E51裝載埠之器械傳送裝置,其高度例如符合SEMI E47.1之運送機之25晶圓堆疊之最低與最高位置之間。在實施例中,顯示3個裝載埠10A、10B、10C(在其他實施例中,可具有更多或更少之裝載埠),每個都能做為運送機100至FEM之介面。在其他實施例中,一裝載埠能做為更多或更少運送機至FEM之介面。在實施例中,裝載埠10A-10C及對應之介面11A-11C可能大體相似。各裝載埠10A-10C及對應之介面11A-11C可獨立或同時操作,提供無限制FEM存取於晶圓傳送,及提供自動材料處理系統(AMHS)(未顯示)之隨機存取,以解除運送機在裝載埠之啣接。在其他實施例中,裝載埠可具備任何其他組構。由運送機及裝載埠處理之基板可為任何要求之類型,例如,直徑450mm、300mm、或200mm之光罩,或平板顯示器之平板。
參見圖3,其顯示另一運送機100之立面圖。在此實施例中,該運送機100停駐在裝載埠10A。圖中之運送機100是代表性的,在其他實施例中,該運送機在可具有任何其他 適宜之特徵。在此實施例中,該運送機通常包括包括形成小室之機殼102,該小室可容納基板(參見圖8)。該機殼,具有例如一或多觀察埠,可由非金屬材質製作,例如,光學透明熱塑性塑膠(thermoplastic)、氯化聚氯乙烯(Chlorinate dpolyvinyl chloride, PVDC)複合材料,或由非磁性金屬製作,例如,鋁合金、鎂合金及金屬化塑膠,並以光學透明材質密封(例如,該等觀察埠可經配置,以透過視窗使用該運送機機殼外之光柱雷射實行晶圓映射)。在其他實施例中,該機殼可由任何可維持機殼內環境密封之適宜材質製作。如圖8所示,該運送機在機殼側邊形成一基板傳送開口,其具有一可關閉之門104。該運送機100可具有聯結部或附件110、112,其用於例如在裝載埠介面之運送機操控及運送機定位。在實施例中,運送機可具有握把或邊緣112,其可用於來自運送機頂部之自動化抓取,例如使用自動化搬運系統(AMST)。在其他實施例中,該頂部握把112可被使用於運送機與裝載埠介面之啣接(例如圖7A-7C)。在其他實施例中,該運送機在機殼上可具有任何其他適當之把手特徵。在實施例中,運送機機殼可具有定位聯結部110,能夠提供運送機之可重複性定位,例如在裝載埠介面。舉例言之,該聯結部110可為運動學上之耦合(例如,提供自動化重複定位),其位於該運送機之底部接合面,具有依據SEMI E 57.1之特徵。運送機與裝載埠介面之間聯結所使用之聯結部110可鬆脫,以減除運送機對裝載埠介面之對準之過度限制,及確保運送機邊緣與裝載埠介面緣之適當對準。在其他實施例中,運送機接合面及對 準聯結部可位於該運送機之任一面。如圖3所示,運送機100可接合裝載埠於介面110及介面101,其在傳統結構上可能會產生裝載埠對準之過度限制。在實施例中,運送機100可接合裝載埠於介面110及介面101而不會產生過度限制情況,此將詳述於後。
如圖3及前述,運送機機殼102與機殼接合於介面103以關閉該運送機小室。在實施例中,介面103可使用密封墊103S予以密封,及門閂106可保持該門關閉於機殼。此外,門104也可形成至裝載埠門12之介面105之至少一部分。因此,在實施例中,運送機門104可具有與運送機機殼(於介面103)及裝載埠門(於介面105)兩者接合之介面特徵,如此產生僅可擇一介面,及運送機對準裝載埠之可能限制(例如,除了介面110,介面101以外,其如前述形成於運送機機殼邊緣與裝載埠邊緣之間)。如圖3所示,當運送機門接合裝載埠門時,運送機機殼在位置上可順應門介面103而開啟相對於機殼之運送機機門(如此減少來自運送機門接合運送機機殼及裝載埠兩者之限制)。介面103之順應性可例如藉使用適當柔性之密封墊103S來達成,其可適應及補償門與機殼之接合面之任何錯誤(以確保不會損害內部潔淨及維持運送機小室及外部環境之間之要求壓差)。門閂106可經裝配以產生足夠之上鎖力量來抵抗任何對門之偏壓,該偏壓例如來自密封墊之壓力、內外之壓力差及基板對門之偏壓,此將詳述於後。該門閂106可能實質上為固態裝置(solid state device)(例如,上閂是以非接觸方法達成)以避免產生微粒狀物質。柔 性之密封墊103S可合併在該門閂裝置內以使柔軟密封墊上閂生效,及/或門閂裝置106可與該密封墊一體化。舉例言之,如圖3所示,密封墊103S可能是一圍繞門周緣裝配之表面密封,在門上之密封墊內具有磁性薄帶(magnetic ribbon),其作用在機殼邊緣之磁性材料以施壓於該密封墊。在替代選擇之實施例中,弧狀或彎曲之門密封墊(例如,密封墊表面橫切面)可用於在該機殼對向運送機門介面。在其他實施例中,該密封墊可具任何其他設想之形式。
在實施例中,運送機之門閂裝置105可為被動式的,且致動(開/關門閂)可例如由設置在裝載部之主動側來實行。在其他實施例中,門閂裝置之主動側可設置在運送機100內。為實行門閂裝置之致動,應對該裝置提供動力及控制。若將裝置之活動部設置在裝載埠可避免或降低該運送之機動力及控制之需求。如實施例圖3所示,驅動門閂之被動部之能量流(energy transfer)可能為磁力,例如使用電磁力(例如位於裝載部門),當通電後,產生磁場,其足以使運送機門之固定性磁力與機殼102之磁性材料分離。在其他實施例中,門閂裝置之能量流可藉運送機100與裝載埠10A之間之電磁感應或電接觸墊來實行。在其他實施例中,致動能量可儲存在運送機100及控制指令可以無線方式傳送至運送機100,以操作該門閂。在實施例中,用以致動門閂106之致動輸入可經由運送機門104來實行,然而在其他替代實施例中,致動輸入可實施於運送機機殼。圖3A-3E顯示依據不同實施例之運送機機殼對門介面105及門閂之局部剖視圖。在該等實施例中, 門閂致動為磁力且該裝置之主動部位於裝載埠門12內。因此,在實施例中,主動部之致動促使機殼或運送機對門閂106之致動與運送機門對裝載埠門閂106D之致動相結合。如圖3A-3E所示之門閂組構僅為例舉,在其他替代實施例中,運送機門閂(對機殼及裝載埠門兩者)可具任何其他設想之組構。如圖3A實施例所示,磁性門閂可包括運送機門之固定性磁鐵9050,其操作於運送機殼之含鐵材料9051上。當運送機門閂上鎖時(活動部離開),該組構可產生一封閉磁性電路,如此可減少偏移磁場之可能性。在其他替代實施例中,該固定性磁鐵可位在機殼,及該磁性材料位於運送機門。在實施例中,該主動部可為一電磁鐵9052,其位於如圖示之裝載埠門。當該主動部被致動時(例如打開開關),介於運送機門104之固定性磁鐵9050與機殼內之磁性材料9051之致動力量被裝載埠門12內電磁鐵9052之磁場效應所壓制,打開運送機門/機殼門閂106及關上運送機門對裝載埠門閂106D。運送機門104可隨裝載埠門12移動,例如當裝載埠打開時,及因此移動在運送機門內之固定性磁鐵9050;該運送機門,當門閂在打開狀態,可形成開放磁性電路,遠離開放於裝載埠之基板傳送埠(例如減少不希望得到之磁場)。如圖3B所示,運送機門104可包括連接至彎曲部9060之一側之固定磁鐵9050及連接至彎曲部9060之另一側之含鐵材質9050D。彎曲部9060可由任合適當彈性彎曲材質所製成。當電磁鐵9052被激活,其與含鐵材質9050D產生互動而移動該彎曲部,致使固定磁鐵9050相對門內含鐵材質9051之固定磁鐵9050之位移 (例如打開運送機門/連送機殼門閂及在運送機門與裝載埠門之間上閂)。如圖3C所示,在運送機門104之固定磁鐵9050可具旋轉性,使得當電磁鐵9052被激活,固定磁鐵9050將旋轉而使得含鐵材質9051、固定磁鐵9050及電磁鐵9052產生互動,因而運送機門/運送機殼門閂將被打開,且裝載埠門/運送機門閂將被關上。如圖3D-3E所示,在運送機門之門閂部可具有感應電磁鐵9050'、9050",及在裝載埠門12具有活動線圈9052'以激活該等感應電磁鐵,因此可上閂/解閂在運送機殼之運送機門。如圖3E所示,運送機機殼也可包括與感應電磁鐵9050"產生互動之固定磁鐵9051'。圖3D-3E之感應電磁鐵之設置可以相似3A-3B之方式操作。感應電磁鐵之組構,以及圖3A-3E所示之主動及被動元件皆僅為範例,在替代實施例中,運送機機殼與門,及運送機門與裝載埠門之間之固態(或近乎固態)之主動及被動元件門閂可具有其他適合之組構及包含更多或更少之元件。
圖3F顯示依據另一實施例,運送機機殼及門介面及門閂106之部分剖視圖。在實施例中,實行上閂之方法是藉運送機機殼102與運送機門104之間之若干正置換(positive displacement)(例如使用彈性元件或壓電效應(piezoelectric compression),沿著介面在其間產生干擾壓縮(interference compression)於介面周圍。運送機機殼102與運送機門104之間之該干擾可經設定位置以配合例如在運送機門104之偏力(例如橫向門之分壓)且增加壓力,即介於機殼102與運送機門101之上閂力。置換部可位於運送機機殼102或運送機 門104或兩者。打開門閂106,該置換部可經激活以解除在運送機104之壓力。在實施例中,該置換部可具有一可激活實行上閂及解閂之彈性元件9099。該彈性元件9099之激活方法可例如藉由真空(例如真空囊)、磁性、電活躍性聚合體(electroactive polymers)、形狀記憶合金(shape memory alloy, SMA)或任何其他適宜之激活方法。
參見圖3I及3J所示之SMA元件實施例,其用以激活彈性元件9099或相似門閂元件。雖然SMA具有記憶性,但並不儲存能量。在實施例中,SMA可仍為有效之固態致動器。在實施例中,門閂機構可通常偏移至一關閉位置,例如藉關閉偏移之預壓材料彎曲、彈性及磁性輸入,又SMA元件(或線絲,其可與運送機結合)經關閉偏移之預壓後可被激活,例如使用裝載埠輸入之電或熱,以克服關閉偏移及位移彈性元件而開啟門閂。如圖3I所示,SMA元件,例如線絲10200,連接至一通常偏移成為“上閂”狀態之門閂。此類型之門閂可能為樞軸式安裝之指狀物106',其可在水平或垂直面上旋轉。在一替代之實施例中,該樞軸可替換成彎曲元件。在其他實施例中,具有足夠彈性之襯墊10201可預壓SMA元件10200'。當SMA元件10200'激活後,該襯墊可摺疊。該可摺疊襯墊之變形是應用一種雨刷式襯墊;藉由SMA元件拉取該雨刷端部而使該襯墊折彎。該彎曲雨刷產生足夠使門鬆開及移動之間隔。
在其他實施例中,參見圖19及20,致動器5000可用以激活類似彈性元件9099之上閂元件。致動器5000可經組構 以激活彈性元件9099,或任何其他適當可激活機械裝置或處理機及運送機裝置,包括,但不僅限於,裝載埠或基板運送機門、襯墊閥、及門閂。在此實例中,該致動器5000通常被設計成真空或部分真空囊。在替代實施例中,致動器可具有任何其他適宜之形式。該致動器5000可經組構以相對致動器5000之行程(stroke)減少致動器之整體尺寸大小(例如增加致動器之行程對尺寸之比率)。在實施例中,致動器5000可經控制以操作於受控下之內部清潔環境,例如運送機內部或處理機內部。在實施例中,該致動器可通常具有一基座或固定表面5020、可動表面5030及可實行可動表面5030之運動而因此激活該致動器5000之動力或驅動表面5035。如圖所示,該固定表面具有一用於密封該致動器與任合適當表面之密封墊5010,致使壓差可產生於該致動器之任一側。在實施例中,該動力表面5035可具有任合適當形式或組構。如圖19-20所示,固定表面5020可與該器械或運送機架構之固定表面或元件緊密結合,並且可動或致動器表面5030可連接至激活之機械裝置,使得該可動表面之運動,在橫向該驅動表面5035之壓差之推動力下(例如,位於該驅動表面5035相對側之壓力P1與壓力P2之壓差),啟動該機械裝置。在實施例中,驅動表面5035可經塑造以形成內部空間或容積5002,且可形成絕緣範圍或薄膜,其使受限之內部空間5002及任何位於其中之可動部件(將詳述於後)隔絕於該驅動表面5035外之空間。該驅動表面可由任何適當材質製作,以儘量減少粒狀污染物形成於該驅動表面5035行動之時候。在實施例中,該驅動表 面5035連接至致動器5000之固定表面5020及該致動器之可動表面5030,且部分之驅動表面5035經設置(如圖19-20所示範例,在其他替代實施例中,也可具其他不同表面設置)以在遭受橫向驅動表面5030之適當壓差下,相對該固定表面5020做移動。自由度及致動率之控制將詳述於後,且若不希望使用電子控制或電力控制也是可能的。
如上述,致動器5000之活動(例如伸展及退縮)可例如由致動器之囊狀部之壓差來控制,且致動率可例如由流線(flow lines)5055或位於致動器5000周圍之驅動表面之漏出點5056之開孔大小來控制。該漏出點5056及流線5055之位置僅為例舉,在其他實施例中,流線及漏出點可位於致動器或相對致動器之任何適宜位置。該等開孔可連接至處理機2之任何適宜大氣環境(或外部環境,例如該器械之週遭環境)及可與該致動器之內部容積流通。在一實例中,真空環境及處理機2之大氣環境之間不同壓力P1、P2提供真空致動器5000之線性運動。舉例言之,囊狀部5001之外部可暴露在處理機2之真空環境,而囊狀部之內部5002可暴露在大氣環境。如圖20所示,真空環境可由流線(flow lines)5055提供(例如,該流線可在與驅動表面5035連通時,致使小室之抽真空(pumping down),此將詳述於後)。應可了解的是,當真空壓力增加,真空與大氣環境P1、P2之壓差增加,如此致使致動器之啟動(反之亦然)。在其他實施例中,致動器之一側可被施壓,以移動該致動器。在替代實施例中,該囊狀部之外側5001可暴露在大氣環境,而囊狀部之內側5002可暴露在真空 環境。在一實例中,任何適宜之濾器可置放於開孔及/或漏出點以防止或減少任何發生自致動器5000內之微粒進入,例如,裝載埠或任何其他適當控控制之清潔環境。在可選擇之替代實施例中,該致動器5000可擁有其自身之幫浦系統(pumping system),用於該囊狀部之充氣或抽氣,以啟動該致動器5000。致動器5000活動之速度(例如加速或減速)可以任何適宜方式控制,例如可固定或變化之開孔限制,包括但不僅限於在致動器5000周圍之流線及/或漏出點。
在一實例中,致動器5000之伸展及退縮可經控制以固定該致動器5000之預設運動自由度數。舉例言之,如圖20所示,該致動器5000可經設置,使其於箭頭5050方向線性地伸展及退縮,而該致動器在其他方向(例如線性或旋轉)之運動則受限,例如箭頭5040-5042所示。在其他實施例中,該致動器5000可具任何適當之活動自由度數於任何一或多方向。在此實例中,致動器5000可包括任何適宜連杆(linkage(s))5005,以引導致動器5000之運動。在此,該連杆是一“剪刀式”或“可摺疊式”之連杆,但是在其他實施例中,該連杆可具任何適宜形式。該剪刀式或摺疊式之連杆可在收縮或摺疊時,提供一精簡之樣式,而在非摺疊形式時(例如,將圍封增至最大之致動器延伸比率),可將連桿伸展至最大。在其他替代實施例中,該連杆可包括可延伸之橫桿,其中一或多橫桿可連接成一序列及組構以不同之長度及寬度,使得較小之橫桿可依序滑動進入較大之橫桿內,形成套疊式延伸或收縮之橫桿。在其他替代實施例中,該囊狀部可由自 導性(self guiding)材質構成,例如網狀(mesh)材質,使得當驅動表面藉壓差移動時,該網狀部引導該致動器5000之線性運動。在還有其他實施例中,該致動器可以任何適當方式導引。
在此,連桿5005位於囊狀部之內側5002,以致於任何連桿所產生之微粒不會暴露到真空或處理機內之清潔環境。在可替代之實施例中,該連桿可位於該囊狀部之外側。在還有其他替代實施例中,連桿所產生之微粒可以任何適宜方式收納。雖然上述致動器為線性致動器,在其他實施例中,該致動器可設計成旋轉致動器。此外,該致動器之線性運動可以任何適宜方式轉換成旋轉運動。此外,在其他實施例中,該致動器可包括兩個連接至共用致動器小室之囊狀部,以提供具有空間相互關係之二維度運動。舉例言之,其中一個囊狀部可經組構以移動垂直基板通道開口之介面表面之門,而第二個囊狀部可經組構以移動平行該介面表面之該門,如此使得基板通道開口不會被該門阻擋。複合囊狀部可由不同特性構成,包括囊狀部之材質及厚度,致使該等囊狀部可因各囊狀部之預定激活壓差而啟動於不同時間。
圖3G-3H係運送機殼與門介面及門閂之剖視圖,其個別顯示依據不同實施例之置換型門閂組構。圖3G之門閂包括位於運送機殼102之含鐵物質10001,及在運送機門104內之固定磁鐵10002。彈性材質或墊片10003可附加在運送機門104,使其包覆磁鐵10002於門104內。致動器10005可位於門101內,其激活後,可將磁鐵10002拉離該含鐵物質10001,即克服其間之磁力,將門104從運送機殼102打開。圖3H之 門閂包括,例如,位於運送機殼102之可旋轉環狀多極磁鐵10100,及位於運送機門104內之固定多極環狀磁鐵10102。磁鐵10100及10102之形狀僅為範例,該等用於上述操作之磁鐵可具任何其他適宜形式。在其他實施例中,在門104之磁鐵可具旋轉性,而在運送機殼100之磁鐵可為固定的。尚有其他實施例中,該等用於上述操作之磁鐵可以任何適當之方式移動。為打開門閂,連接至可旋轉磁鐵10100之握把10101可被旋轉,使得磁鐵10100及10102之極部相排斥而打開門閂。在其他實施例中,磁鐵10100可以任何方式移動,諸如電磁鐵(solenoid)、彈簧、線圈或其他適宜裝置。在其他實施例中,該門閂可具有任何要求之組構形式。
參見圖4A-4E,其顯示運送機100於接合裝載埠介面10時之不同位置。同時參見圖4F,其依據實施例,顯示運送機與裝載埠介面接合過程之流程圖。圖4A-4E顯示之位置及過程僅為例舉;在其他實施例中,該運送機可接合裝載埠於其他要求之處理過程。在圖4A中,運送機100離裝載埠介面一段距離,例如當運送機抵達處理機時(圖4A,參見10600)。該運送機可例如由AMHS(未顯示)操縱,從頂部把手112把持該運送機,雖然在其他實施例中,該運送機可以任何方式操縱。如圖4A所示,運送機小室是關閉的,裝載埠也是同樣地。在圖4B中,運送機100可初始正確對準裝載埠(圖4F,參見10601)。舉例言之,運送機之對位(registration)聯結部110(在實例中之底部接合面)接合互補之裝載埠10之對位聯結部20。在此位置,該運送機之側介面與裝載埠邊緣 10500沒有接合而維持一段距離。再參見圖3,在此實施例中,運送機之運動耦合功能110及對準參考系統或面可位於接近基板安裝平面或運送機之中間平面,因此,減少受限介面之間之任何歪斜不準效果。在圖4B中,運送機可相對裝載埠介面20A夾緊,以在裝載埠穿梭車驅前該運送機(圖4F,參見10603)時,將該運送機殼邊緣10501與裝載埠邊緣10500大略耦合於介面101(參見圖4C,圖4F,10603)。在實施例中,運送機邊緣10501及裝載埠邊緣10500可包括例如在介面101形成重複對準基面之運動耦合功能(參見圖8及下述)。如上述,對於裝載埠邊緣在介面之運送機重複對準使得從運送機小室進入FEM之清潔通道之建立及開通不受處理環境影響。舉例言之,如圖4所示,在初略結合位置上,運送機門104與裝載埠門12接合。介於運送機殼及門之間之互容介面103可調適位置差異,該差異產生於介面110之運送機對準及運送機門與裝載埠門於介面105之配合,因此致使介面105可被關閉及運送機門與裝載埠門緊密接合(圖4F,10604)。在此實施例中,裝載埠門具有一真空埠,以淨空介面105之容積於緊密結合運送機門與裝載埠門之前;雖然在其他實施例中,該介面105可實質上不具容積。在實施例中,運送機門104可與裝載埠門12箍緊,例如使用諸如前述之門閂裝置,其可實行運送機門與裝載埠門之緊密結合,同時使運送機殼及門之門閂開釋。在其他實施例中,獨立箍緊裝置可用於緊固運送機門與裝載埠門。在其他實施例中,例如真空軟管(vacuum bellows)之真空箍緊方式作用於整個運送機。門表面 或區域真空吸盤(vacuum cups)可將運送機門與裝載埠門緊密結合,及輔助運送機門脫離運送機殼。圖4A顯示裝載埠門撤回及移動該運送機門通過裝載埠進入FEM,此將詳述於後。在圖4D之實施例中,運送機殼與裝載埠於介面110之對位被解除。舉例言之,任何維持運送機殼於對位位置之固定箍緊裝置可被解除,及運動耦合110、20可藉使聯結梢釘(coupling pins)20A從溝槽(grooves)中降低或使接合面(mating surface)上揚而(至少部分)退耦(圖4F,10605)。當在介面110之對位鬆綁時,介面101之對位聯結功能(介於機殼邊緣及裝載埠邊緣)可進行機殼102與裝載埠邊緣10500(圖4F,10607)之對位。在實施例中,用於介面101之對位之啟動信號(及解除介面110之對位)可能是裝載埠門之撤回。舉例言之,運動耦合功能於介面101之初略接合(例如部分接合)及在介面對位之解除可在位置上暫停運送機,其方式為當運送機門撤回時,該門作用於運送機殼之較小牽引力量足以驅動運送機殼以實行在介面101之運動耦合特性,而完成充分對位。在介面101之充分對位可藉激活箍緊裝置(未顯示)來達成,使運送機殼鉗緊裝載埠邊緣(如圖5A-B之方位角(yaw)及俯仰角(pitch)方向上)。在介面101之對位位置上,運送機及裝載埠門104、12可降低如圖4E所示(圖4F,10608)。
參見圖8-8A,其顯示依據另一實施例之立體圖及側立面圖。在圖8A中,運送機具有接合功能之接合邊緣,其形成於介面101(機殼邊緣102F與裝載埠邊緣14之間,可參見圖3)之接合部101B。參見圖9A之部分立體圖,其顯示裝載埠邊 緣14與運送機殼邊緣102F在裝載埠邊緣介面101之接合。在圖中顯示之介面僅為範例,在其他實施例中,運送機邊緣至裝載埠介面可具任何組構形式。在圖9A之實施中,裝載埠邊緣14可配置於框架構件或隔板(bulkhead)上,可形成裝載埠區域之裝載埠。介面密封墊可用於裝載埠16A-16C之周圍以密封該介面於關閉時,以及箍緊裝置(實例中所顯示的是磁性箍緊墊10700)可設置以接合運送機殼102及維持該運送機殼102於裝載埠。在其他實施例中,該介面密封墊及箍緊裝置可具任何要求之組構形式。在圖9A之實施中,裝載埠邊緣14可具形成介面101之互補聯結部101A之耦合特徵。在實施中,個別之耦合特徵101A、101B形成運動耦合,用於運送機殼102對於裝載埠在介面101之重複對位。如上述,圖8、8A、9A-9C及10顯示之運動耦合101僅為範例;在其他實施中,該運動耦合可具任何其他適宜組構。在實施中,運動耦合101包括梢釘22、24(聯結部101A)在裝載埠邊緣14,及溝槽或止動裝置122、124(聯結部101B)在運送機殼邊緣102F。梢釘22、24及溝槽122、124可經配設以重複地相對裝載埠於x、y、z方向使運送機殼102定位,及當該運送機殼102該轉換至介面101時,允許其自由俯仰及偏轉(見圖4C及5A)以克服機殼邊緣102F及裝載埠邊緣14之傾斜差別(參見圖5A)而安置介面密封墊。舉例言之,該等梢釘及溝槽可設置於運送機殼102及裝載埠16A-16C之中間平面。如範例所示,耦合可經安排,使得當運送機殼102及裝載埠之間之粗略耦合發生於介面101時,該耦合提供足夠z 支撐,以使限制從運動耦合中解除,例如藉鬆脫耦合110之耦合梢釘20A。耦合110之鬆脫可借助於運送機之Z '運動(例如,經由穿梭車或其他適當上舉機構)以傳送z裝載至耦合梢釘22、24,及鬆脫耦合梢釘20A。圖10為顯示運送機在介面101接合位置之平面示意圖。
參見圖21-25,顯示從運動聯結部110轉移運送機之對位至介面101而不過度限制物件6000之實例。如圖21所示,物件6000位於裝載埠6099之聯結板6010上。該物件6000可代表運送機100,但在其他實施例中,該物件可為任何適宜物件。聯結板6010可包括用以使物件6000聯結至該板之運動聯結部6030。該運動聯結部6030可大體相似於圖3之陳述。舉例言之,在圖21A、21B中,運送機100可包括V形溝槽6032A-6032C(總稱溝槽6032),其可與聯結板6010之梢釘6031A-6031C(總稱梢釘6031)相結合。在其他實施例中,該運動聯結部可具任何其他適當配設,例如梢釘在運送機上及溝槽在聯結板上,或任何其他梢釘、溝槽之組合,或其他適當之運動聯結特徵。如圖21B所示,梢釘6031可具有一彎曲介入面及可適當塑造成至少部分配適V形槽6032內以相對裝載埠6099配置運送機。在其他實施例中,梢釘及溝槽可具任何適當之組構。該聯結部可依據SEMI(國際半導體設備材料產業協會)標準E57-0600。在其他實施例中,運動聯結部可為任何適當之運動聯結部。
參見圖21,裝載埠6099可包括任何適當致動器6020以使物件6000移動朝向或遠離介面6013以使物件6000結合或 脫離介面6013。在一實施例中,介面6013可類似介面101及包括任何適當之運動聯結部。在其他實施例中,介面可為任何具有適當聯結特徵之介面,用以連結物件6000至介面6013。參見圖22,該介面6013包括運動介面6050,其可在相對聯結部或平板6010成一角度方向之聯結平面上(為舉例目的,該連結平面垂直於平板6010之聯結平面)。在其他實施例中,運動介面6050可相對於聯結平板6010具任何適宜之角度。
介面6013可被適當地配設於運動表面及包括,例如,運動聯結部6035、至少使物件6000部分固著於介面6013之預載器6060、埠門、及用於從外部環境密封運送機內部環境(及該物件所接合之機元件之小室)之適當密封墊。應注意的是,介面6013可包括,如圖3A-3I所示,用以使物件6000緊固於介面6013之閂鎖。該閂鎖可結合預載器6060一起操作以使物件6000緊固於介面6013。在實施例中,該預載器6060可為真空預載器,但在其他實施例中,該預載器可為任何適宜之預載器,但不侷限於磁鐵或機械式預載器。預載器之適當實例包括下述有關圖12-12B之敘述。
運動聯結特徵可為任何適宜之運動聯結部,包括,但不局限於,如圖21-23所示之運動梢釘6035。在此實施例中,有兩組3梢釘位於介面6013之相對側,但在其他實施例中,可具有任何適宜數目之梢釘位於介面週遭適當之位置。物件6000可具有相應之凹處或穿孔6001,其用以結合梢釘6035如圖23所示。該梢釘6035及凹處6001可經組構以穩定握持 及定位物件6000於運動表面6050,該表面位於與介面6013有關之預定位置上。運動聯結部6035、6001致使物件6000相對於該介面之重複性定位,且穩定地握持連結至該介面之物件6000而若必要時,不需要預載系統6060。
仍參見圖23,物件6000可由致動器6020傳送或推進至介面6013。物件6000及聯結板6010可兩者皆可被推進或移動至介面6013,其中物件6000之運動將因例如接觸該介面6013而制止,但該聯結板6010則繼續前進。在其他實施例中,梢釘6031可相對該聯結板6010移動,使得梢釘6031與物件6000推進,但該聯結板被制止於一預定之距離。舉例言之,梢釘可被設置在一次板(sub plate)上,該板可相對聯結板6010移動及延伸穿越在該聯結板6010上之狹長孔。在其他實施例中,該物件6000與該梢釘6031可以任何適宜方式做相對運動,使得物件6000接合介面6013及被上舉脫離該梢釘6031。
聯結板6010之前進超過物件6000與介面6013之接合點造成物件6000(及其溝槽6032)與梢釘6031之間之相對運動(如圖24,箭頭6033及6034所示),當該運動梢釘6031在箭頭6033方向繼續朝向該介面時,致使該物件上載至運動梢釘6031。舉例言之,參見圖24,當梢釘6031相對於V形溝槽6032移動時,將致使物件6000從聯結板6010上舉以結合介面6013之運動梢釘6035,如此形成該聯結板6010與該物件之間隔6070。該V形溝槽6032可被定方向,使得上舉及引導力(例如平行聯結板6010)可產生自溝槽6032與梢釘 6031之相對運動。當該物件被上舉離開時,該引導力可用以保持物件6000之運動路徑沿著相對於聯結板6010之梢釘6031之中心線CL(見圖21A)及前進以結合介面6013。在其他實施例中,任何適當力量可經由梢釘6031與溝槽6032之接合而產生,以引導物件朝向介面6013。梢釘6031與溝槽6032之介面可經組構以允許物件6000在上舉之同時,可允許該物件6000在樞軸上轉動及移動,如此使得物件6000與介面6013接合時,該物件不會被過度限制。圖25顯示當物件6000與介面6013結合時,梢釘6031與V形溝槽6032之關係。如圖25所示,間隔形成於該梢釘6031與該V形溝槽6032之間,使得該梢釘6031實際上不與該溝槽6032接觸。在其他實施例中,當物件6000與介面6013接合後,聯結板6010(及/或梢釘6031)可相對於該物件移動,例如使該梢釘6031之中心線低於及/或位於相對之溝槽6032之一,而形成間隔6071。該間隔6071係使得當物件6000從介面6013離開時,V形溝槽6032下降及中心線相對該梢釘6031,以致該物件可托哩,例如,該聯結板6010。應注意的是,該物件可適當地受介面6013及聯結板6010之運動耦合之約束,以提供物件6000與介面6013之結合,及物件6000與該介面之脫離以及物件6000與聯結板6010之再聯結。
圖6顯示依據另一實施例之運送機100'之立面圖。運送機100'可相似於前述之運送機100。運送機100'具有一彈性連接器130',其可提供運動聯結部110'(類似運動聯結部110)與運動聯結部101'之間之6自由度順應性。在實施例中,運 動聯結部110'可固著在相對運送機殼底部,及運動聯結部101'可固著於機殼之邊緣。因此,相容之連接處可定位於運送機殼之邊緣與運送機殼底部之間之任何適宜位置。在實施例中,晶圓支持架構可固著在相對該邊緣之位置。運送機100'與裝載埠之鎖固過程可圖示於圖6A之流程圖中。舉例言之,運送機100'可傳送至裝載埠(圖6A,11001)及以類似上述圖4(10660及10601)之方法夾緊於該裝載埠(圖6A,11002)。裝載埠穿梭車推進運送機100'至運送機/裝載埠介面(圖6A,11003)。在運送機100'前進期間,該裝載埠門真空器可被啟動,致使該運送機表面之任何為例將被移除於該運送機與裝載埠接合之期間。該裝載埠穿梭車使該運送機100'對著運送機/裝載埠介面推壓,以使得運送機與裝載埠粗略聯結(圖6A,11004)。該運送機門被夾緊至該裝載埠門(圖6A,11005),及該運送機邊緣夾鉗被接合(圖6A,11006)。運送機/裝載埠門撤回(圖6A,11007)且該門邊緣夾鉗使得該運送機結合該運動聯結部以使該運送機夾緊該裝載埠(圖6A,11008)。該運送機門與該運送機分離(圖6A,11009)及下降至該裝載埠之門儲藏區(圖6A,11010)。在其他實施例中,該運送機可以任何適當方式與裝載埠對位。
圖11顯示依據另一實施例之聯結介面110'之部分之平面圖。在實施例中,聯結部110'可具順應性(例如沿著3個主軸x,y,z),使得該運送機殼,及因此機殼邊緣,具有6自由度。在其他實施例中,聯結部之順應性可能具有較少之自由度。聯結部之順應性圖示於圖11,其顯示聯結梢釘20A在 x,y,z方向之彈性。在其他實施例中,介面110'之順應性(亦參見圖3)可實行於一或多其他適當之位置,例如穿梭車板、裝載埠邊緣聯結部、機殼邊緣、底部聯結之機殼聯結溝槽或聯結溝槽之機殼附加部。因此,順應性可分佈於多個位置,例如在梢釘之z軸向順應性,及在其他位置之x與y軸向順應性,諸如在機殼邊緣。
圖12-12B個別顯示依據另一實施例之運送機1100及裝載埠1010之立面圖。在實施例中,該裝載埠及運送機可通常具有可彼此接合之楔形門。運送機及裝載埠門可藉單一軸向運動被鉗緊及打開,例如在z軸方向。在實施例中,運送機及裝載埠可具有用於該運送機之垂直裝載之對位部件1107(例如,可使運送機與裝載埠對位之運動聯結部),其位於裝載埠邊緣(例如,門/運送機/裝載埠可被設置之同一表面)。對位介面部件可為任何配置,例如圖12-12A所示之V-溝槽及梢釘部件,其不會過度限制介面。在實施例中,該介面經組構以使運送機CG預載該聯結部於一機械地穩定狀態。該實施例中,門設置可形成傳送盒門之開口具有一角度。該角度是依裝載埠從該運送機取用門之方向而決定。此可構成一連續平坦面,其中裝載埠及裝載埠門可個別地密封該運送機。運動之裝載埠軸在開口斜角處也可呈傾斜狀。在其他實施例中,該運動可以由兩個向量來實行,該等向量形成短傾斜向量,其傳送至純垂直運動如圖12B所示。門之驅動可來自單一來源,例如可實行凸輪傳動(cam action)以形成具有單一線性傳動之兩向量運動。在實施例中,所有介於運送機及 裝載埠之實體介面可位於相同表面,類似於底部開口之傳送盒,且運動之單一軸向可開啟門。可運動耦合之運送機與裝載埠之對位之進一步細節詳述於美國專利申請號11/855,484,申請日期2007年9月14日。
圖13顯示依據另一實施例之運送機與裝載埠之介面之立面圖。該運送機7000可經組構以容納自給式氣體供應7001,例如用以淨化該運送機。該氣體供應可為任何適宜氣體,例如氮氣。在實施例中,一空容積可構成運送機整體之一部分,其由可容納淨化氣體之材質之形成小室7002。該材質密度可為金屬或聚合體,但具有薄的橫切面。如此,有助於減少高密度材質之重量。該小室7002可連接至傳送盒7000之內部空室7003,其中晶圓可經由檢查閥留駐於該內部空室。該檢查閥可用以調節該傳送盒內部之壓力及防止壓力過大。在處理過程,該小室可被加壓於裝載埠或其他嵌套位置於有利區域。一但加壓,該運送機7000可被儲存一段延伸時間而勿須連接至氣體供應。該持續時間可受制於該小室之大小及傳送盒之密封墊品質。
如圖13A所示,氣體供應7001'可在運送機7000'之外部。該氣體供應7001'可移動性地以任何適當聯結部聯結至運送機7000'。在此實施例中,該氣體供應可被重新裝填如上例圖13,或當氣體供應不足時,可置換另一氣體供應。
依據另一實施例,低功率電壓感應器7004可加入該運送機7000。該感應器7004可量測在運送機之電壓及發出警訊,若該電壓降至低於警戒程度。AMHS系統可接受指令將該運 送機從目前位置退出及放置於淨化套盒上充電。適當之運送機氣體供應可參閱美國專利號11/855,484。
當儲存或傳送晶圓時,若運送機之密封墊有滲漏,使用例如氣體供應7001,7001實行氣體加壓於運送機可使晶圓污染降至最低。舉例言之,若門之密封墊存有漏縫時,運送機內之加壓氣體將經由該漏縫排空該運送機而不使污染進入該運送機;反之,該運送機內之真空環境將易於吸取外部環境(包括污染)進入儲存晶圓之該運送機內。在一實施例中,該運送機可被抽淨及重新灌注預定氣體以清除該運送機內之任何污染,例如該運送機位於裝載埠或指定之運送機清潔站。應可得知的是,當運送機可被抽淨及重新灌注預定氣體,在運送機內之晶圓也同時被清除污染。
圖14顯示依據另一實施例之運送機與裝載埠之介面之局部剖視圖。應可得知的是,在打開運送機之前,氣壓差可能存在於該運送機及裝載埠之環境。當開啟運送機門8001,氣壓將均等及可能引導不期望之氣流越過晶圓運送機。該空氣擾流可能留下微粒狀物質及可能損害或破壞該運送機8000內之晶圓。當關上運送機門8001,運送機殼內被置換之空氣體積將被向外推出。該空氣體積可能穿過晶圓而進入裝載埠環境,且可能留下有害微粒狀物質。
在實施例中,可在運送機殼中提供氣流輸送道8010,其形成可供空氣或其他氣狀流體外溢之低抗阻路徑。該輸送道8010可設置在該運送機殼周圍或其他適當位置以允許氣體從運送機8000內外流。該輸送道8010提供當開/關運送機門 8001時之空氣/氣體流動路徑;當運送機門8001開/關時,壓力獲得紓解,一埠口可用於外放氧氣或其他有害微粒,及/或主動地控制環繞在晶圓四周之氣流。在實施例中,當輸送道被置於裝載埠(或其他介面),該等輸送道受控於真空源。在其他實施例中,該等輸送道可開放於適當環境,使得氣體可經由該等輸送道從運送機流動到該環境中。適當之氣閥,例如檢查閥8020,可設置於輸送道8010以防止氣體經由該等輸送道逆流入運送機。可選擇性地,正壓裝載埠可用於引導氣體經由該等輸送道8010進入運送機8010。
舉例言之,當運送機8000放置於裝載埠介面,環繞氣流輸送道8010之區域被以任何適宜密封墊密封,例如密封墊8025。在打開運送機門8001之前,啟動真空流以移除任何在運送機表面之污染或滯留氣體。當運送機門8001開啟後,由於大的氣流區域及低壓,任何裝載埠與運送機環境之間之壓差可容易地被均等。在其他實施例中,壓力可藉引導氣體經由輸送道進入運送機而被均等,因此在運送機之壓力相等於該運送機所連接處理環境之壓力。當運送機門8001開閉後,大量留存在運送機內之空氣/氣體必須置換。氣流輸送道8010及關聯之真空為氣流流動提供一低抗阻路徑。如此減輕任何在運送機8000內器氣體可能引發之“活塞效應”,及移除任何擾流流過該晶圓。
圖7A-7C顯示依據另一實施例之裝載埠板14或隔板及運送機之立體剖視圖。在實施例之裝載埠或形成裝載埠之板14可類似前述之裝載埠。如圖7A所示,裝載埠14可於BOLTS (容器盒開啟器/裝填器工具標準)介面接合FEM 4,此可符合SEMI E63標準。在實施例中,裝載埠14可經安排,使得門能在外部BOLTS介面平面上有效開啟。如圖7B-7C所示,裝載埠隔板可形成配合門動作之退縮或凹室。該凹室可被遮罩於FEM內部如圖所示,因此該凹室是隱藏在FEM內部。同時,在實施例中,該隔板面不會阻礙BOLTS介面(除了裝載埠),減少可能干擾氣體在FEM內流動之結構。該裝載埠隔板可形成氣體在EFM內循環之回返通路,如圖1A-1B所示之實例,可輔助維持門凹室成為潔淨之區域。氣體可使用適當通風調節器被導向進入該凹室。再參見圖10,在實施例中,門開啟機構可謂於該清潔區域之外部。由圖7A,7B可知,在實施例中,接合運送機殼之裝載埠隔板之介面板面可偏移BOLTS介面以配合該門凹室。因此,也參見圖3,在實施例中,當依據SEMI標準相對BOLTS介面停靠該運送機時,運送機殼可經組構以配合在裝載埠介面之偏移及維持該運送機之表面基準。
在一實施例中,聯結運送機門104與裝載埠門12之閂鎖可使用任何適宜之致動器予以激活。例如任何前述致動器(參見圖19及20)。舉例言之,當該運送機與該裝載埠接合時,適當流動線例如真空線或淨化線可調整該運送機內之環境以配額處理機之環境。在一實例中,運送機之內部可被抽取成預定之真空狀態,造成運送機內部及例如配合門動作之凹室之間之壓差。該壓差可致使該致動器之驅動面5035移動,如此依序可激活聯結運送機門104與裝載埠門12之閂鎖機構或 裝置。在另一實施例中,當運送機門104復位至運送機,聯結運送機門與裝載埠門之閂鎖可藉施壓於該致動器之一側而得以開釋。舉例言之,該運送機可填充惰性氣體,例如氮氣,以傳送在該運送機內之基板。該填充氣體在運送機內形成之氣壓可在致動器5000之驅動面5053上施加壓力而造成該致動器之運動,如此接著造成運送機門104與裝載埠門12之間之閂鎖鬆開。相同或不同致動器5000之啟動也可致使運送機門104如同前述被閂固於該運送機。應可了解的是,該致動器5000之一側可被施壓以閂固運送機門至裝載埠門;然而在其他實施例中,壓差可用於開釋運送機門與裝載埠門之閂鎖。還有其他實施例中,施加壓差或可以任何適宜方式施行壓力於致動器之一側,以造成該致動器之運動。應可了解的是,任何適當來源可為啟動致動5000之真空源或氣壓源,例如前述之淨化或抽取運送機之氣流線,或位於凹室以調節門動作之空氣調節器。
運送機基板之映射(mapping)可以任何設想方式實行。舉例言之,基板可以光學方式映射,例如使用光柱感應器、透過運送機側邊透明窗之光柱感應器、或任何其他適當光學感應器。在其他實例中,該感應器可為機械式,其使用以支架支撐之空氣感應器;可為光機械式,其中晶圓激活活塞,該活塞運動以任何適當感應器感應,如趨近感應器;及電子機械式,其使用應變儀量測基板在晶圓重量下之支撐形變。在其他實施例中,基板可以任何適當方法進行映射。
圖15顯示依據實施例之基板處理裝置或器械1002及與 其連接之運送機1100之立面圖。該處理裝置1002類似圖1所顯示之基板處理機2及具有相似之特徵編號。該處理機1002可通常包括處理部1006及FEM 1004(為說明目的,循參照慣例,其中晶圓可視為從前方載入該器械)。在實施例中,處理部1006及FEM 1004可分享一共同控制下之環境或氣壓(例如,惰性氣體,氮(N2),氬(Ar),或非常清潔處空氣)。處理部1006可包括一或多連接至FEM 1004之處理部或模組(圖15所顯示之安排僅為範例,在其他替代實施例中,該FEM及處理部模組可彼此以任何設想方式連接)。該處理部或模組1006能夠與FEM 1004隔離,例如使用可關閉之開口(例如門閥)。因此,該處理部所被提供之處理氣壓可不同於FEM氣壓。在其他實施例中,該處理部可包括裝載鎖,其可允許連接至FEM之處理模組具有不同氣壓或維持真空。此將詳述於後。
圖15之FEM 1004,除另有聲明外,可類似於FEM 4(見圖1-14)。該FEM 1004包括適當之環境控制,以在基板傳送往返於處理部1006時,維持FEM內期望之控制環境或氣壓。該FEM 1004可包括基片傳送裝置或機械臂1004R(該機械臂可為任何要求之類型),能夠握持傳送之基板。類似前述FEM 4,在實施例中,該FEM 1004可包括運送機介面1010,用以接合一或多運送機1100至器械1002,及允許基板被上載或下載於器械1002。該FEM 1004之介面,在此稱作裝載埠,及一相應之運送機1100之相配合介面部,可經組構以促使基板之上載及下載於運送機及FEM之間不會降低 FEM 1004及處理部1006之控制環境。該FEM裝載埠1010及運送機1100之配合介面部,其可統稱作運送機與FEM介面,可經安排以使得與FEM接合之該運送機1100可與該器械結合為一體。舉例言之,該運送機透過介面可形成與FEM共用相同控制氣壓之小室,及因此能夠維持基板在相同於FEM之控制氣壓中;致使基板可直接藉FEM傳送機械臂1004R由運送機1100傳送至處理部或處理模組。相似於前述實施例,圖15顯示運送機至FEM介面形成前述所稱之潔淨輸送道(相同清潔度遍及FEM及處理部)從運送機小室內(雖然該介面進入FEM),及貫穿處理部。潔淨輸送道可任意關閉(例如當運送機自裝載埠離開)及開啟而不使該潔淨輸送道降級。如圖15所示,運送機至FEM介面也可經安排以使該運送機直接與該器械結合(如上述),不受介面前運送機環境之支配,此將詳述於後。因此,在圖15實施例中,運送機1100可直接與不同環境(例如,清潔空氣至惰性氣體環境,或清潔空氣至真空)之處理機結合及一體化,然後直接在不同環境之器械間傳送。於是,具有控制環境之器械之基板可直接使用機械臂傳送,徒處理部(類似處理站1006)經由該潔淨輸送道進入該運送機,該直接被傳送及結合另一器械之FEM(類似FEM 1004)之運送機1100可能具有不同控制環境,且直接使用FEM機械臂傳送通過該潔淨輸送道之基板現在被限定於至其他處理部之器械內,在其他處理機不會有控制環境之降級。在實行中,該運送機與FEM之介面結合該運送機形成一外部裝載鎖或運送機裝載鎖。
仍參見圖15,如前述,FEM 1004之裝載埠1010可類似於前述之裝載埠10。在圖15實施例中舉例顯示裝載埠10與運送機1100接合,然而在其他實施例中,該裝載埠可被安排與任何要求數目之運送機接合。舉例言之,在其他實施例中,裝載埠可通常具有堆疊組構,其能夠接合多數排列成堆之運送機(類似圖1之配置)。在實施例中,該裝載埠1010具有一可連接至運送機1100之真空源1010V以抽取該運送機,例如當運送機在裝載埠時,可清除運送機及其內部基板之污染分子。相反地,運送機可經安排以接合在裝載埠之真空源1010V及抵抗在運送機殼之大氣壓力,當該運送機被抽取成真空時。如上述,用以抽取運送機之該真空源1010V也可啟動致動器5000,以類似於上述圖9(同時也含圖19及20)之方式,藉壓差以聯結該運送機門及裝載埠門。舉例言之,裝載埠門可透過真空介面接合運送機門。該運送機之內部容積被抽取之真空程度比裝載埠/運送機門之真空介面更高,以在其兩者之間形成壓差而啟動致動器之運動。在其他實施例中,裝載埠門與運送機門之間之介面可啟動該致動器5000之運動,以使該運送機門及裝載埠門可彼此上閂。在可替代之實施中,也如同上述,該致動器之表面可經任合適當方式施壓,例如,當該運送機清除惰性氣體。在可替代之實施中,該裝載埠門可包括真空/清除流線,其可直接與致動器接合(例如,致動器密封墊圍繞該流線)以形成壓差或施壓於該致動器之一側。
在圖15之實施例中,該運送機具有一側邊開口(運送機 門位於該運送機之側面),在其他實施例中,該運送機門可位於運送機之任何面,例如運送機之之上部或底部。運送機1100可具任何要求之尺寸及可能為一小批量運送(例如5或更少基板之容量),或可能按任何期許容量製作,例如13、25或任何要求數量之基板。該運送機可具有金屬殼,例如鋁或不鏽鋼,或其他材質(包括非金屬材質或襯裡非金屬材質之金屬),致使該機殼具有分子不滲透性。如上述,該運送機殼也可適當地安排以在其內維持真空(例如足夠高之真空以有效清除運送機內之污染分子,及真空處理之真空相容性,例如1x10-3 tott)。如圖26所示,該運送機殼結構可經設置以具有適當之機壁厚度(例如1/8"不鏽鋼機殼)及具有適當尺寸及位置之加固物10950沿運送機之一或多側、上部及/或底部,以減少機殼之撓曲(deflection)。該加固物10950可為肋狀或其他任合適當加固構件以減少運送機壁面之撓曲。該運送機可具類似上述運送機100之耦合特徵(例如,當由置頂輸送系統遞送時,用以對接裝載埠之運動耦合,舉例言之,與運送機側邊開口接合,以致使潔淨輸送道通過該裝載埠)。該運送機殼可經適當安排,以使得任何運送機殼之撓曲,當運送機內部成真空時,不會使聯結之操作受到降級。該運送機1100可具有適當通道及孔口或連接埠,使得運送機與裝載埠在連接或耦合時,該裝載埠之真空源1010V自動地接合到該運送機殼及連通道運送機內部。圖15所顯示之真空埠僅為範例,在其他可替代選擇實施例之真空埠可設置於任何位置。舉例言之,在其他實施例中,在運送機(及相反地在裝 載埠)之真空通道及連接埠可類似於在圖14之該等物件(例如,在運送機側面及真空埠緣之間之密封介面區內之運送機接合面所形成之流通輸送道)。應可了解的是,運送機密封墊(見圖3)具有要求之完整性以抵抗橫越該密封墊之真空。
如圖15之實施例所示,運送機1100也可經組構以連接至氣體供給,例如通氣或潔淨氣體之來源。在圖15中,運送機1100可與氣體源1010G連接,當其放置於裝載埠1010之運送機支架上時。應可了解的是,該運送機可具有一適當之進口埠(插口)(及連接至運送機內部之適當氣體輸送道)以接合(例如自動地)氣體供給1010G之噴嘴,例如當該運送機被放置於裝載埠之支架面上時。如圖15所示,運送機與裝載埠之間之氣體源介面之安排僅為範例;在其他實施例中,該運送機與裝載埠之間之氣體源介面可具有其他任何要求之位置及組構。如上述,氣體源1010G能夠提供例如潔淨及/或通氣之氣體至座落於裝載埠1010之運送機。舉例言之,由於適當安置在裝載埠1010(例如從置頂輸送系統)之運送機1100,及連接至該運送機之供給氣體噴嘴以供給進入運送機殼內,若需要時,潔淨氣體(例如Nz)可注入該運送機內(依據運送機位於裝載埠之內部環境,及維持在FEM之環境)。因此,若該運送機例如包含某處理環境(例如來自之前器械之介面),及該FEM 1004可維持一惰性氣體或非常清潔空氣環境,其可能與該運送機環境不同;當安置該運送機於裝載埠時,潔淨運送機環境以使得該運送機可與裝載埠開口接合及與器械1002合併如前所述。此外,當安置運送機於 裝載埠(但例如在開放運送機內部給FEM環境之前),在該運送機環境不相容或可能呈現污染於FEM環境之情況下,該運送機內部可經真空源1010V抽取至足夠真空,然後灌注類似在FEM環境之惰性氣體(例如Nz、非常清潔空氣),以清除該運送機之可能污染,及允許該運送機與器械合併如前所述。如前所述,潔淨氣體供給1010G,附加或取代真空源1010V,可以類似前述之方法操作致動器5000。關於運送機環境之資訊可紀錄在RFID(無線電頻率識別)標籤上,或其他資料儲存裝置,其可由位於或接近裝載埠1010之適當讀取機辨讀於運送機裝載時。因此,關於運送機內部之適當資訊可由器械控制器獲取(見圖16);經要求之規則檢閱,若有需要,當運送機位於裝載埠時,該運送機可被抽取及通氣如前所述。當該運送機與裝載埠對接或其他適當時刻,有關運送機氣壓之資訊例如可紀錄在該運送機自載之儲存裝置。若有需要,該資訊也可由整產性監控器(FAB wide controller)追蹤。應可了解的是,該運送機1100也可與不具真空及氣體供應連接之FEM接合。在其他實施例中,運送機可包括內部或內建清潔空氣源(類似圖13所示),以在運送機定位於裝載埠時,清潔運送機。在其他實施例中,裝載埠介面與運送機接核可提供真空連接,且無氣體供給,該氣體可例如由內建於運送機之氣體源提供。因此,可了解的是,該運送機可作為工作之基板清潔室,儲存基板於該器械,使其可進行清潔。該運送機抽取/通氣也可執行於該運送機自裝載埠處移除之前,例如重新定位於傳統器械。
如上述,圖15之裝載埠及運送機至器械介面之安排僅為範例,在其他實施例中,介面可具任何其他之組構。舉例言之,該氣體供給可依要求定位,以使氣體由FEM環境流通至運送機於該運送機內部被抽取後。圖16顯示依據另一實施例之另一處理機2002之平面圖。圖16之該器械2002類似圖15所顯示之器械1002及敘述(類似特徵具有類似編號),器械2002可具有處理模組2006、2006A及具有要求控制環境(例如惰性氣體或長清潔空氣)之FEM 2004。一或多處理模組2006可連接至該FEM,使得該FEM傳送機械臂2004R可挑取/放置基板於處理模組(如圖16所示,及類似於圖15所示)。處理模組2006、2006A可與FEM 2004共享相同之氣壓。FEM 2004可具有裝載介面或裝載埠,以類似上述之結合方式,裝載及接合運送機2100至器械。在實施例中,該FEM傳送機械臂2004R可透過類似上述潔淨輸送道方式,直接地於運送機2100與一或多處理模組2006之間挑取/放置基板。在圖16中,潔淨輸送道2005之形成始自FEM介面2010進入運送機內部,延伸至處理模組2006、2006A,該輸送道可在長度或組構上變化(舉例言之,類似美國專利號碼11/422,511,申請日2006年5月26日;美國專利號碼10/624,987,申請日2003年7月22日;美國專利號碼10/962,787,申請日2004年10月9日;美國專利號碼11/442,509,申請日2006年5月26日及美國專利號碼11/441,711,申請日2006年5月26日)。在實施例中,傳送模組2008可連接至FEM,使得該FEM傳送機械臂可挑取/放置包括在該傳送模組之基板。該傳 送模組之位置僅為範例。應可了解的是,該潔淨輸送道可從該FEM繼續延伸到該傳送模組。更多或更少之傳送模組2008、2008A可彼此連接(例如,序列式地如圖16之虛線部份)以隨需要改變潔淨輸送道之長度及組構。處理模組(類似模組2006,2006A)可結合該潔淨輸送道,使基板可經由該潔淨輸送道被傳送,例如往/返該運送機2100及任何設想之處理模組,或任何要求之處理模組之間。在實施例中,傳送模組2008可在模組中具有一傳送機械臂,例如傳送基板往/返於處理模組2006A,或至鄰接之傳送模組/小室2006A。在其他實施例中,該傳送模組可能不具內部機械臂,基板係由潔淨輸送道2005之鄰近模組之內部機械臂來放置/挑取,此將參見16A、16B詳述於後。在還有其他實施例中,傳送模組可具有任何適當長度及包括任何適當基板傳送裝置。舉例言之,在圖16A之潔淨輸送道2005'可類似上述圖16之潔淨輸送道,及包括一拉長形小室之模組,其具有一橫貫該小室之傳送車。該傳送車可能是一被動式小車(例如,不具有傳送機械臂),類似於美國專利號碼10/962,787,申請日期2004年10月24日。舉例言之,該傳送車可能是與該小室結為一體之小車。該傳送車可經組構以在該小室內前端18F及末端18B之間來回傳送。該傳送車可經組構以橫貫該小室,使得微粒(可能污染基板)不會引介進入該潔淨輸送道2005'。為舉例說明,在一實施例中,該傳送車可能是一帶磁性地飄浮車或任何其他適當驅動系統以移動該車而不會釋放污染物進入該潔淨輸送道。傳送裝置之小車2004R'具有用以握持一或 多個基板之末端作用器。在圖16A中,傳送小室2004T聯結該潔淨輸送道2005'。該傳送小室2004T包括傳送臂2004R(其係經組構以例如操作於真空環境),用以將基板由小車2004C傳送至聯結於該傳送小室2004T之處理室2006及2006A。為挑取及置放基板於小車2004C,該小車2004C可對準擬定之模組/載埠,而該機械臂2004R可延伸/收縮經由相應之載埠以安置該末端作用器,使其可將基板挑取/置放於小車2004C。在此實例中,該潔淨輸送道可包括潔淨輸送道延伸部2005E,其可延伸潔淨輸送道至任何適當方向,例如格狀構形,該小車2004C可穿越其間,以使基板由運送機傳送至處理模組。應可了解的是,當小車2004C橫貫潔淨輸送道2005'、2005E時,可有一個以上之傳送路徑可供遵循。在一實施例中,傳送路徑可垂直方向上彼此間隔,使得小車可彼此上、下穿越,或垂直調整車之高度,使得小車可對準垂直方向上堆疊之處理模組/傳送室。在其他實施例中,傳送車路徑可平行地彼此間隔。圖16A之FEM 2004'可類似圖16之FEM 2004,但是,FEM 2004'可包括一個以上用於聯結運送機至FEM之裝載埠。在此實例中,該裝載埠如圖所示地彼此平行地間隔,但在其他實例中,該等裝載埠可彼此垂直地間隔。
圖16B顯示另一處理機之實例。在此實例中,處理機包括一類似潔淨輸送道2005'、2005E之潔淨輸送道2005"。類似地,傳送模組及處理模組可聯結至該潔淨輸送道2005"。在此實例中,傳送裝置2004C'可為任何適當之傳送裝置,包括傳送車(例如包括基板傳送機械臂),及位於潔淨輸送道內 且可彼此傳送基片之傳送機械臂序列,或者任何其他藉該潔淨輸送道2005"傳送基片之適當裝置。在其他實例中,該潔淨輸送道可由一組包括握持基板之傳送機械臂之傳送模組構成。該等傳送模組可彼此聯結以構成潔淨輸送道。應可了解的是,該允許通路介於處理模組、傳送模組、潔淨輸送道及運送機之裝載埠可經組構以隔絕器械2002'之個別部分,使得該器械2002'之一或多部分可包括不同於其他部分之氣壓。
又參見圖16,在此實施例中,器械2002之潔淨輸送道之傳送模組2008、2008A可共享FEM之控制事項(例如,惰性氣體、非常潔淨空氣)。在其他實施例中,一或多傳送模組2008、2008A可組構成加載互鎖,使得潔淨輸送道之該等部份可保持不同氣壓(例如,限制於FEM之潔淨輸送道部分可具有N 2 環境,而模組2008A之內部可具有真空環境,傳送模組可能是一能夠循環基板於FEM內之惰性氣體環境及模組2008A內之真空環境之加載互鎖)。
應可了解的是,除了可與FEM結合外,運送機可直接與處理機之真空部結合。圖17顯示基板處理機3002及與其連接之運送機3100。該運送機3100可類似於前述之運送機1100。該處理機3002大體上類似於前述之處理機,備有一前裝載部3004(維持前述由器械前端載入)及與其連接之處理部3006。在圖17之實施例中,前裝載部3004可經組構以維持真空。裝載部3104可具有小室介面或裝載埠3010,除有註明外,其大體類似前述之裝載埠介面10、1010,且可接收運送機3100及直接結合該裝載部之真空環境。類似於前述,該 運送機於裝載部緣介面之開口提供足夠之一體性,使得當該運送機直接結合及開放於裝載部3004之真空環境時,將不會有明顯降級發生於真空環境及從運送機內部貫通運送機-裝載部介面之潔淨輸送道、裝載部3004及與潔淨輸送道通聯之處理模組3006。因此,當運送機與潔淨輸送道結合時,位於真空裝載部內之基板機械臂3004R可挑取/放置該運送機及處理模組3006內部之基板,並且直接經由該潔淨輸送道彼此傳送基板。圖17中所顯示之配置僅為範例。該前裝載部3004之裝載口能夠關閉,例如使用真空閥門(或其他適當關閉機構),以在運送機未接合時,維持前裝載部3004內部之真空。在實施例中,該裝載部也可包括前部3012,其例如位於真空門閥之前端,可以結合或連接至運送機3001之載埠介面(類似前述介面101,參見圖3)。該前部3012也具有一可閉合之開口(例如,相似於圖3之門8014),經由該開口,裝載部與運送機內部連通,且延伸潔淨輸送道。應可了解的是,當運送機被接合且打開時,前部3012也可具真空環境。該前部3012可經安排,致使該運送機門可自運送機移除(類似於前述),經由裝載開口進入該前部。在實施例中,當運送機3100成為加載互鎖時(如前述),該前部可能非加載互鎖(雖然在其他實施例中可能是)。因此,基板可握持於運送機及氣體(例如,運送機在內部機傳送期間可維持惰性氣體氣壓)可被抽取(例如使用類似前述之真空源3010V),以建立真空,其相稱於裝載部之傳輸室內之處理真空。由於需要之真空設立於該運送機,真空閥門可開啟以使得在裝載部之真空 機械臂可於運送機內部挑取/放置基板。運送機門可開啟於該運送機被抽取成真空後(在實施例中,前部也可具有真空環境,以促進運送機門及之延伸自運送機內部之潔淨輸送道之開啟),由於介面開啟,前部、傳送室及處理模組可通聯於其內。在實施例中,前部可具有惰性氣體(以使污染侵入可能降至最低)介於運送機介面之間,其可於開啟運送機門之前,被抽取成需要之真空(應可了解的是,適當之真空源及氣體輸入可由該前部提供)。在其他實施例中,運送機門可開啟於氣體由運送機抽取之前(例如,運送機門開啟在具有惰性氣體之前部),且在運送機及前部之氣體可同時被真空源抽取,該真空源位於前部,或者與運送機之真空孔口接合。在實施例中,在關上運送機門以擬定傳送至另一器械時,該運送機3100可經進氣口3010G而充滿適當之惰性氣體(例如N z )。
如上述,處理機3002及運送機至器械介面之配設可具任何設想之組構。參見圖18,其顯示依據另一實施例之另一處理機4002之平面圖。該處理機4002大體相似於圖17之處理機(類似特徵具有類似編號)。處理機4002可具有處理模組4006、4006A、及FEM 4004,以及例如真空環境(例如惰性氣體或非常潔淨空氣)。如圖18及類似圖16所示,一或多處理模組4006可連接到真空FEM,使得真空傳送機械臂4004R可挑取/放置基板於處理模組。處理模組4006、4006A可與裝載部4004共享共用處理真空。類似前述,FEM 4004可具裝載介面或裝載埠,用以裝載或使運送機4100與機接合 成為一體。在實施例中,該真空傳送機械臂4004R可如前述,經由潔淨輸送道將基板直接挑取/放置於運送機4100與一或多處理模組4006、4006R之間。圖18之實施例中顯示一設定範圍由FEM介面4010、4012貫通運送機內部及延伸進入處理模組4006、4006A之潔淨輸送道4005,其該長度或組構是可改變的。
參見圖18A之實施例,如上述圖18所示,處理機之組構為運送機4100直接結合潔淨輸送道2005'之氣壓。在此實例中,傳送機械臂4004R可配設於鄰近運送機處,以從該運送機將基板傳送至圖16A、16B所示之運送小車。如上述,該運送機可移動至潔淨輸送道2005'內之設定位置,如此傳送機械臂4004R可傳送基板於處理模組4006與該運送小車之間。在其他實施例中,該運送小車可包括用以延伸或收縮末端作用器之連接臂或可傳送機構,以直接從鄰近潔淨輸送道2005'之處理模組4006或傳送機械臂4004R挑取或放置基板。圖18B顯示另一處理機,其中運送小車4100可直接接合該潔淨輸送道。在此實例中,該處理機可類似於上述圖18A之潔淨輸送道,但是運送小車2004C'可類似圖16B所述之運送小車。應了解的是,該潔淨輸送道(或其中部分)如上述可具有垂直或水平方向上彼此間隔之傳送路徑,以允許基板傳送在該處理機內或至其他處理模組或運送器可進行垂直地堆疊或水平並列。
揭示之系統可提供:停止晶體生長/腐蝕, 放寬排隊時間(queue time)規則及簡化儲存管理;移除空氣之鹵素及有機化合物;減輕FAB交叉污染風險;消除空浮分子污染(AMC),例如HF、HCL、VOC於運送機環境及基板;保護運送機及該運送機內之基板免於環境污染達數日;在運送機及基板上之活躍氣體鈍化保護;POD環境更新及保護;具頻譜特徵分析之集成氣體量測。
2‧‧‧基板處理裝置
4‧‧‧前端模組(FEM)
6‧‧‧處理部
10‧‧‧裝載埠
11‧‧‧裝載埠介面
12‧‧‧裝載埠門
14‧‧‧裝載埠邊緣
100‧‧‧運送機
101‧‧‧介面
101A、101B‧‧‧聯結部
102‧‧‧機殼
102F‧‧‧機殼邊緣
103S‧‧‧密封墊
104‧‧‧運送機門
122‧‧‧溝槽
1010V‧‧‧真空源
1014‧‧‧裝載埠邊緣
2002‧‧‧處理機
2004C‧‧‧小車
2004R‧‧‧傳送機械臂
2004T‧‧‧傳送小室
2005‧‧‧潔淨輸送道
2005E‧‧‧潔淨輸送道延伸部
2006、2006A‧‧‧處理模組
2008、2008A‧‧‧傳送模組
2010‧‧‧FEM介面
3002‧‧‧基板處理機
3004‧‧‧前裝載部
3004R‧‧‧基板機械臂
3010V‧‧‧真空源
3104‧‧‧裝載部
4006‧‧‧處理模組
5000‧‧‧致動器
5001‧‧‧囊狀部
5002‧‧‧內部容積
5005‧‧‧連杆
5010‧‧‧密封墊
5020‧‧‧固定表面
5030‧‧‧致動器表面
5035‧‧‧驅動面
5055‧‧‧流線
5056‧‧‧漏出點
6000‧‧‧物件
6001‧‧‧穿孔
6010‧‧‧聯結板
6020‧‧‧致動器
6050‧‧‧運動表面
6060‧‧‧預載器
8010‧‧‧氣流輸送道
8020‧‧‧檢查閥
8025‧‧‧密封墊
10950‧‧‧加固物
圖1A-1B基板處理機及一或多包括本發明特徵之基板運送機或傳送盒(pods)之立面圖。
圖2顯示圖1處理機之裝載埠之局部立面圖及運送機介面與該裝載埠。
圖3裝載埠介面及運送機之另一局部立面圖。
圖3A-3J依據本發明之閂鎖範例。
圖4A-4E個別顯示裝載埠介面與運送機在不同位置之立面圖。
圖4F顯示依據本發明之運送機至裝載埠介面之處理流程圖。
圖5A-5B個別顯示運送機與裝載埠介面在不同位置之立面圖。
圖6依據本發明另一實施例之裝載埠介面與運送機之 立面圖。
圖6A顯示依據本發明之運送機至裝載埠介面之處理流程圖。
圖7A-7C個別顯示裝載埠介面與運送機之局部立面圖及局部放大立面圖,以及另一實施例之裝載埠介面與運送機之立體剖面圖。
圖8-8A個別顯示另一實施例之裝載埠介面與運送機之立體圖及側視圖。
圖9-9C個別顯示裝載埠介面之立體圖,及裝載埠介面與運送機啣接之不同部位之剖面圖。
圖10裝載埠介面與運送機之俯視剖面圖。
圖11顯示運送機及器械介面之運動耦合之啣接特性之立體圖。
圖12-12A個別顯示依據本發明之運送機與器械介面之接合。
圖12B運送機與器械介面之示意圖,顯示運送機與載埠門運動之路徑。
圖13-13A依據另一實施例之運送機及器械介面之示意圖。
圖14運送機及器械介面之局部示意圖。
圖15基板處理機及與其連接之運送機之立面圖。
圖16、16A及16B基板處理機及與其連接之運送機之平面圖。
圖17基板處理機及與其連接之運送機之立面圖。
圖18、18A及18B基板處理機及與其連接之運送機之平面圖。
圖19及20個別顯示根據本發明之致動器(actuator)之立體圖及立面圖。
圖21顯示物件與裝載埠之第一介面外層之接合。
圖21A及21B顯示圖21中之物件與裝載埠之運動耦合。
圖22顯示裝載埠之另一介面外層。
圖23-25物件由圖21及圖22之第一介面外層至第二介面外層之接合。
圖26依據本發明之基板運送機示意圖。
11001‧‧‧運送機抵達
11002‧‧‧箍緊裝載埠
11003‧‧‧推進至介面,載埠門真空開啟
11004‧‧‧使運送機對著介面推壓
11005‧‧‧運送機門緊箍
11006‧‧‧邊緣鉗夾接合
11007‧‧‧門撤回
11008‧‧‧門邊緣推壓至介面運動聯結部及夾緊
11009‧‧‧運送機門分開
11010‧‧‧運送機門下降

Claims (30)

  1. 一種基板處理系統,包括:處理部:其可維持處理環境於其中;運送機:其機殼可形成容納至少一基板之內部容積,以傳送該基板至該處理部,該機殼經組構以允許該內部容積可被抽取至預定真空壓,該預定真空壓不同於該基板處理系統之外的外部氣壓;及裝載埠:其可聯結至該處理部,以使處理環境隔絕於外部氣壓,該裝載埠可與該運送機接合,以抽取該運送機之內部容積及聯結該運送機至該處理部,使基板經由該裝載埠裝載進入該處理部。
  2. 如申請專利範圍第1項之基板處理系統,其中該預定真空壓是該處理環境之氣壓。
  3. 如申請專利範圍第1項之基板處理系統,其中裝置可經組構以將基板直接由運送機傳送至在真空環境之處理部。
  4. 如申請專利範圍第1項之基板處理系統,其中該裝載埠可經進一步組構以引介氣體進入該內部容積,對該運送機加壓。
  5. 如申請專利範圍第1項之基板處理系統,進一步包括與該裝載埠分離之運送機站,該運送機站經組構以抽取該運送機至預定之真空壓或經由引介氣體對該運送機加壓。
  6. 如申請專利範圍第1項之基板處理系統,其中至少 該運送機之機殼為由金屬所建構。
  7. 如申請專利範圍第1項之基板處理系統,其中該運送機之機殼包括加強肋材於該機殼之一或多面板上。
  8. 如申請專利範圍第1項之基板處理系統,進一步包括可聯通該裝載埠與該處理部之傳送模組,該傳送模組包括構成該運送機與該處理部之內部通道,其中該內部通道是無污染之環境。
  9. 如申請專利範圍第8項之基板處理系統,進一步包括貫通內部通道之移動小車,其可傳送基板通過該傳送模組。
  10. 如申請專利範圍第9項之基板處理系統,其中該移動小車包括一傳送臂,其可直接傳送至少一基板於該運送機與該處理部之間。
  11. 如申請專利範圍第8項之基板處理系統,進一步包括一連接傳送模組至該處理部之轉運模組,該轉運模組包括傳送臂,其可由該小車傳送至少一基板至該處理部。
  12. 如申請專利範圍第8項之基板處理系統,其中該運送機及內部通道之氣壓與處理部之氣壓相同。
  13. 如申請專利範圍第1項之基板處理系統,其中,該運送機經組構以握持至少一基板於預定之基板平面,以傳送至該處理部,該運送機在該機側具有一可閉合之開口,其相對於該基板平面成一角度;該裝載埠包括對準特徵,其接合該運送機之側面,及實行該運送機對該裝載埠之可重複對位。
  14. 如申請專利範圍第1項之基板處理系統,其中該運送機包括可攜式氣體供給器,該可攜式氣體供給器可於運送機之傳送及/或儲存期間,在該運送機內維持預定之氣壓。
  15. 一種基板運送機,用以聯結基板處理系統之裝載埠,該基板運送機包括:機殼,及由該機殼構成之內部容積;其中該機殼經組構,以使運送機位於大氣環境中,該內部容積可被抽取至預定真空壓。
  16. 如申請專利範圍第15項之基板運送機,其中該機殼包括在機殼側面組構之加固元件。
  17. 如申請專利範圍第15項之基板運送機,其中該預定真空壓是該基板處理系統之處理壓。
  18. 一種於基板處理期間降低基板之微粒污染的方法,該方法包括:使基板運送機與基板處理系統之裝載埠聯結;及當該基板運送機之一或多外部側面暴露於大氣環境時,使該基板運送機之內部容積抽取至預定真空壓。
  19. 如申請專利範圍第18項之方法,其中該預定真空壓是該基板處理系統之處理壓。
  20. 如申請專利範圍第18項之方法,進一步包括由基板運送機傳送至少一基板至基板處理系統之處理模組。
  21. 一種基板處理系統,包括:運送機,其用以保持基板於其中,該運送機具有第一與第二運送機對位特徵;及 裝載埠,用以聯結該運送機至該基板處理系統之處理部,該裝載埠包括:第一運送機介面,其具有聯結該第一運送機對位特徵之第一對位特徵,及與該第一運送機介面成角度關係之第二運送機介面,該第二運送機介面包括可移動式梭車,其具接合該第二運送機對位特徵之第二對位特徵,該第二對位特徵經組構以能夠至少部分脫離該第二運送機對位特徵,以允許第一對位特徵與第一運送機對位特徵之聯結,該可移動式梭車可傳送該運送機至該第一運送機介面。
  22. 如申請專利範圍第21項之基板處理系統,其中該運送機與可移動式梭車之相對運動實施由第二運送機介面至第一運送機介面之運送機之對位轉換。
  23. 如申請專利範圍第22項之基板處理系統,其中該第一運送機介面可接合該運送機及致使該運送機與第二運送機介面之間之相對運動。
  24. 一種使運送機與處理機結合之方法,該方法包括:使該運送機在第一對位介面對位;及轉移該第一對位介面以推進該運送機前往第二對位介面,其中該運送機與第二對位介面之接合可致使該運送機與第一對位介面之間之相對運動,以使該運送機之對位從第一對位介面轉換至第二對位介面。
  25. 如申請專利範圍第24項之方法,其中該第一對位介面朝向第二對位介面之轉移通過該運送機與第二對位介 面之接觸點可致使該運送機之上升而脫離該第一對位介面。
  26. 一種基板處理裝置,包括:框架;連接至該框架之可致動機構;及連接至該框架之致動器,該致動器包括:基座元件;可產生該可致動機構之運動之第一可動介面;連接至該基座元件及該可動介面之第一驅動介面;及連接至該基座元件之第一操縱元件,該操縱元件可經組構,以限制該可動介面之運動之自由度;其中該第一驅動介面可經組構以形成隔絕範圍,其使致動器之內部空間及該第一操縱元件隔絕於該第一驅動介面之外部,及透過該內部空間與該第一驅動介面之外部空間之壓差,達成該第一可動介面相對於該基座元件之運動。
  27. 一種基板處理裝置,包括:處理部:用以保持處理環境於其中;運送機:包括運送機門,及形成內部容積之機殼,以容納至少一傳送至該處理部之基板,及包括貫穿該機殼之流體輸送道,該流體輸送道可經組構,以在運送機門開或關時,使內部容積之空氣擾流及基板污染降至最低;及裝載埠:其可連結至該處理部,以使處理環境隔絕於外部環境,該裝載埠可使該運送機與該處理部聯結,以透 過該裝載埠將基板裝載至該處理部。
  28. 一種基板處理裝置,包括:處理部:用以保持處理環境於其中;運送機:其機殼形成內部容積,以容納至少一傳送至該處理部之基板,該運送機包括運送機門及第一運送機門閂;及裝載埠:其可連結至該處理部,以使處理環境隔絕於外部環境,該裝載埠包括裝載埠門,其備有使該運送機門與裝載埠門聯結之第二運送機門閂,該裝載埠可使該運送機與該處理部聯結,以透過該裝載埠將基板裝載至該處理部;其中,第一運送機門閂與第二運送機門閂至少其中之一為固態裝置(solid state device),其經組構以把持或鬆開相對之門,及在基板傳送於運送機與處理部之路徑上減少部件移動。
  29. 一種基板處理裝置,包括:處理部:用以保持處理環境於其中;運送機:其機殼形成內部容積,以容納至少一傳送至該處理部之基板,該運送機包括運送機門及運送機門閂,該運送機門閂包括閂鎖元件及形狀變更元件,該形狀變更元件可啟動該閂鎖元件以把持或鬆開該機門;及裝載埠:其可連結至該處理部,以使處理環境隔絕於外部環境,該裝載埠包括裝載埠門,該裝載埠可使該運送機與該處理部聯結,以透過該裝載埠將基板裝載至該處理 部,該運送機與該處理部之聯結構成一輸送道。
  30. 如申請專利範圍第29項之基板處理裝置,其中該形狀變更元件包括形狀記憶合金,其在由激源裝置提供激發時,可改變形狀。
TW097118330A 2007-05-17 2008-05-19 基板運送機、基板處理裝置和系統、於基板處理期間降低基板之微粒污染的方法,及使運送機與處理機結合之方法 TWI475627B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US93063407P 2007-05-17 2007-05-17
US2415208P 2008-01-28 2008-01-28
US4309708P 2008-04-07 2008-04-07

Publications (2)

Publication Number Publication Date
TW200949972A TW200949972A (en) 2009-12-01
TWI475627B true TWI475627B (zh) 2015-03-01

Family

ID=40642139

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097118330A TWI475627B (zh) 2007-05-17 2008-05-19 基板運送機、基板處理裝置和系統、於基板處理期間降低基板之微粒污染的方法,及使運送機與處理機結合之方法

Country Status (2)

Country Link
US (3) US9105673B2 (zh)
TW (1) TWI475627B (zh)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4891538B2 (ja) * 2004-11-04 2012-03-07 株式会社日立ハイテクノロジーズ ロードポート
US9457442B2 (en) * 2005-06-18 2016-10-04 Futrfab, Inc. Method and apparatus to support process tool modules in a cleanspace fabricator
US9105673B2 (en) 2007-05-09 2015-08-11 Brooks Automation, Inc. Side opening unified pod
JP5315100B2 (ja) * 2009-03-18 2013-10-16 株式会社ニューフレアテクノロジー 描画装置
JP2013011289A (ja) * 2011-06-28 2013-01-17 Tokyo Electron Ltd ゲートバルブ及びそれを用いた基板処理システム
JP2013033963A (ja) * 2011-07-29 2013-02-14 Semes Co Ltd 基板処理装置及び基板処理方法
JP5847487B2 (ja) * 2011-08-19 2016-01-20 東京エレクトロン株式会社 弁体、ゲートバルブ、及び基板処理システム
TWI629743B (zh) * 2012-02-10 2018-07-11 布魯克斯自動機械公司 基材處理設備
US20140096483A1 (en) * 2012-10-04 2014-04-10 Brookhaven Science Associates, Llc Transfer Chamber for Air-Sensitive Sample Processing
US9748123B2 (en) 2013-03-14 2017-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Pressure-controlled wafer carrier and wafer transport system
JP6106501B2 (ja) * 2013-04-12 2017-04-05 東京エレクトロン株式会社 収納容器内の雰囲気管理方法
KR102186620B1 (ko) * 2013-05-06 2020-12-03 삼성전자주식회사 로드 포트 모듈 및 이를 이용한 기판 로딩 방법
KR102297447B1 (ko) 2013-08-12 2021-09-01 어플라이드 머티어리얼스, 인코포레이티드 팩토리 인터페이스 환경 제어들을 갖는 기판 프로세싱 시스템들, 장치, 및 방법들
US9837293B2 (en) * 2013-10-30 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for charging gas into cassette pod
TWI635552B (zh) * 2013-12-13 2018-09-11 昕芙旎雅股份有限公司 設備前端模組(efem)
US9607873B2 (en) 2014-02-07 2017-03-28 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and operation method thereof
JP6226190B2 (ja) * 2014-02-20 2017-11-08 Tdk株式会社 パージシステム、及び該パージシステムに供せられるポッド及びロードポート装置
JP5776828B1 (ja) * 2014-08-08 2015-09-09 Tdk株式会社 ガスパージユニット、ロードポート装置およびパージ対象容器の設置台
JP6822953B2 (ja) 2014-11-25 2021-01-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板キャリア及びパージチャンバの環境制御を伴う基板処理のシステム、装置、及び方法
JP2017518626A (ja) * 2015-02-17 2017-07-06 ソーラーシティ コーポレーション 太陽電池の製造歩留まりを向上させる方法及びシステム
US9875921B2 (en) * 2015-05-07 2018-01-23 Fabmatics Gmbh Flexible purge management system
JP6565336B2 (ja) * 2015-05-28 2019-08-28 Tdk株式会社 ガスパージユニット、ロードポート装置およびパージ対象容器の設置台
US20160359080A1 (en) 2015-06-07 2016-12-08 Solarcity Corporation System, method and apparatus for chemical vapor deposition
TWI719031B (zh) * 2015-06-15 2021-02-21 美商恩特葛瑞斯股份有限公司 具有具一單一本體構造之一門之晶圓載具
US9740245B2 (en) 2015-10-05 2017-08-22 Microsoft Technology Licensing, Llc Locking mechanism
JP6772498B2 (ja) * 2016-03-18 2020-10-21 株式会社Sumco 基板収納容器
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10177019B2 (en) * 2016-09-26 2019-01-08 Samsung Electronics Co., Ltd. Vacuum-assisted vessel environmental contaminant purging
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10511599B2 (en) 2017-03-13 2019-12-17 Microsoft Technology Licensing, Llc System to filter impossible user travel indicators
JP7132488B2 (ja) * 2017-04-28 2022-09-07 シンフォニアテクノロジー株式会社 気体供給装置、気体供給装置の制御方法、ロードポート及び半導体製造装置
US10794093B2 (en) 2017-05-19 2020-10-06 Microsoft Technology Licensing, Llc Method of optimizing memory wire actuator energy output
WO2018236544A1 (en) 2017-06-23 2018-12-27 Applied Materials, Inc. DETACHABLE SIDE STORAGE NACELLE APPARATUS, HEATED SIDE STORAGE NACELLE APPARATUS, SYSTEMS AND METHODS
US10388547B2 (en) 2017-06-23 2019-08-20 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for processing substrates
KR102440363B1 (ko) * 2017-08-11 2022-09-05 삼성전자주식회사 필름 프레임, 디스플레이 기판 제조 시스템 및 디스플레이 기판 제조 방법
US10153282B1 (en) 2017-08-11 2018-12-11 Lam Research Corporation Ultra-high vacuum transport and storage
WO2019091662A1 (en) * 2017-11-09 2019-05-16 Asml Netherlands B.V. Lithographic apparatus and method
US10763134B2 (en) 2018-02-27 2020-09-01 Applied Materials, Inc. Substrate processing apparatus and methods with factory interface chamber filter purge
CN108987317A (zh) * 2018-06-12 2018-12-11 上海集成电路研发中心有限公司 一种硅片片盒和设备平台
JP7234527B2 (ja) * 2018-07-30 2023-03-08 Tdk株式会社 センサー内蔵フィルタ構造体及びウエハ収容容器
US10533852B1 (en) * 2018-09-27 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Leveling sensor, load port including the same, and method of leveling a load port
US11244844B2 (en) * 2018-10-26 2022-02-08 Applied Materials, Inc. High flow velocity, gas-purged, side storage pod apparatus, assemblies, and methods
US11373891B2 (en) 2018-10-26 2022-06-28 Applied Materials, Inc. Front-ducted equipment front end modules, side storage pods, and methods of operating the same
US11189511B2 (en) * 2018-10-26 2021-11-30 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for operating EFEMs
US10923375B2 (en) 2018-11-28 2021-02-16 Brooks Automation, Inc. Load port module
KR20210014824A (ko) 2019-07-30 2021-02-10 삼성전자주식회사 마스크 저장 장치
IT201900020850A1 (it) * 2019-11-12 2021-05-12 Essegi Automation S R L Sistema per l’immagazzinaggio ed il prelievo di materiali
US11810805B2 (en) * 2020-07-09 2023-11-07 Applied Materials, Inc. Prevention of contamination of substrates during gas purging
US11842913B2 (en) * 2021-09-24 2023-12-12 Applied Materials, Inc. Seal mechanisms for load ports
DE202022002796U1 (de) 2022-07-29 2023-06-23 A S Y S Automatic Systems Beteiligungs-GmbH Schleuseneinrichtung zur Umsetzung von Substraten

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW541580B (en) * 2001-04-30 2003-07-11 Applied Materials Inc Triple chamber load lock
TW561128B (en) * 2001-05-17 2003-11-11 Ebara Corp Substrate transport container
TW565519B (en) * 2000-10-26 2003-12-11 Leica Microsystems Substrate conveying module and system made up of substrate conveying module and workstation
TWI232199B (en) * 2001-02-26 2005-05-11 Dainippon Screen Mfg Substrate transporting apparatus, substrate processing apparatus using the transporting apparatus and substrate processing method
US7172981B2 (en) * 2000-10-12 2007-02-06 Renesas Technology Corp. Semiconductor integrated circuit device manufacturing method including static charge elimination

Family Cites Families (161)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU1121792A1 (ru) * 1982-12-21 1984-10-30 Предприятие П/Я Р-6533 Устройство дл нанесени защитного сухого пленочного фоторезиста
GB2139424A (en) 1983-04-25 1984-11-07 Micro Component Technology Inc Magnetic Actuator
US4534695A (en) * 1983-05-23 1985-08-13 Eaton Corporation Wafer transport system
EP0189279B1 (en) * 1985-01-22 1991-10-09 Applied Materials, Inc. Semiconductor processing system
JPS61291032A (ja) * 1985-06-17 1986-12-20 Fujitsu Ltd 真空装置
US4966519A (en) * 1985-10-24 1990-10-30 Texas Instruments Incorporated Integrated circuit processing system
US5044871A (en) * 1985-10-24 1991-09-03 Texas Instruments Incorporated Integrated circuit processing system
JPS63110182A (ja) * 1986-10-29 1988-05-14 株式会社村田製作所 電子部品チツプ収納カセツト
US4872938A (en) * 1987-07-16 1989-10-10 Texas Instruments Incorporated Processing apparatus
US4816098A (en) * 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4832778A (en) * 1987-07-16 1989-05-23 Texas Instruments Inc. Processing apparatus for wafers
US4911103A (en) * 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
JPH01189371A (ja) 1988-01-22 1989-07-28 Acumeter Lab Inc 間歇的な非接着領域を備えた接着剤コーティングを含む、異種のコーティング材料を同時に押し出す方法、及びそれにより製造された製品
US4969556A (en) * 1988-05-10 1990-11-13 Hajime Ishimaru Vacuum container
JPH0814032B2 (ja) * 1988-06-28 1996-02-14 日電アネルバ株式会社 ドライエッチング装置
JP2588250B2 (ja) 1988-07-20 1997-03-05 旭化成工業株式会社 銅イオン及び/叉は銀イオンを含む複合布帛状物
JPH02174244A (ja) 1988-12-27 1990-07-05 Tomuko:Kk ウェハキャリア用治具枠およびウェハ移換装置
JPH02278746A (ja) 1989-04-19 1990-11-15 Nec Corp ウェハー保管箱
US5217053A (en) * 1990-02-05 1993-06-08 Texas Instruments Incorporated Vented vacuum semiconductor wafer cassette
JPH0479347A (ja) 1990-07-23 1992-03-12 Seiko Epson Corp ウェハキャリア
US5169272A (en) * 1990-11-01 1992-12-08 Asyst Technologies, Inc. Method and apparatus for transferring articles between two controlled environments
US5255783A (en) * 1991-12-20 1993-10-26 Fluoroware, Inc. Evacuated wafer container
JP2680219B2 (ja) 1992-01-20 1997-11-19 三菱電機株式会社 位置決め制御装置
JP3191392B2 (ja) * 1992-04-07 2001-07-23 神鋼電機株式会社 クリーンルーム用密閉式コンテナ
US5469963A (en) * 1992-04-08 1995-11-28 Asyst Technologies, Inc. Sealable transportable container having improved liner
JP3277550B2 (ja) * 1992-05-21 2002-04-22 神鋼電機株式会社 可搬式密閉コンテナ用ガスパージユニット
JPH05326679A (ja) 1992-05-26 1993-12-10 Hitachi Cable Ltd 鏡面ウエハ運搬用収納容器
US5451131A (en) * 1992-06-19 1995-09-19 International Business Machines Corporation Dockable interface airlock between process enclosure and interprocess transfer container
EP0582017B1 (en) * 1992-08-04 1995-10-18 International Business Machines Corporation Dispatching apparatus with a gas supply distribution system for handling and storing pressurized sealable transportable containers
ES2101070T3 (es) * 1992-08-04 1997-07-01 Ibm Recipientes portatiles estancos a presion para almacenar una rebanada de semiconductor en un ambiente gaseoso protector.
KR100303075B1 (ko) * 1992-11-06 2001-11-30 조셉 제이. 스위니 집적회로 웨이퍼 이송 방법 및 장치
US5378107A (en) * 1993-04-01 1995-01-03 Applied Materials, Inc. Controlled environment enclosure and mechanical interface
KR100221983B1 (ko) * 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
DE4326308C1 (de) * 1993-08-05 1994-10-20 Jenoptik Jena Gmbh Transportvorrichtung für Magazine zur Aufnahme scheibenförmiger Objekte
JPH0766267A (ja) 1993-08-27 1995-03-10 Kokusai Electric Co Ltd ウェーハカセット授受装置
JPH0766272A (ja) 1993-08-31 1995-03-10 Toshiba Corp ウェーハ保管、運搬ボックス
JP3364294B2 (ja) 1993-10-07 2003-01-08 株式会社荏原製作所 搬送装置および搬送方法
US5538390A (en) * 1993-10-29 1996-07-23 Applied Materials, Inc. Enclosure for load lock interface
US5785186A (en) * 1994-10-11 1998-07-28 Progressive System Technologies, Inc. Substrate housing and docking system
JPH08194316A (ja) * 1995-01-18 1996-07-30 Canon Inc フォトレジストの塗布方法
US5586585A (en) * 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
JPH0927542A (ja) 1995-07-13 1997-01-28 Hitachi Ltd 搬送容器
US5895191A (en) * 1995-08-23 1999-04-20 Asyst Technologies Sealable, transportable container adapted for horizontal loading and unloading
DE19540963C2 (de) * 1995-11-03 1999-05-20 Jenoptik Jena Gmbh Transportbehälter für scheibenförmige Objekte
FR2747112B1 (fr) * 1996-04-03 1998-05-07 Commissariat Energie Atomique Dispositif de transport d'objets plats et procede de transfert de ces objets entre ledit dispositif et une machine de traitement
US5980195A (en) * 1996-04-24 1999-11-09 Tokyo Electron, Ltd. Positioning apparatus for substrates to be processed
US5788304A (en) 1996-05-17 1998-08-04 Micron Technology, Inc. Wafer carrier having both a rigid structure and resistance to corrosive environments
US5810062A (en) * 1996-07-12 1998-09-22 Asyst Technologies, Inc. Two stage valve for charging and/or vacuum relief of pods
SG47226A1 (en) 1996-07-12 1998-03-20 Motorola Inc Method and apparatus for transporting and using a semiconductor substrate carrier
US5788082A (en) 1996-07-12 1998-08-04 Fluoroware, Inc. Wafer carrier
US5833426A (en) * 1996-12-11 1998-11-10 Applied Materials, Inc. Magnetically coupled wafer extraction platform
US6068668A (en) * 1997-03-31 2000-05-30 Motorola, Inc. Process for forming a semiconductor device
JPH10321714A (ja) * 1997-05-20 1998-12-04 Sony Corp 密閉コンテナ並びに密閉コンテナ用雰囲気置換装置及び雰囲気置換方法
JP3184479B2 (ja) 1997-05-21 2001-07-09 ティーディーケイ株式会社 真空クリーンボックス、クリーン搬送方法及び装置
JP3476052B2 (ja) * 1997-09-01 2003-12-10 信越ポリマー株式会社 輸送容器
JP3454685B2 (ja) 1997-09-25 2003-10-06 株式会社クボタ 畝間走行装置
JP3838786B2 (ja) 1997-09-30 2006-10-25 信越ポリマー株式会社 精密基板収納容器及びその位置決め構造並びに精密基板収納容器の位置決め方法
DE19752510B4 (de) * 1997-11-27 2005-11-24 Brooks Automation (Germany) Gmbh Einrichtung und Verfahren zur Erkennung und Unterscheidung geometrisch verschiedener Arten von fächerbildenden Auflagen in Kassetten und darauf abgelegten scheibenförmigen Objekten
KR100576758B1 (ko) 1997-11-28 2006-05-03 가부시키가이샤 에바라 세이사꾸쇼 반도체기판용 반송박스
JP3305663B2 (ja) 1997-11-28 2002-07-24 株式会社荏原製作所 半導体基板用搬送ボックス
JP3305647B2 (ja) 1997-11-28 2002-07-24 株式会社荏原製作所 半導体基板用搬送ボックス
JP3827021B2 (ja) 1997-12-01 2006-09-27 大日商事株式会社 基板のコンテナ及びローダ
US20010048866A1 (en) * 1997-12-01 2001-12-06 Fumio Sakiya Container and loader for substrate
US6082951A (en) * 1998-01-23 2000-07-04 Applied Materials, Inc. Wafer cassette load station
US5988233A (en) * 1998-03-27 1999-11-23 Asyst Technologies, Inc. Evacuation-driven SMIF pod purge system
US6319297B1 (en) * 1998-03-27 2001-11-20 Asyst Technologies, Inc. Modular SMIF pod breather, adsorbent, and purge cartridges
JP3367421B2 (ja) 1998-04-16 2003-01-14 東京エレクトロン株式会社 被処理体の収納装置及び搬出入ステージ
JPH11345863A (ja) 1998-05-29 1999-12-14 Dainippon Screen Mfg Co Ltd カセットの位置決め固定機構及びそれを用いた基板移載装置
US6788304B1 (en) * 1998-06-11 2004-09-07 Evans & Sutherland Computer Corporation Method and system for antialiased procedural solid texturing
US6220808B1 (en) 1998-07-13 2001-04-24 Asyst Technologies, Inc. Ergonomic, variable size, bottom opening system compatible with a vertical interface
US6261044B1 (en) * 1998-08-06 2001-07-17 Asyst Technologies, Inc. Pod to port door retention and evacuation system
WO2000033376A1 (fr) * 1998-12-02 2000-06-08 Dainichi Shoji K.K. Contenant
JP2000289795A (ja) 1999-04-06 2000-10-17 Kakizaki Mamufacuturing Co Ltd 薄板収納・輸送容器
JP3916342B2 (ja) 1999-04-20 2007-05-16 信越ポリマー株式会社 基板収納容器
US6641349B1 (en) * 1999-04-30 2003-11-04 Tdk Corporation Clean box, clean transfer method and system
JP3530774B2 (ja) 1999-07-02 2004-05-24 東京エレクトロン株式会社 基板搬送装置、処理装置、基板の処理システムおよび搬送方法
US6318953B1 (en) * 1999-07-12 2001-11-20 Asyst Technologies, Inc. SMIF-compatible open cassette enclosure
JP4067720B2 (ja) 1999-09-27 2008-03-26 ローツェ株式会社 基板移送装置
US6309161B1 (en) * 1999-11-04 2001-10-30 Brooks Automation, Inc. Load lock with vertically movable support
JP3193026B2 (ja) * 1999-11-25 2001-07-30 株式会社半導体先端テクノロジーズ 基板処理装置のロードポートシステム及び基板の処理方法
US6135168A (en) * 1999-12-22 2000-10-24 Industrial Technology Research Institute Standard mechanical interface wafer pod gas filling system
JP2001284433A (ja) * 2000-01-28 2001-10-12 Sony Corp 基板移載装置及び基板移載方法
US6331830B1 (en) 2000-02-04 2001-12-18 Rockwell Technologies Llc Self-trimming current source and method for switched current source DAC
JP3676983B2 (ja) * 2000-03-29 2005-07-27 株式会社日立国際電気 半導体製造方法、基板処理方法、及び半導体製造装置
JP2001298068A (ja) * 2000-04-18 2001-10-26 Natl Inst Of Advanced Industrial Science & Technology Meti 局所清浄化法及び局所清浄化加工処理装置
EP1297557A2 (en) 2000-06-30 2003-04-02 AJS Automation Inc. Apparatus and methods for semiconductor wafer processing equipment
WO2002019392A1 (en) 2000-09-01 2002-03-07 Motorola Inc. A method and device for docking a substrate carrier to a process tool
TW484750U (en) * 2000-09-08 2002-04-21 Ind Tech Res Inst Opening/closing device for front-opened type wafer box
KR100347595B1 (ko) 2000-11-02 2002-08-07 심윤주 보청기 자동 피팅방법
US6592434B1 (en) * 2000-11-16 2003-07-15 Motorola, Inc. Wafer carrier and method of material removal from a semiconductor wafer
US6419438B1 (en) * 2000-11-28 2002-07-16 Asyst Technologies, Inc. FIMS interface without alignment pins
JP3939101B2 (ja) * 2000-12-04 2007-07-04 株式会社荏原製作所 基板搬送方法および基板搬送容器
US6677690B2 (en) * 2001-02-02 2004-01-13 Asyst Technologies, Inc. System for safeguarding integrated intrabay pod delivery and storage system
JP2002368075A (ja) 2001-06-08 2002-12-20 Tdk Corp 容器および容器の封止方法
JP2003006988A (ja) 2001-06-18 2003-01-10 Sharp Corp デジタル信号再生処理装置
US6585470B2 (en) * 2001-06-19 2003-07-01 Brooks Automation, Inc. System for transporting substrates
US6530736B2 (en) * 2001-07-13 2003-03-11 Asyst Technologies, Inc. SMIF load port interface including smart port door
JP2003092345A (ja) * 2001-07-13 2003-03-28 Semiconductor Leading Edge Technologies Inc 基板収納容器、基板搬送システム、保管装置及びガス置換方法
JP3880343B2 (ja) * 2001-08-01 2007-02-14 株式会社ルネサステクノロジ ロードポート、基板処理装置および雰囲気置換方法
US6619903B2 (en) * 2001-08-10 2003-09-16 Glenn M. Friedman System and method for reticle protection and transport
JP3697478B2 (ja) 2001-08-20 2005-09-21 ソニー株式会社 基板の移送方法及びロードポート装置並びに基板移送システム
US20030035713A1 (en) * 2001-08-20 2003-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Moisture-controlled wafer storage container and method of using
JP2003068825A (ja) 2001-08-28 2003-03-07 Shinko Electric Co Ltd ロードポート
US7066707B1 (en) 2001-08-31 2006-06-27 Asyst Technologies, Inc. Wafer engine
JP4669643B2 (ja) * 2001-09-17 2011-04-13 ローツェ株式会社 ウエハマッピング装置およびそれを備えたロードポート
US6637998B2 (en) * 2001-10-01 2003-10-28 Air Products And Chemicals, Inc. Self evacuating micro environment system
US6719517B2 (en) * 2001-12-04 2004-04-13 Brooks Automation Substrate processing apparatus with independently configurable integral load locks
JP4389424B2 (ja) 2001-12-25 2009-12-24 東京エレクトロン株式会社 被処理体の搬送機構及び処理システム
US6701972B2 (en) * 2002-01-11 2004-03-09 The Boc Group, Inc. Vacuum load lock, system including vacuum load lock, and associated methods
JP4168642B2 (ja) 2002-02-28 2008-10-22 東京エレクトロン株式会社 被処理体収納容器体及び処理システム
US6899765B2 (en) * 2002-03-29 2005-05-31 Applied Materials Israel, Ltd. Chamber elements defining a movable internal chamber
JP2004087781A (ja) 2002-08-27 2004-03-18 Ulvac Japan Ltd 真空処理装置及び真空処理方法
JP4174557B2 (ja) * 2002-10-17 2008-11-05 ゴールド工業株式会社 ウエハ等精密基板収容容器
KR100486690B1 (ko) * 2002-11-29 2005-05-03 삼성전자주식회사 기판 이송 모듈의 오염을 제어할 수 있는 기판 처리 장치및 방법
US6846360B2 (en) * 2003-01-13 2005-01-25 Aptos Corporation Apparatus and method for bubble-free application of a resin to a substrate
JP2004265894A (ja) 2003-01-17 2004-09-24 Tokyo Electron Ltd 基板処理装置
US7578647B2 (en) 2003-01-27 2009-08-25 Applied Materials, Inc. Load port configurations for small lot size substrate carriers
JP2004282002A (ja) 2003-02-27 2004-10-07 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US6899145B2 (en) * 2003-03-20 2005-05-31 Asm America, Inc. Front opening unified pod
KR100712783B1 (ko) * 2003-03-25 2007-05-02 가부시키가이샤 히다치 고쿠사이 덴키 용기, 용기제조 방법, 기판 처리 장치 및 반도체 장치의제조 방법
JP4027837B2 (ja) 2003-04-28 2007-12-26 Tdk株式会社 パージ装置およびパージ方法
JP4581354B2 (ja) 2003-08-26 2010-11-17 パナソニック株式会社 密閉型圧縮機
US20050105997A1 (en) * 2003-09-11 2005-05-19 Englhardt Eric A. Methods and apparatus for carriers suitable for use in high-speed/high-acceleration transport systems
JP3902583B2 (ja) * 2003-09-25 2007-04-11 Tdk株式会社 可搬式密閉容器内部のパージシステムおよびパージ方法
JP2005268462A (ja) 2004-03-18 2005-09-29 Hitachi High-Technologies Corp ミニエンバイロメントシステムおよび半導体露光システム
US7905960B2 (en) 2004-03-24 2011-03-15 Jusung Engineering Co., Ltd. Apparatus for manufacturing substrate
FR2869452B1 (fr) * 2004-04-21 2006-09-08 Alcatel Sa Dispositif pour le transport de substrats sous atmosphere controlee
JP4599888B2 (ja) 2004-05-14 2010-12-15 セイコーエプソン株式会社 電気光学装置の製造方法
US7611319B2 (en) * 2004-06-16 2009-11-03 Applied Materials, Inc. Methods and apparatus for identifying small lot size substrate carriers
KR100583730B1 (ko) * 2004-06-29 2006-05-26 삼성전자주식회사 기판 이송 시스템 및 상기 시스템의 프레임 내 압력을조절하는 방법
CN101023429B (zh) * 2004-07-02 2010-09-01 斯特拉斯鲍公司 用于处理晶片的方法和系统
EP1786710A4 (en) * 2004-08-19 2011-10-12 Brooks Automation Inc REDUCED CAPACITY SUPPORT AND METHOD OF USE
EP1803151B1 (en) * 2004-08-23 2011-10-05 Murata Machinery, Ltd. Elevator-based tool loading and buffering system
FR2874744B1 (fr) 2004-08-30 2006-11-24 Cit Alcatel Interface sous vide entre une boite de mini-environnement et un equipement
GB0422021D0 (en) 2004-10-05 2004-11-03 Trikon Technologies Ltd Methods and apparatus for processing the backsides of wafers
JP4012190B2 (ja) * 2004-10-26 2007-11-21 Tdk株式会社 密閉容器の蓋開閉システム及び開閉方法
US7410340B2 (en) * 2005-02-24 2008-08-12 Asyst Technologies, Inc. Direct tool loading
US7400383B2 (en) * 2005-04-04 2008-07-15 Entegris, Inc. Environmental control in a reticle SMIF pod
JP2006344762A (ja) 2005-06-09 2006-12-21 Renesas Technology Corp 半導体集積回路装置の製造方法
JP4534876B2 (ja) 2005-06-13 2010-09-01 シンフォニアテクノロジー株式会社 被処理物供給装置及び被処理物供給方法
KR100706250B1 (ko) * 2005-07-07 2007-04-12 삼성전자주식회사 반도체 소자 제조 장치 및 방법
CN101263590B (zh) 2005-08-03 2010-05-19 恩特格林斯公司 传送容器
US7534080B2 (en) * 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
US20080107507A1 (en) * 2005-11-07 2008-05-08 Bufano Michael L Reduced capacity carrier, transport, load port, buffer system
US8267634B2 (en) * 2005-11-07 2012-09-18 Brooks Automation, Inc. Reduced capacity carrier, transport, load port, buffer system
JP4301456B2 (ja) * 2005-11-30 2009-07-22 Tdk株式会社 密閉容器の蓋開閉システム
US20070140822A1 (en) * 2005-12-16 2007-06-21 Applied Materials, Inc. Methods and apparatus for opening and closing substrate carriers
KR20070064382A (ko) 2005-12-16 2007-06-20 어플라이드 머티어리얼스, 인코포레이티드 내부 라이닝을 갖는 기판 캐리어
US8097084B2 (en) * 2006-01-24 2012-01-17 Vat Holding Ag Vacuum chamber system for semiconductor processing
JP2007221042A (ja) 2006-02-20 2007-08-30 Tdk Corp インターフェースシール
JP2009537075A (ja) 2006-05-11 2009-10-22 ブルックス オートメーション インコーポレイテッド 低減容量キャリア、搬送機、積載ポート、緩衝装置システム
US20080112784A1 (en) * 2006-11-13 2008-05-15 Rogers Theodore W Load port door with simplified FOUP door sensing and retaining mechanism
US20080031709A1 (en) * 2006-07-10 2008-02-07 Bonora Anthony C Variable lot size load port
EP2047507A2 (en) 2006-08-04 2009-04-15 E.I. Du Pont De Nemours And Company Substrate carrier enclosure
GB0616411D0 (en) 2006-08-18 2006-09-27 Renishaw Plc Neurosurgical instruments
WO2008147379A1 (en) * 2006-09-14 2008-12-04 Brooks Automation Inc. Carrier gas system and coupling substrate carrier to a loadport
US9105673B2 (en) 2007-05-09 2015-08-11 Brooks Automation, Inc. Side opening unified pod
JP4309935B2 (ja) * 2007-07-31 2009-08-05 Tdk株式会社 密閉容器の蓋開閉システム及び当該システムを用いた基板処理方法
US8186927B2 (en) * 2008-05-27 2012-05-29 Tdk Corporation Contained object transfer system
JP5197431B2 (ja) 2009-02-23 2013-05-15 三菱電機株式会社 プログラマブルコントローラ
JP7066272B2 (ja) 2018-08-10 2022-05-13 株式会社ミマキエンジニアリング 三次元造形物の製造方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7172981B2 (en) * 2000-10-12 2007-02-06 Renesas Technology Corp. Semiconductor integrated circuit device manufacturing method including static charge elimination
TW565519B (en) * 2000-10-26 2003-12-11 Leica Microsystems Substrate conveying module and system made up of substrate conveying module and workstation
TWI232199B (en) * 2001-02-26 2005-05-11 Dainippon Screen Mfg Substrate transporting apparatus, substrate processing apparatus using the transporting apparatus and substrate processing method
TW541580B (en) * 2001-04-30 2003-07-11 Applied Materials Inc Triple chamber load lock
TW561128B (en) * 2001-05-17 2003-11-11 Ebara Corp Substrate transport container

Also Published As

Publication number Publication date
US20090129897A1 (en) 2009-05-21
US9978623B2 (en) 2018-05-22
US20160035608A1 (en) 2016-02-04
US9105673B2 (en) 2015-08-11
TW200949972A (en) 2009-12-01
US20180269094A1 (en) 2018-09-20
US11201070B2 (en) 2021-12-14

Similar Documents

Publication Publication Date Title
TWI475627B (zh) 基板運送機、基板處理裝置和系統、於基板處理期間降低基板之微粒污染的方法,及使運送機與處理機結合之方法
US11121015B2 (en) Substrate transport
JP7134928B2 (ja) 基板処理装置、基板キャリア及び基板処理システム
CN101048861B (zh) 基于升降机的工具装载和缓冲系统
JP5386082B2 (ja) 低収容力のキャリア及びその使用方法
JP2020167420A (ja) 半導体被加工物処理システム
WO2008144668A1 (en) Side opening substrate carrier and load port