CN1902745A - 在沟槽蚀刻中降低线条边缘粗糙度 - Google Patents

在沟槽蚀刻中降低线条边缘粗糙度 Download PDF

Info

Publication number
CN1902745A
CN1902745A CNA2004800401675A CN200480040167A CN1902745A CN 1902745 A CN1902745 A CN 1902745A CN A2004800401675 A CNA2004800401675 A CN A2004800401675A CN 200480040167 A CN200480040167 A CN 200480040167A CN 1902745 A CN1902745 A CN 1902745A
Authority
CN
China
Prior art keywords
etching
electrode
dielectric layer
photoresist
computer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800401675A
Other languages
English (en)
Other versions
CN100477135C (zh
Inventor
E·沃加纳
H·H·朱
D·乐
P·勒温哈德特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1902745A publication Critical patent/CN1902745A/zh
Application granted granted Critical
Publication of CN100477135C publication Critical patent/CN100477135C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

提供一种在衬底(图3B,304)上的介质层(图2B,308)中将沟槽(图3B,314)蚀刻到沟槽深度(图3B,318)的方法。将ARC(图3B,310)加到介质层(图2B,308)上。在ARC(图3B,310)上形成光致抗蚀剂掩模(图3B,312),其中光致抗蚀剂掩模具有厚度。以贯穿方式蚀刻ARC。将沟槽(图3B,314)蚀刻到介质层中,介质对光致抗蚀剂的蚀刻选择性在1∶1和2∶1之间。

Description

在沟槽蚀刻中降低线条边缘粗糙度
发明背景
1.发明领域
本发明涉及为沟槽蚀刻提供改进的线条边缘的方法,用于单道和双道金属镶嵌(Damascene)集成。
2.有关技术说明
本发明涉及半导体器件的形成。
在半导体晶片处理期间,半导体器件的特征是使用众所周知的图案形成和蚀刻过程在晶片中定义。在这些过程中,光致抗蚀剂(PR)材料淀积在晶片上,然后暴露在由掩模原版滤光的光线下。掩模原版通常是玻璃板,使所述玻璃板具有带示范特征几何图案的图案,所述示范特征几何图形可以阻挡光线通过掩模原版传播。
光线穿过掩模原版后,接触到光致抗蚀剂材料表面。光线改变了光致抗蚀剂材料的化学成分,使得显影剂能够去除掉部分光致抗蚀剂材料。如果是正光致抗蚀剂材料,则曝光区域被去除掉,而如果是负光致抗蚀剂材料,则未曝光区域被去除掉。然后,对晶片进行蚀刻,从不再受光致抗蚀剂材料保护的区域中去除其下面的材料,从而在晶片中形成所需的特征征。
已知有不同的各代的光致抗蚀剂。远紫外(DUV)光致抗蚀剂用248nm光曝光。为便于理解,图1A为示意的截面图:衬底104上有层108,在要被蚀刻的层108上有ARC(消反射涂层)110,其上有具有图案的光致抗蚀剂层112,一起形成叠层100。光致抗蚀剂图案具有临界尺寸(CD),所述临界尺寸(CD)可以是最小特征的宽度116。目前,对于248nm的光致抗蚀剂,使用传统过程,用于光致抗蚀剂的典型CD可为230-250nm。由于光学性质取决于波长的缘故,由较长波长的光曝光的光致抗蚀剂具有较大的理论最小临界尺寸。
然后,通过光致抗蚀剂图案蚀刻沟槽120,如图1B所示。为了提供具有较小CD的特征,采取用较短波长光形成的特征。193nm的光致抗蚀剂由193nm的光曝光。使用相移掩模原版和其它技术,用193nm的光致抗蚀剂,可以形成90-100nm CD的光致抗蚀剂图案。这就能够提供CD为90-100nm的特征。
和使用较长波长的光致抗蚀剂相比,使用较短波长的光致抗蚀剂会提出一些附加问题。为获得接近理论极限的CD,光刻仪器应更加精确,这就需要有更昂贵的光刻设备。目前,193nm的光致抗蚀剂其选择性不如较长波长光致抗蚀剂高,且在等离子蚀刻条件下更易变形。
在单道和双道金属镶嵌(damascene)结构的形成过程中,将宽沟槽蚀刻成部分或全部穿过介质(M1蚀刻),以形成连接线。随后蚀刻完全穿过介质层的较窄的通路,形成触点。
发明概述
为实现以上所述并按照本发明的目的,提供一种用于在衬底上的介质层中将沟槽蚀刻到沟槽深度的方法。将ARC加到介质层上。在ARC上形成光致抗蚀剂掩模,此处光致抗蚀剂掩模有厚度。以贯穿的方式蚀刻ARC。在介质层中蚀刻沟槽,介质对光致抗蚀剂的蚀刻选择性在1∶1和2∶1之间。
在本发明的另一表现形式中,提供一种用于在衬底上的介质层中将沟槽蚀刻到沟槽深度的方法。将ARC加到介质层上。在ARC上形成敏感光致抗蚀剂掩模,其厚度在2000和4000之间。以贯穿的方式蚀刻ARC。利用清洁蚀刻(clean etch)在介质层中蚀刻沟槽。
在本发明的另一表现形式中,提供一种用于在介质层中蚀刻特征的设备。提供等离子处理室。等离子处理室包括:形成等离子处理室外壳的室壁;衬底支座,用于把衬底支撑在等离子处理室外壳内;压力调节器,用于调节等离子处理室外壳内的压力;设置成与衬底支座相对并与衬底支座分隔开的电极;连接到电极的加热器,用于加热电极;气体入口,用于将气体提供到等离子处理室外壳内;以及气体出口,用于从等离子处理室外壳中排除气体。气体源处在与气体入口流体连接的状态。控制器可控地连接到以下各部分中的至少一个部分:气体源、电极、加热器、压力调节器、气体入口以及气体出口。
下面在本发明的详细说明中并结合附图对本发明的这些和其它特征作更详细的说明。
附图简要说明
在附图的各图中,以举例的方式而不是限制的方式图解说明本发明,附图中相同的标号指类似的元件,并且附图中:
图1A和B是按照现有技术的蚀刻到介质层中的沟槽的截面图。
图2是在本发明实施例中使用的工艺过程的流程图。
图3A-3C是按照本发明实施例蚀刻的沟槽的截面图。
图4A-B是蚀刻的沟槽的顶视图的示意的图例。
图5是可以用于本发明最佳实施例的处理室的示意图。
图6A和6B举例说明适用于实现控制器的计算机系统。
最佳实施例的详细说明
现参阅附图中所示的几个最佳实施例对本发明加以说明。在以下说明中,提出了许多具体的细节,以便对本发明提供透彻的理解。但显然对于本专业的技术人员来说,本发明的实现也可以部分或全部不用这些具体细节。在其它实例中,众所周知的处理步骤和/或结构未作详细说明,以免不必要地模糊了本发明。
图2是按照本发明在介质中形成沟槽的工艺过程的流程图。在介质层上形成ARC(消反射涂层)(步骤204)。图3A是衬底304上介质层308的截面图的图例。在介质层308上形成ARC 310,如图所示。ARC 310可以是有机或无机ARC。所述介质层是沟槽介质层,在其中蚀刻双道金属镶嵌沟槽。这样的介质层不是硬掩模层。
在ARC 310上形成敏感沟槽光致抗蚀剂掩模312(步骤208)。敏感沟槽光致抗蚀剂掩模是薄掩模。在最佳实施例中,薄敏感沟槽光致抗蚀剂掩模在2000和4000之间。在更最佳实施例中,薄敏感沟槽光致抗蚀剂掩模在2500和3000之间。敏感光致抗蚀剂材料是易于蚀刻的材料。薄的193nm光致抗蚀剂和较新代光致抗蚀剂被认为是敏感光致抗蚀剂材料。沟槽图案314具有宽度316,如图中所示。光致抗蚀剂具有厚度318,如图中所示。敏感沟槽光致抗蚀剂掩模提供这样一种敏感光致抗蚀剂材料的薄掩模,以致在没有某种附加保护时,在沟槽被蚀刻到所需深度之前,沟槽蚀刻会蚀刻掉敏感沟槽光致抗蚀剂掩模。
打通ARC 310(步骤212)。可以使用传统的ARC打通步骤。图3B示出在ARC 310打通后衬底304上介质层308的截面图。
然后用清洁蚀刻将沟槽蚀刻到介质层中(步骤216)。在清洁蚀刻中,重聚合物成形气体占包括任何运载气体的蚀刻剂气体的不到5%。清洁蚀刻最优选是低聚合过程,像含有CF4或C2F6作为主要气体的过程。其它最佳实施例可具有NF3或SF3作为主要成分气体,因为氟与这些气体有高的离解率。次最佳实施例可以是具有较高碳含量的气体,它们可能会分解为如CFx的分子,它们可与类似的分子结合,形成较长的MER链。这些气体的实例包括高度饱和的碳氢分子如CH2F2、CH3F、C2H2中的C4F8、C5F8、C4F6。更好的是,在清洁蚀刻中,重聚合物成形气体占蚀刻剂气体的不到2%。最好的是,这些气体将氟对聚合物成形气体成分的比例保持到小于至少3∶1。在本发明的最佳实施例中,沟槽蚀刻具有低选择性。更好的是,介质对光致抗蚀剂的沟槽蚀刻选择性在约1∶1和2∶1之间,此处介质对光致抗蚀剂的蚀刻选择性为2∶1,意思是说介质层的蚀刻比光致抗蚀剂快一倍。图3C是沟槽324已蚀刻后的介质层308的截面图。在最佳实施例中,沟槽蚀刻到约200和400nm之间的深度。
在最佳实施例中,光致抗蚀剂对侵蚀性蚀刻很敏感。对侵蚀性蚀刻敏感的光致抗蚀剂是与介质层一样快地被侵蚀性蚀刻所蚀刻的光致抗蚀剂,故有低的蚀刻选择性。
图5是可以用于本发明最佳实施例的处理室500的示意图。在此实施例中,等离子处理室500包括约束环502、上电极504、下电极508、气体源510、以及排气泵520。在等离子处理室500中,衬底晶片304设置在下电极508上。下电极508装有适当的衬底夹持机件(例如,静电、机械夹持等)以便支撑衬底晶片304。反应室上部528装有设置在下电极508的正对面的上电极504。上电极504、下电极508、以及约束环502限定了受限的等离子容积540。气体由气体源510通过气体入口543提供到受限的等离子容积中,并由排气泵520通过约束环520和排气端口从受限的等离子容积中排出。排气泵520形成等离子处理室的气体出口。第一RF源544电连接到上电极504。第二RF源548电连接到下电极508。室壁552形成等离子外壳,约束环502、上电极504、以及下电极508均设置所述外壳中。在一个实施例中,第二RF源548可包括27MHz电源和2MHz电源,而上电极504接地。把RF电源连接到电极的不同组合也是有可能的。加热器545连接到上电极,能够加热上电极。
控制器535可控地连接到第一RF源544、第二RF源548、排气泵520、加热器545,以及气体源510。可将莲蓬头(showerhead)连接到气体入口543。气体入口543可以是用于所有气体源单一入口、或者用于每一种气体源的不同入口、或者用于每一种气体源的多个入口、或其它可能的组合。
图6A和6B示出计算机系统800,它适合于实现在本发明实施例中使用的控制器535。图6A示出计算机系统的一种可能的物理形式。当然,计算机系统可有许多物理形式,从集成电路、印刷电路板、和小型手持装置直到巨型超大计算机。计算机系统800包括监控器802、显示器804、外壳806、盘驱动器808、键盘810、以及鼠标812。盘814是计算机可读介质,用于向/从计算机系统800转移数据。
图6B是计算机系统800的方框图实例。连接到系统总线820上的是各种各样的子系统。处理器822(也称为中央处理器单元或CPU)连接到包括存储器824的存储装置。存储器824包括随机存取存储器(RAM)和只读存储器(ROM)。如业界已熟知,ROM用于单方向地向CPU转移数据和指令,而RAM通常用于双向转移数据和指令。这两种类型的存储器都可包括下述任何适合的计算机可读介质。固定盘826也双向连接到CPU 822,固定盘826提供附加的数据存储容量,且可包括下述任何计算机可读介质。固定盘826可以用于存储程序、数据等并且通常是一种辅助存储介质(例如硬盘),它比主存储器慢一些。应理解,保留在固定盘826内的信息,在合适的情况下,可以以标准形式中组合成存储器824的虚拟存储器。可拆装盘814可采用下述任何计算机可读介质的形式。
CPU 822还连接到各种输入/输出装置,例如显示器804、键盘810、鼠标812、和扬声器830。一般来说,输入/输出装置可以是以下任何一种:视频显示器、跟踪球、鼠标、键盘、送话器、摸感显示器、变换器卡阅读器、磁带或纸带阅读器、图形输入卡、记录笔、语音或手书识别器、生物统计学识别器、或其它计算机。可选的是可用网络接口840将CPU 822连接到另一计算机或电信网络。使用这种网络接口时,要考虑到在实行上述方法步骤的过程中,CPU可能接收来自网络的信息,或可能向网络输出信息。另外,本发明的方法实施例可以独自在CPU 822上执行,或在网络上例如因特网上,与分担部分处理的远程CPU结合执行。
此外,本发明的实施例还涉及具有计算机可读介质的计算机存储产品,其上有计算机代码,用于进行各种计算机实现的操作。介质和计算机代码可以是为本发明的目的专门设计和构建的,或它们可以是计算机软件行业技术人员所熟知和可用的种类。计算机可读介质的实例包括(但不限于):磁介质,例如硬盘、软盘、和磁带;光学介质,例如CD-ROM和全息装置;磁光介质,例如磁光软盘;以及专门配置成存储和执行程序代码的硬件装置,例如专用集成电路(ASIC)、可编程逻辑装置(PLD)、以及ROM和RAM装置。计算机代码实例包括机器代码,例如由编译程序所产生,以及含有由计算机使用解释程序执行的较高级代码的文件。计算机可读介质也可以是由载波中包含的计算机数据信号所传输的、并代表可由处理器执行的指令序列的计算机代码。
图4A是蚀刻到介质层408中的沟槽404的示意的顶视图。沟槽的壁412呈现有显著的线条边缘凹凸不平。图4B是蚀刻到介质层428中的沟槽424的示意的顶视图。沟槽424的壁432呈现较少的线条边缘凹凸不平。2003年在ICMI会议上发表的Calvin Gabriel的文章中,对用于测量线条边缘凹凸不平的行业标准讨论如下:
“2002ITRS计量学路线图〔4〕对于如何量化线条边缘粗糙度(LER)给出一种定义:在等于四倍于技术节点的距离上所评估的局部线条宽度变化(总计3处,包括所有频率分量,两边边缘)。这个定义在VeraSEM或NanoSEM上很容易编程:这些工具通过在所关注的特征上进行32或更多次线条扫描来测量临界尺寸,根据用户定义的测量逻辑框(box)每次线条扫描与前一次扫描相隔小的增量。这些扫描的3-sigma标准偏差符合ITRS定义,在软件业报道为Sigma(B)。
但是,ITRS定义的困难在于有”四技术节点”的要求。对于65nm技术,这就要求测量逻辑框(box)仅260nm高。这样小的被扫描线条长度可能发现不了低频度的LER,而且随着技术进展到45nm和32nm,情况会更糟。
所以,线条边缘粗糙度可以如下量化,即:在一系列位置上测量沟槽宽度,并在一定的间距上平均其变化,所述间距的长度至少四倍于特征的宽度。Hitachi CD SEM在1um逻辑框上测量32点来测量线条边缘粗糙度。Applied Materials NanoSEM在2um的矩形上测量60个点。Clavin的文章表示,对于小特征,超过2um就没有什么优势,但如果低于2um单元,一些灵敏度就丢失。
降低线条边缘粗糙度的一个途径是:通过提供重聚合物成形气体,提供介质对光致抗蚀剂蚀刻具有大于4∶1选择性的蚀刻。这种重聚合物成形物在光致抗蚀剂上面形成重聚合物,以保护光致抗蚀剂,改进了蚀刻的选择性。不希望受理论的限制,据信这种重聚合物很粘,且在光致抗蚀剂掩模上产生应力,这就增大了线条边缘粗糙度。还据信在光致抗蚀剂内发生的反应也增加变粗糙的程度。
线条边缘变粗糙也可因鼠咬(mouse biting)引起,据信鼠咬与应力有关,这是在PR层的上部从等离子体中淀积的聚合物而引起。所以,保护PR的聚合物可以引起机械力,使掩模变形,对于薄PR产生更粗糙的侧壁。这种现象在厚PR掩模情况下(>300nm)通常不会观察到。已发现用193nm PR时,在聚合物中产生C4F6气体,它比CF4或C4F8会更重地淀积,但由于淀积特征,孔中的条纹和沟槽中的粗糙度可因改动PR掩模而产生。而且,侧壁轮廓变粗糙会引起沿垂直平面的微小空隙。这些空隙可因蚀刻期间光致抗蚀剂的完整性被破坏而引起。
本发明通过减少或消除产生重聚合物的重聚合物形成物来减少线条边缘粗糙度。代之以使用较清洁成分的气体。这种具有高氟-碳比的较清洁成分气体最优选的是CF4,和Ar一起使用,但更通常是,还可包括高F离解的气体,如C2F6,或具有高氟离解的气体,如NF3或SF6。加入气体来协助F的离解对LER也有好处。添加小量的O2(2-15sccm)和/或N2流(20-200sccm),通过与反应气体的碳成分相结合,已知可协助创建更多的游离氟。在传统蚀刻过程下,据信这种成分气体不会在光致抗蚀剂上形成保护聚合物而提高选择性。本发明提供等离子体参数,使得这些清洁成分气体在光致抗蚀剂上形成某种保护聚合物,提高了蚀刻选择性,此处介质对光致抗蚀剂的蚀刻选择性不会增加到超过2∶1。这种技术提供的聚合物不像重聚合物那样粘,且这种聚合物的淀积位置更易于控制。有助于这种做法的一种技术是将上电极的温度从20℃提高到140℃。据信由较清洁成分气体产生的聚合物会淀积在上电极上,使光致抗蚀剂上淀积较少。通过将上电极提高到至少140℃,上电极上的淀积会减少,间接地使光致抗蚀剂表面上有更多淀积。加热器545帮助提高和控制上电极温度到至少70℃。在最佳实施例中,在蚀刻期间上电极温度提高到至少70℃。在更优选的实施例中,上电极温度提高到至少90℃。在最优选的实施例中,上电极提高到至少140℃。上电极是衬底未安装在其上的电极且设置成与衬底相对,即,非安装电极。如果衬底安装在上电极上,则下电极是衬底未安装在其上的电极且设置成与衬底相对,使得下电极被加热。
此外,已发现以较高频率(例如27MHz)提供较高功率可创建更高密度的等离子体,进一步提高了使用清洁蚀刻剂的选择性。在最佳实施例中,高频电源提供的功率在500W和2000W之间。在更优选的实施例中,高频电源提供的功率在500W和1200W之间。在最优选的实施例中,高频电源提供的功率在500W和1000W之间。
此外,通过提供较低频率RF(例如2MHz)的较小功率,具有较低偏置功率能减少轰击,而轰击会提高选择性。在最佳实施例中,偏置电源提供的功率在0W和1000W之间。在更优选的实施例中,偏置电源提供的功率在0W和600W之间。
此外,提供较低的室压力会提高选择性。例如,已发现80mTorr的压力很有帮助。所以,在最佳实施例中,室压力在60mTorr和400mTorr之间。在更优选的实施例中,提供在70mTorr和300mTorr之间的室压力。在最优选的实施例中,提供在80mTorr和250mTorr之间的室压力。
最后,可以进行对所述工艺过程的一些精调,其方法是:进一步修改工艺过程以到找出既能保持整体CD又能降低整体LER的聚合规范。已知能增加聚合的气体的添加,如C4F8、CH3F、H2、CH2F2等,可以小量添加,以减缓PR的腐蚀并稍有助于减少LER。例如,在一个案例中,在非常清洁的Ar/CF4/N2/O2过程中加入5sccm的C4F8可将LER降低∽10%。CHF3、CH2F2、CH3F或其它氢氟烃也有帮助,但所需数量则视气体的离解性质而定。
所以,本发明的这一方面控制了聚合物在何处淀积并从清洁蚀刻气体中提供聚合物,而不是增加所淀积的总聚合物,尽管清洁气体的淀积具有低的选择性。
最好的是,将沟槽深度保持到最小,但仍然提供足够的电互连。这样做的原因之一是:当选择性低时,薄的沟槽深度允许有薄的光致抗蚀剂掩模。而薄的光致抗蚀剂掩模允许有较好的临界尺寸。在最佳实施例中,光致抗蚀剂掩模很薄,足以提供和较高代的光致抗蚀剂材料相同的临界尺寸。这就允许有改进的临界尺寸,而不需新的更昂贵的光刻和步进系统。此外,优选的是,将所有或几乎所有光致抗蚀剂都去除掉,以便用恰好够用的光致抗蚀剂来提供所需的沟槽深度,以便将CD减至最小。
例如,248nm光致抗蚀剂可用来形成稳定的光致抗蚀剂掩模,如果将其淀积到4000厚的话。但这样厚的掩模只能成像到0.2nm。为提高分辨率以便进行低于0.2nm的成像,可以使248nm光致抗蚀剂掩模薄一些,例如3200厚。如上述,使用重聚合物成形物来提高选择性以允许使用薄光致抗蚀剂掩模来蚀刻沟槽会增大线条边缘粗糙度。所以,本发明使用较清洁的聚合物形成物,将选择性提高到既足以蚀刻具有降低线条边缘粗糙度的沟槽而同时还具有小于2∶1的选择性的那一点。使用低选择性的化学物不适合于提供足够的选择性以确保在主要蚀刻期间阻挡层不被去除,所以具有较高选择性的过度蚀刻对于蚀刻的最后终结步骤是优选的。
实例
实例1
在本发明的一个实例中,在300的形成在衬底上的氮化硅蚀刻终止层上形成2500的氧化硅。将两个不同的氮氧化硅(SiON)层形成在氧化硅层上,以便形成ARC层。在此实例中,一个SiON层为285,而另一SiON层为300。在ARC层上形成210nm光致抗蚀剂的3200的具有图案的光致抗蚀剂掩模。使用传统的ARC蚀刻将ARC层打通。
氧化硅层用以下方法蚀刻。上电极可加热到140℃。压力设定到180mTorr。27MHz的RF电源提供800瓦功率。2MHz的RF电源提供0瓦功率。蚀刻剂气体的化学成分为70sccm CF4、100sccm Ar、以及100sccm N2。蚀刻进行30秒。
过度蚀刻步骤完成氧化硅层的蚀刻,但相对于氮化硅蚀刻终止层提供更大的选择性。上电极保持在至少140℃。压力设定到80mTorr。27MHz的RF电源提供600瓦功率。2MHz的RF电源提供600瓦功率。蚀刻剂气体的化学成分为7sccm C4F8、3sccm O2、300sccmAr、以及100sccm N2。蚀刻进行14秒。相对于沟槽阻挡层和光致抗蚀剂来说,所述过度蚀刻更多选择蚀刻氧化硅。从所述蚀刻和过度蚀刻得到的沟槽最终深度为约260nm和300nm深之间。所以,使用320nm厚的光致抗蚀剂层将沟槽蚀刻到小于300nm深。在此过程中,蚀刻期间只有一半的光致抗蚀剂被去除掉。
用灰化(ashing)步骤去除光致抗蚀剂。在此实例中为提供灰化,将压力设定到400mTorr。27MHz的RF电源提供400瓦功率。2MHz的RF电源提供0瓦功率。蚀刻剂气体的化学成分为500sccm O2。灰化进行40秒。
然后打通蚀刻终止SiN层。压力设定到250mTorr。27MHz的RF电源提供150瓦功率。2MHz的RF电源提供150瓦功率。蚀刻剂气体的化学成分为90sccm CF4、30sccm CHF3、200sccm Ar、以及200sccm N2。所述打通步骤进行40秒。
实例2
在第二实例中,使用相同的衬底,其上具有相同的氧化硅层、相同的ARC层、以及相同的具有图案的光致抗蚀剂层。使用相同的打通过程打通ARC层。
氧化硅层用以下方法蚀刻。可以把上电极加热到140℃以上。压力设定到180mTorr。27MHz的RF电源提供800瓦功率。2MHz的RF电源提供0瓦功率。蚀刻剂气体的化学成分为5sccm C4F8、70sccmCF4、100sccm Ar、以及100sccm N2。蚀刻进行32秒,以便将沟槽蚀刻到约260nm和300nm之间的深度。
过度蚀刻步骤完成氧化硅层的蚀刻,但提供相对于氮化硅蚀刻终止层的更大的选择性。上电极保持在至少140℃。压力设定到80mTorr。27MHz的RF电源提供600瓦功率。2MHz的RF电源提供600瓦功率。蚀刻剂气体的化学成分为7sccm C4F8、3sccm O2、300sccmAr、以及100sccm N2。蚀刻进行18秒。
用灰化(ashing)步骤去除光致抗蚀剂。在此实例中为提供灰化,将压力设定到400mTorr。27MHz的RF电源提供400瓦功率。2MHz的RF电源提供0瓦功率。蚀刻剂气体的化学成分为500sccm O2。灰化进行40秒。
然后打通蚀刻终止SiN层。压力设定到250mTorr。27MHz的RF电源提供150瓦功率。2MHz的RF电源提供150瓦功率。蚀刻剂气体的化学成分为90sccm CF4、30sccm CHF3、200sccm Ar、以及200sccm N2。所述打通步骤进行14秒。
本发明改进了沟槽CD和线条边缘粗糙度。更优选的实施例据信可比次最佳实施例更多地减小线条边缘粗糙度。本发明的这些实施例能够提供6-7nm的线条边缘粗糙度,其中,用微米范围内的32次测量来确定线条边缘粗糙度,且且光致抗蚀剂掩模的最初线条边缘粗糙度为8-10nm。所以,和原来的光致抗蚀剂掩模相比,本发明可实际减小线条边缘粗糙度。
使用90nm节点技术,使用248nm或193nm的光致抗蚀剂,本发明能够提供0.11到0.14微米的特征宽度,。
加热器可以不将上电极加热到140℃。代之以,加热器可将上电极加热到中间温度,例如90℃,然后蚀刻过程加上了附加热量,将上电极加热到140℃。
在本发明的其它实施例中,在介质层上形成ARC层,使得ARC或者形成在介质层上,或者在ARC和介质层之间还有一层或多层。提供ARC层有助于光刻的完整性,有助于聚焦深度达PR底部。蚀刻期间使用硬掩模实现不同的指定任务。硬ARC(SiON)实现与有机ARC相同的作用,用于晶片图案形成,在Cu CMP金属化步骤期间SiON可用作”终止指示器”。可以在介质层和ARC之间设置覆盖层,作为扩散阻挡层(即,例如F扩散阻挡层,因为F会使FSG上的PR层离),或覆盖层可提供对CMP更具机械耐受力的较强层,例如具有TEOS或FSG覆盖层的有机低k材料。如果介质太”软”不能经受机械抛光,因而需要有较为坚固的层,有时是薄层,以便在蚀刻时维持结构的整体性,这种覆盖层就很需要。
虽然已就数个实施例对本发明作了说明,但可有各种改变、替换、修改以及各种替代等效物,它们都属于本发明的范围之内。还应指出有许多备选方式可实现本发明的方法和设备。所以以下所附权利要求书应被解释为包括属于本发明真实精神和范围之内的所有这些改变、替换、修改以及各种替代等效物。

Claims (19)

1.一种在衬底上的介质层中将沟槽蚀刻到沟槽深度的方法,所述方法包括:
在所述介质层上加ARC;
在所述ARC上形成光致抗蚀剂掩模,其中所述光致抗蚀剂掩模具有厚度;
以贯穿方式蚀刻所述ARC;以及
将沟槽蚀刻到所述介质层中,介质对光致抗蚀剂的蚀刻选择性在1∶1和2∶1之间。
2.如权利要求1所述的方法,其中所述形成光致抗蚀剂掩模的步骤将所述光致抗蚀剂掩模形成到大约2000和4000之间的厚度。
3.如权利要求1-2中任一项所述的方法,其中所述形成光致抗蚀剂掩模的步骤形成190nm或更新一代光致抗蚀剂的光致抗蚀剂掩模。
4.如权利要求1-3中任一项所述的方法,其中就线条边缘粗糙度控制而论,所述光致抗蚀剂掩模对侵蚀性蚀刻化学物质敏感。
5.如权利要求1-4中任一项所述的方法,其中还包括:
将所述衬底放入蚀刻室中,相对电极设置在所述衬底的对面;以及
在将所述沟槽蚀刻到所述介质层中期间,加热所述相对电极,使得所述相对电极达到至少140℃的温度。
6.如权利要求1-5中任一项所述的方法,其中在蚀刻所述沟槽期间将所述蚀刻室的压力维持在大约60mTorr和400mTorr之间。
7.如权利要求1-6中任一项所述的方法,其中在蚀刻所述沟槽期间高频电源提供500W和2000W之间的功率。
8.如权利要求1-7中任一项所述的方法,其中在蚀刻所述沟槽期间偏置电源提供0W和1000W之间的功率。
9.如权利要求1-8中任一项所述的方法,其中蚀刻所述沟槽的步骤包括提供从CF4、C2F6、NF3和SF6材料组中选择的蚀刻剂气体。
10.如权利要求1-9中任一项所述的方法,其中所述蚀刻剂气体具有少于5%的重聚合物成形蚀刻剂气体。
11.一种利用按照权利要求1-10的方法形成的半导体器件。
12.一种在衬底上的介质层中将沟槽蚀刻到沟槽深度的方法,所述方法包括:
在所述介质层上加ARC;
在所述ARC上形成敏感光致抗蚀剂掩模,其厚度在约2000和4000之间;
以贯通方式蚀刻所述ARC;以及
利用清洁蚀刻将沟槽蚀刻到所述介质层中。
13.如权利要求12所述的方法,其中介质对光致抗蚀剂的所述蚀刻选择性在1∶1和2∶1之间。
14.一种用于在介质层中蚀刻特征的设备,所述设备包括:
等离子处理室,它包括:
形成等离子处理室外壳的室壁;
衬底支座,用于将衬底所支撑在所述等离子处理室外壳内;
压力调节器,用于调节所述等离子处理室外壳内的压力;
设置成与所述衬底支座相对并与其分隔开的电极;
连接到所述电极,用于加热所述电极的加热器;
用于将气体提供到所述等离子处理室外壳内的气体入口;以及
用于从所述等离子处理室外壳中排除气体的气体出口;
与所述气体入口流体连接的气体源;
可控地连接到以下各部分中的至少一个部分的控制器:所述气体源、所述电极、所述加热器、所述压力调节器、所述气体入口以及所述气体出口。
15.如权利要求14所述的设备,其中所述控制器包括:
至少一个处理器;以及
计算机可读介质,所述计算机可读介质包括:
用于提供蚀刻等离子体以便将特征蚀刻到介质层中的计算机可读代码;以及
用于在蚀刻期间加热所述电极使得所述电极达到至少70℃的温度的计算机可读代码。
16.如权利要求14-15中任一项所述的设备,其中所述计算机可读介质还包括用于将所述压力维持在60mTorr和400mTorr之间的计算机可读代码。
17.如权利要求14-16中任一项所述的设备,其中用于提供蚀刻等离子体以便将特征蚀刻到所述介质层中的计算机可读代码包括用于提供500W和2000W之间的高频功率的计算机可读代码。
18.如权利要求14-17中任一项所述的设备,其中用于在蚀刻期间加热所述电极的计算机可读代码加热所述电极,使得所述电极达到至少90℃的温度。
19.如权利要求14-17中任一项所述的设备,其中用于在蚀刻期间加热所述电极的计算机可读代码加热所述电极,使得所述电极达到至少140℃的温度。
CNB2004800401675A 2003-11-12 2004-11-03 一种在沟槽蚀刻中降低线条边缘粗糙度的方法及其半导体器件 Expired - Fee Related CN100477135C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/712,410 2003-11-12
US10/712,410 US6949460B2 (en) 2003-11-12 2003-11-12 Line edge roughness reduction for trench etch

Publications (2)

Publication Number Publication Date
CN1902745A true CN1902745A (zh) 2007-01-24
CN100477135C CN100477135C (zh) 2009-04-08

Family

ID=34552675

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800401675A Expired - Fee Related CN100477135C (zh) 2003-11-12 2004-11-03 一种在沟槽蚀刻中降低线条边缘粗糙度的方法及其半导体器件

Country Status (8)

Country Link
US (2) US6949460B2 (zh)
EP (1) EP1683194A4 (zh)
JP (1) JP4865564B2 (zh)
KR (1) KR101134327B1 (zh)
CN (1) CN100477135C (zh)
IL (1) IL175527A0 (zh)
TW (1) TWI351054B (zh)
WO (1) WO2005050700A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102041508B (zh) * 2009-10-23 2012-07-25 中芯国际集成电路制造(上海)有限公司 刻蚀沟槽的方法
CN102648171A (zh) * 2009-12-01 2012-08-22 中央硝子株式会社 蚀刻气体
CN103854995A (zh) * 2012-12-06 2014-06-11 中微半导体设备(上海)有限公司 一种改善侧壁条痕的刻蚀工艺及其装置

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7276409B2 (en) * 2003-06-24 2007-10-02 Micron Technology, Inc. Method of forming a capacitor
US7153778B2 (en) * 2004-02-20 2006-12-26 Micron Technology, Inc. Methods of forming openings, and methods of forming container capacitors
JP2005314531A (ja) 2004-04-28 2005-11-10 Sony Corp ハイブリッドシリカポリマー、その製造方法およびプロトン伝導性材料
US7723235B2 (en) * 2004-09-17 2010-05-25 Renesas Technology Corp. Method for smoothing a resist pattern prior to etching a layer using the resist pattern
US7196014B2 (en) * 2004-11-08 2007-03-27 International Business Machines Corporation System and method for plasma induced modification and improvement of critical dimension uniformity
US7622393B2 (en) * 2005-11-04 2009-11-24 Tokyo Electron Limited Method and apparatus for manufacturing a semiconductor device, control program thereof and computer-readable storage medium storing the control program
US7556992B2 (en) * 2006-07-31 2009-07-07 Freescale Semiconductor, Inc. Method for forming vertical structures in a semiconductor device
US20080124937A1 (en) * 2006-08-16 2008-05-29 Songlin Xu Selective etching method and apparatus
JP5108489B2 (ja) * 2007-01-16 2012-12-26 株式会社日立ハイテクノロジーズ プラズマ処理方法
US8026180B2 (en) 2007-07-12 2011-09-27 Micron Technology, Inc. Methods of modifying oxide spacers
US8003522B2 (en) * 2007-12-19 2011-08-23 Fairchild Semiconductor Corporation Method for forming trenches with wide upper portion and narrow lower portion
JP5710267B2 (ja) 2007-12-21 2015-04-30 ラム リサーチ コーポレーションLam Research Corporation シリコン構造体の製造及びプロファイル制御を伴うシリコンディープエッチング
US7998872B2 (en) * 2008-02-06 2011-08-16 Tokyo Electron Limited Method for etching a silicon-containing ARC layer to reduce roughness and CD
US8298958B2 (en) * 2008-07-17 2012-10-30 Lam Research Corporation Organic line width roughness with H2 plasma treatment
US8173547B2 (en) * 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US8394722B2 (en) * 2008-11-03 2013-03-12 Lam Research Corporation Bi-layer, tri-layer mask CD control
US8921726B2 (en) * 2009-02-06 2014-12-30 Lg Chem, Ltd. Touch screen and manufacturing method thereof
US8236700B2 (en) * 2009-08-17 2012-08-07 Tokyo Electron Limited Method for patterning an ARC layer using SF6 and a hydrocarbon gas
US8877641B2 (en) * 2009-12-28 2014-11-04 Spansion Llc Line-edge roughness improvement for small pitches
US20130078815A1 (en) * 2011-09-23 2013-03-28 Nanya Technology Corporation Method for forming semiconductor structure with reduced line edge roughness
JP6239365B2 (ja) * 2013-12-11 2017-11-29 東京エレクトロン株式会社 シリコン層をエッチングする方法
US10734228B2 (en) 2017-12-19 2020-08-04 Tokyo Electron Limited Manufacturing methods to apply stress engineering to self-aligned multi-patterning (SAMP) processes
US11473191B2 (en) * 2019-02-27 2022-10-18 Applied Materials, Inc. Method for creating a dielectric filled nanostructured silica substrate for flat optical devices

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5658425A (en) 1991-10-16 1997-08-19 Lam Research Corporation Method of etching contact openings with reduced removal rate of underlying electrically conductive titanium silicide layer
JPH05129244A (ja) * 1991-11-05 1993-05-25 Kokusai Electric Co Ltd プラズマエツチング方法及び装置
US5976993A (en) * 1996-03-28 1999-11-02 Applied Materials, Inc. Method for reducing the intrinsic stress of high density plasma films
US5914202A (en) * 1996-06-10 1999-06-22 Sharp Microeletronics Technology, Inc. Method for forming a multi-level reticle
JP4022954B2 (ja) * 1997-01-29 2007-12-19 ソニー株式会社 複合材料及びその製造方法、基体処理装置及びその作製方法、基体載置ステージ及びその作製方法、並びに基体処理方法
US6090304A (en) 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
US6080680A (en) 1997-12-19 2000-06-27 Lam Research Corporation Method and composition for dry etching in semiconductor fabrication
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6027861A (en) * 1998-03-20 2000-02-22 Taiwan Semiconductor Manufacturing Company VLSIC patterning process
US6380096B2 (en) * 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
TW492075B (en) * 1999-04-06 2002-06-21 Tokyo Electron Ltd Electrode, wafer stage, plasma device, method of manufacturing electrode and wafer stage
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
JPWO2002049089A1 (ja) * 2000-12-14 2004-04-15 東京エレクトロン株式会社 多孔質絶縁膜のエッチング方法、デュアルダマシンプロセスおよび半導体装置
US6518174B2 (en) 2000-12-22 2003-02-11 Lam Research Corporation Combined resist strip and barrier etch process for dual damascene structures
US20020121500A1 (en) * 2000-12-22 2002-09-05 Rao Annapragada Method of etching with NH3 and fluorine chemistries
US6786175B2 (en) * 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
JP2003077900A (ja) * 2001-09-06 2003-03-14 Hitachi Ltd 半導体装置の製造方法
TWI276153B (en) * 2001-11-12 2007-03-11 Hynix Semiconductor Inc Method for fabricating semiconductor device
US6495469B1 (en) * 2001-12-03 2002-12-17 Taiwan Semiconductor Manufacturing Company High selectivity, low etch depth micro-loading process for non stop layer damascene etch
US6867145B2 (en) * 2001-12-17 2005-03-15 Hynix Semiconductor Inc. Method for fabricating semiconductor device using photoresist pattern formed with argon fluoride laser
JP3638266B2 (ja) * 2001-12-26 2005-04-13 株式会社半導体先端テクノロジーズ 半導体装置の製造方法
US6828251B2 (en) * 2002-02-15 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improved plasma etching control
US6686293B2 (en) * 2002-05-10 2004-02-03 Applied Materials, Inc Method of etching a trench in a silicon-containing dielectric material
US6902648B2 (en) * 2003-01-09 2005-06-07 Oki Electric Industry Co., Ltd. Plasma etching device
US7316761B2 (en) * 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102041508B (zh) * 2009-10-23 2012-07-25 中芯国际集成电路制造(上海)有限公司 刻蚀沟槽的方法
CN102648171A (zh) * 2009-12-01 2012-08-22 中央硝子株式会社 蚀刻气体
US9234133B2 (en) 2009-12-01 2016-01-12 Central Glass Company, Limited Etching gas
CN103854995A (zh) * 2012-12-06 2014-06-11 中微半导体设备(上海)有限公司 一种改善侧壁条痕的刻蚀工艺及其装置
CN103854995B (zh) * 2012-12-06 2016-10-19 中微半导体设备(上海)有限公司 一种改善侧壁条痕的刻蚀工艺及其装置

Also Published As

Publication number Publication date
WO2005050700A3 (en) 2005-12-01
US6949460B2 (en) 2005-09-27
JP2007511096A (ja) 2007-04-26
IL175527A0 (en) 2006-09-05
KR20060123312A (ko) 2006-12-01
JP4865564B2 (ja) 2012-02-01
EP1683194A4 (en) 2008-06-25
TWI351054B (en) 2011-10-21
US20050277289A1 (en) 2005-12-15
TW200524002A (en) 2005-07-16
WO2005050700A2 (en) 2005-06-02
EP1683194A2 (en) 2006-07-26
US20050101126A1 (en) 2005-05-12
CN100477135C (zh) 2009-04-08
KR101134327B1 (ko) 2012-04-09

Similar Documents

Publication Publication Date Title
CN100477135C (zh) 一种在沟槽蚀刻中降低线条边缘粗糙度的方法及其半导体器件
KR101555397B1 (ko) 포토레지스트 마스크 전처리를 갖는 플라즈마 프로세스
KR101029947B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
KR101160102B1 (ko) 가스 화학물 및 탄화 수소 첨가의 주기적 조절을 이용하는 플라즈마 스트리핑 방법
KR101318976B1 (ko) 자기 정렬된 피치 감소
US7977242B2 (en) Double mask self-aligned double patterning technology (SADPT) process
CN1286153C (zh) 一种用于蚀刻晶片的电介质层的方法
CN100543946C (zh) 蚀刻掩模特征临界尺寸的减小
KR101407661B1 (ko) 제어된 임계 치수 수축을 갖는 에칭 처리
KR101534883B1 (ko) 마스크 트리밍
CN1524287A (zh) 用于蚀刻有机低k材料的特殊化学工艺
CN101292197A (zh) 具有减小的线条边缘粗糙度的蚀刻特征
US20120282780A9 (en) Etch with high etch rate resist mask
US20070193973A1 (en) Infinitely selective photoresist mask etch
CN1831643A (zh) 改善双镶嵌蚀刻轮廓的方法
CN101063821A (zh) 去除刻蚀残留物的方法
CN101030531A (zh) 用于控制衬底腐蚀的方法
KR101075045B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
CN1867695A (zh) 改善沉积的介电膜上的显影后光刻胶外形的方法
CN1691276A (zh) 移除阻挡层后的无晶片自动清洗
CN101046626A (zh) 一种在制造光掩模时蚀刻钼层的方法
CN1661799A (zh) 半导体器件
CN101958245B (zh) 刻蚀方法
KR20070046095A (ko) 유전층 에칭 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090408

Termination date: 20171103