CN101030531A - 用于控制衬底腐蚀的方法 - Google Patents

用于控制衬底腐蚀的方法 Download PDF

Info

Publication number
CN101030531A
CN101030531A CNA2007100802731A CN200710080273A CN101030531A CN 101030531 A CN101030531 A CN 101030531A CN A2007100802731 A CNA2007100802731 A CN A2007100802731A CN 200710080273 A CN200710080273 A CN 200710080273A CN 101030531 A CN101030531 A CN 101030531A
Authority
CN
China
Prior art keywords
substrate
hydrogen
plasma
residue
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2007100802731A
Other languages
English (en)
Inventor
利姆·尤吉恩
川口·马克
彭长迪
丁国文
李昌宪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/363,833 external-priority patent/US8101025B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101030531A publication Critical patent/CN101030531A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3

Abstract

本发明公开了一种用于控制衬底腐蚀的方法。在一个实施方式中,一种用于控制衬底腐蚀的方法包括步骤:提供具有构图的掩模层的衬底,其上布置有金属残留物;将衬底暴露于基于氢的等离子体中以去除所述金属残留物;以及去除光刻胶。所述金属残留物可包括蚀刻铝或铜的至少其中之一所得到的残留物。所述金属残留物可进一步包括利用基于卤素的工艺气体蚀刻含金属层所得到的卤素化合物。所述基于氢的等离子体可包括氢气,并且还可包括氮气和水蒸气的至少其中之一。所述基于氢的等离子体可进一步包括诸如氩气的惰性气体。

Description

用于控制衬底腐蚀的方法
相关技术的交叉引用
本发明为2003年5月27日递交的发明名称为“Method for Removal ofResidue From a Substrate(用于去除衬底残留物的方法)”的在审的美国专利申请No.10/446,332的部分接续申请,在此引入其全部内容作为参考。
技术领域
本发明一般地涉及一种用于在半导体衬底上制造器件的方法。更具体地说,本发明涉及一种用于去除半导体衬底残留物的方法。
背景技术
微电子器件作为集成电路通常制造在半导体衬底上,其中不同的金属层彼此互连以利于在该器件内传播电子信号。一种用于制造微电子器件的典型工艺为等离子体蚀刻工艺。在等离子体蚀刻工艺期间,将包含金属或基于金属的化合物的一层或多层部分地或全部去除,以形成集成电路的特征图案(例如互连线或接触通孔)。
一般地,等离子体蚀刻工艺利用气体化学物质,当其与组成蚀刻层或蚀刻掩模的材料反应时,可生成非挥发性的副产物。这些副产物在衬底上聚集为残留物。在现有技术中,这种残留物通常称为“蚀刻后残留物”。蚀刻后残留物可能干扰对衬底的处理,例如,该残留物可能污染现有层或者导致难以沉积后续层。另外,含有金属的残留物还可能引起短路而中断或者减慢集成电路的运行。
用于去除残留物的传统方法一般包括对衬底的多步湿处理和利用基于氧的化学物质的中间等离子体剥离工艺。在微电子器件制造期间,在制造微电子器件期间,连同中间等离子体剥离工艺(即蚀刻和剥离工艺)一起的多步湿处理将减低产能。另外,基于氧的等离子体剥离工艺可导致难以去除衬底上的金属氧化物,或否则将腐蚀衬底。
因此,现有技术中需要一种用于在微电子器件制造期间去除衬底残留物的改进的方法。
发明内容
本发明提供一种用于控制衬底腐蚀的方法的实施方式。在一个实施方式中,一种用于控制衬底腐蚀的方法包括步骤:提供具有构图的掩模层的衬底,其上布置有金属残留物;将衬底暴露于基于氢的等离子体中以去除金属残留物;以及去除光刻胶。该金属残留物可包括蚀刻铝或铜的至少其中之一所得到的残留物。该金属残留物还可包括用基于卤素的工艺气体蚀刻含金属层所得到的卤素化合物。基于氢的等离子体可包括氢气(H2)以及还可包括氮气(N2)和水蒸气(H2O)的至少其中之一。基于氢的等离子体还可包括诸如氩气(Ar)的惰性气体。
在另一实施方式中,提供一种用于控制衬底腐蚀的方法,该衬底具有掩模层,其上有含金属层,其中蚀刻含金属层所得到的金属残留物布置在掩模层上,该方法包括步骤:(a)将金属残留物暴露于非等离子体状态的基于氢的工艺气体中持续第一时段;以及(b)将金属残留物暴露于等离子体状态的基于氢的工艺气体中持续第二时段。步骤(a)可在步骤(b)之前或之后执行。掩模层可在步骤(a)和(b)完成之后去除。
在又一实施方式中,一种用于控制衬底腐蚀的方法,包括利用基于卤素的工艺气体蚀刻具有其上形成有构图的光刻胶层的衬底的导电层,其中该蚀刻工艺将形成金属残留物;以及在用于蚀刻导电层的同一工艺腔室中加热该衬底的同时,将该衬底暴露于基于氢的等离子体中以去除金属残留物。
附图说明
通过结合附图的如下详细说明,本发明的教导将更明显易懂,附图中:
图1示出了根据本发明的一个实施方式的一种用于去除残留物的流程图;
图2A-图2D示出了具有根据图1的方法去除残留物的膜叠层的衬底的横截面图的一系列示意图;
图3示出了用于执行本发明方法的部分中的一种示例性等离子体处理设备的示意图;以及
图4示出了根据本发明另一实施方式的一种用于去除残留物的方法的流程图;
在本文中尽可能使用相同的附图标记表示附图中出现的相同部件。出于示意性目的,附图中的图为简化的并不是按比例绘制。
附图示出了本发明的示例性实施方式,并不能认为是对本发明的范围的限定,本发明还允许其他等同有效的实施方式。
具体实施方式
本发明涉及一种在微电子器件制造期间用于去除衬底(例如硅(Si)晶圆、砷化镓(GaAs)晶圆等)残留物的方法。在一应用中,本发明方法用于去除包括至少一种金属(例如铜(Cu)、铝(Al)等)及其化合物的蚀刻后残留物。
图1示出了方法100用于去除残留物的本发明方法的一个实施方式的流程图。该方法100包括在具有至少一金属层的膜叠层上执行的工序。
图2A-图2D示出了具有膜叠层的衬底的横截面图的一系列示意图,其中利用方法100去除该膜叠层上的残留物。图2A-图2D的横截面图涉及在膜叠层上执行的各个处理步骤。出于示意性目的,图2A-图2D中的图未按比例并简单绘制。
方法100从步骤101开始并接着进行步骤102,其中在例如硅衬底的衬底200上形成膜叠层202和蚀刻掩模204(图2A)。在一个实施方式中,膜叠层202包括阻挡层210、含金属层208和绝缘或导电抗反射层206。在光刻胶曝光期间,抗反射层206有助于控制光的反射。抗反射层206使蚀刻掩模图案转移工序中由于光刻工艺固有的诸如光反射的光学限制引起的不精确最小化,这些光学限制随着特征图案尺寸的减小而变得更为普遍。
阻挡层210和抗反射层206一般由诸如钛(Ti)、氮化钛(TiN)、钽(Ta)、氮化钽(TaN)、钛化钨(TiW)、氮化硅(Si3N4)等介电材料和/或导电材料组成。阻挡层210和抗反射层206的厚度可为适合于制造特定半导体器件的任意厚度。在一个实施方式中,阻挡层210和抗反射层206形成的总厚度为约300埃到大于1,000埃。含金属层208可由任意导电金属或金属化合物形成。在一个实施方式中,含金属层208包括铝(Al)、铜(Cu)、镍(Ni)、铁(Fe)或类似金属或其化合物。含金属层208还可包括诸如硅(Si)的非金属元素。含金属层208的厚度可为适合于制造特定半导体器件的任意厚度。在一个实施方式中,含金属层208形成约1,000埃到10,000埃的厚度。
膜叠层202的多个层可利用诸如原子层沉积(ALD)、化学气相沉积(CVD)、等离子体增强CVD(PECVD)、物理气相沉积(PVD)等任意传统的薄膜沉积工艺形成。可利用从位于California,Santa Clara的应用材料(Applied Materials)公司购买得到的例如CENTURA、ENDURA及其他半导体衬底处理系统的各自处理反应器制造微电子器件。
蚀刻掩模204形成在抗反射层206上(图2A)。在曝光叠层202的相邻区域222时,蚀刻掩模204保护膜叠层202的区域220。一般地,蚀刻掩模204为利用传统的光刻构图工艺制造的光刻胶掩模。对于该工艺,光刻胶层通过图案化的掩模曝光、显影,并且去除光刻胶的未显影部分。光刻胶掩模204通常具有约2,000埃到6,000埃的厚度。
可选地,蚀刻掩模204可为硬质掩模,或者蚀刻掩模204还可包括可选的硬质掩模层205(如虚线所示)。硬质掩模或硬质掩模层205可由二氧化硅(SiOx)、氧氮化硅(SiON)、Advanced Pattering FilmTM(可从California,Santa Clara的应用材料公司购买可得)、二氧化铪(HfO2)、聚酰胺等组成。
例如,在共同转让的2004年7月6日公告的美国专利6,759,286和2003年6月3日公告的美国专利6,573,030中描述了应用蚀刻掩模204的工艺,在此引用其全部内容作为参考。
步骤104中,等离子体蚀刻并且去除未保护区域222中的抗反射层206和含金属层208(图2B)。通常利用卤化工艺化学物质(即,基于卤素的工艺化学物质)蚀刻抗反射层206和含金属层208。在一个实施方式中,可利用基于氯的气体混合物蚀刻抗反射层206和含金属层208。在一个实施方式中,基于氯的气体混合物可包括氯气(Cl2)或三氯化硼(BCl3)。可选地,基于氯的气体混合物还可包括惰性的稀释气体,诸如氩气(Ar)、氦气(He)、氖气(Ne)等的至少其中之一。可选地,基于氯的气体混合物还可包括诸如氮气(N2)、四氟化碳(CF4)、三氟甲烷(CHF3)、硅烷(CH4)、乙烯(C2H4)等少量钝化气体。
在一个实施方式中,步骤104利用掩模204作为蚀刻掩模并且利用阻挡层210作为蚀刻停止层。具体地说,在含金属膜208的蚀刻期间,蚀刻反应器的终点检测系统可监控特定波长的等离子体发射以确定蚀刻工艺的终点。蚀刻工艺可继续进行直到在阻挡层210中形成浅凹槽224(图2B)。浅凹槽224可形成至约200埃到1,000埃的深度226。浅凹槽224有助于从区域222中的阻挡层210中完全去除含金属层208。
可在诸如去耦等离子体源(DPS)反应器,或者AdvantageTMM金属蚀刻腔室的蚀刻反应器中执行步骤104,该反应器可为从California,Santa Clara的应用材料公司购买得到的CENTURA处理系统的一部分。
在步骤104期间,从抗反射层206和含金属层208去除的一些材料与蚀刻剂气体混合物(例如,诸如含氯气体、含氟气体等的含卤素气体)成分以及与蚀刻掩模204(例如聚合成分等)成分结合以形成非挥发性的化合物。这些非挥发性的化合物的至少一些通常重新沉积在衬底200上,形成残留物216(即蚀刻后残留)。在蚀刻工艺之后,该蚀刻后残留物216通常存在于蚀刻掩模204、膜叠层202的侧壁212以及衬底200的其他位置上。另外,这些卤化的副产物聚集在处理腔室表面的内侧,从而长时间将降低处理腔室性能并缩短清洗工艺腔室的平均时间。因此,本发明方法可在与蚀刻工艺的同一腔室中进行,从而在清洗衬底的同时清洗蚀刻工艺腔室。
当在步骤104期间对含金属层(即层208)进行蚀刻时,蚀刻后残留物216还包括可能在蚀刻工艺期间形成的这种金属(例如铝(Al)、铜(Cu)等)的原子和/或金属的化合物(例如金属氯化物、金属氟化物、金属氧化物、金属氮化物等)。在这里所述的一个示例性实施方式中,这种金属化合物可包括AlxCly(其中x和y为整数)、AlxFy(其中x和y为整数)和AlxOy(其中x和y为整数)等。含金属的蚀刻后残留物通常比其他类型的残留物更难以从衬底去除。该残留物216还视为是衬底200的后续处理的污染物。
在步骤106,从膜叠层202和衬底200去除蚀刻后残留物216(图2C)。在一个实施方式中,利用基于氢的等离子体去除蚀刻后残留物216。当等离子体形成时,来自工艺气体混合物中的原子氢有利于去除诸如氯气(以助于腐蚀控制)的卤素和诸如铝(以助于聚合体残留物去除)的金属,这有助于加宽腐蚀控制/聚合体残留物去除工艺窗口。基于氢的等离子体可以任意公知的或未来研发的适合方式形成。在一个实施方式中,等离子体为远程等离子体(即,等离子体在工艺腔室的反应空间的外部激发并且等离子体成分导入工艺腔室),诸如在约1.0到10GHz激发的微波等离子体或者以约0.05到约1,000MHz激发的射频等离子体。
在一个示例性实施方式中,基于氢的等离子体由水蒸气(H2O)形成。利用水蒸气有助于在维持聚合体残留物去除的合适值的同时,控制衬底的含金属层的腐蚀。
在另一实施方式中,基于氢的等离子体包括H2。可选地,该等离子体还可包括氮气(例如N2)或水(H2O)蒸气的至少其中之一,另外,该等离子体还可包括诸如氩气(Ar)的惰性气体。
在一个实施方式中,通过提供流速约为100-5,000sccm的氢气(H2)、以约270KHz-1.5GHz施加约500-6,000W的射频(RF)功率,并在约500mTorr-6Torr之间气压的工艺腔室中维持衬底温度在约100-400摄氏度来去除蚀刻后残留物216。步骤106的持续时间一般约10-120sec之间。一个示例性工艺提供速率为800sccm的H2,施加5,000W的RF功率,并且在气压约2.0Torr的腔室内维持衬底温度约250摄氏度。
可选地,步骤106还可提供流速达约1,000sccm的氮气(N2)(即纯H2至约1∶10的H2∶N2之间的流速比)或者以流速达约3,000sccm的水蒸气(H2O)(即纯氢至约1∶30的H2∶H2O之间的流速比)的至少其中之一。另一实施方式中,步骤106还可提供以纯氢H2至约3∶7H2∶N2之间的流速比的氮气(N2)以及纯氢H2至约1∶9的H2∶H2O之间的流速比的水蒸气(H2O)的至少其中之一。
一个示例性工艺提供流速为900sccm的H2和流速为300sccm的N2(即H2∶N2流速比约3∶1)。另一示例性工艺提供流速为900sccm的H2和流速为300sccm的H2O(即H2∶H2O流速比约3∶1)。
可选地,可提供约0-3000sccm之间范围内(即纯H2至约1∶30的H2∶Ar之间的流速比)的诸如氩气(Ar)的惰性气体。或者,可以纯H2至约3∶7的H2∶H2O之间的流速比提供氩气(Ar)。在一个实施方式中,提供约900sccm的H2和约900sccm的Ar。
现已发现由H2形成的等离子体与基于氧的残留物去除工艺相比,具有改进的腐蚀性能,以及具有优于或可比于基于H2O蒸气的残留物去除工艺。另外,现已发现基于H2的残留物去除工艺与基于氧和基于H2O蒸气的残留物去除工艺相比将去除更大量的聚合体残留物。还发现基于H2的残留物去除工艺与基于H2O蒸气的残留物去除工艺相比具有改进的腐蚀控制(例如,较少腐蚀及更连续和可重复工艺)。最终,基于H2的残留物去除工艺与基于H2O蒸气工艺相比减少总的工艺时间。简单地说,如本文所公开的基于H2的残留物去除工艺提供更宽的腐蚀控制/聚合体残留物去除工艺窗口以及提供更灵活地精确调整工艺。
另外,现已发现在蚀刻后残留物去除工艺的起始阶段期间同时出现衬底的大量腐蚀。还发现衬底温度的上升有助于减少在该阶段中出现的腐蚀。因此,由于H2的特定热容量大于H2O蒸气,因此利用H2可比只利用H2O更快地升高衬底温度,从而有助于在蚀刻后处理的起始阶段避免同时腐蚀。
可选地,步骤106还可包括将衬底暴露于非等离子体态的工艺气体(在此理解称为单一气体或气体混合物)中的步骤。例如,图4示出了根据本发明的另一实施方式用于去除残留物的方法400的流程图。
方法400开始于步骤402并进行至步骤404,其中工艺气体以非等离子体态通入工艺腔室中。工艺气体可为以上所述参照步骤106的任意气体或气体混合物。将工艺气体通入工艺腔室中至少5秒,或在一实施方式中,约5-40秒,以接触位于衬底的暴露的表面上的残留物216。非等离子体态的工艺气体与残留物216的成分反应(例如,导致含金属的卤素或含聚合有机成分的卤素的解吸和/或蒸发)。在一实施方式中,H2通入工艺腔室中约5-30秒。
接下来,在步骤406中,如上所述形成等离子体,并且将衬底暴露于基于H2的等离子体中。步骤406可持续至少10秒,或在一实施方式中,约10-180秒之间。在一实施方式中,在步骤406之后,该方法在步骤410结束。
可选地,如步骤408中的虚线所示,工艺气体可再次以非等离子体态通入工艺腔室中,持续时间与步骤404的相同,以接触位于衬底的暴露表面上的残留物216。或者,步骤404和406可反过来——即,可用工艺气体的等离子体处理残留物持续第一时段,并接着如上所述将残留物暴露于非等离子体态的工艺气体中持续第二时段。
回到图1,步骤106(以及方法400)可在诸如CENTURA的系统AXIOM反应器的反应器中进行。该AXIOM反应器(参照以下图3的详细描述)为下游等离子体反应器,其中等离子体限制于其内从而仅将反应的中性物提供给工艺腔室的反应空间。这种等离子体限制使衬底或形成在衬底上的电路的等离子体相关的损坏最小化。或者,步骤106可在DPS反应器中或Advanced Stripand Passivation(ASP)反应器中执行,该两种反应器都可从California,SantaClara的应用材料公司购买得到。
在完成步骤104时,利用例如CENTURA系统,衬底200可在真空下从DPS反应器传送至AXIO或者其他反应器以执行步骤106。因此,衬底与可存在于制造环境的非真空部分中的污染物隔绝。
在完成步骤106之后,在步骤108,去除或者剥离掩模204(图2D)。掩模204可以利用任意适合的传统方式诸如包含氧气(O2)、氧气(O2)和氮气(N2)、水蒸气(H2O)、四氟化碳等及其组合的等离子体进行剥离。还可利用添加氢气(H2)的基于氧气(O2)的干剥离工艺去除掩模204。加入氢气(H2)的氧气(O2)剥离工艺与无H2添加的剥离工艺相比更有助于改善腐蚀控制。现已发现对于剥离工艺这种经由添加氢气(H2)对腐蚀控制的改进非常明显。
可选地,在完成步骤108之后,可继续处理衬底。例如,在步骤110(虚线所示)可执行湿剥离工艺以去除任何残留物216,其残留在膜叠层202上和衬底200的其他位置,以及/或可能由于剥离掩模204引起残留的任何残留物。在一个实施方式中,步骤110可包括将衬底200浸入包括基于胺的或基于氟(F)的湿化学溶剂的水溶液中。在前文引用的美国专利申请No.10/446,332中进一步详细描述了该工艺的实施例。在步骤112,方法100结束。
图3示出了可能用于实践方法100部分的反应器300的示意图。适合与本发明一起使用的反应器300的一个实施例为AXIOM反应器,其可从California,Santa Clara的应用材料公司购买。反应器300包括工艺腔室302、远程等离子体源306和控制器308。
工艺腔室302一般为真空容器,其包括第一部分310和第二部分312。在一个实施方式中,第一部分310包括衬底基座304、侧壁316和真空泵314。第二部分312包括盖子318和气体分配板(喷头)320,其限定气体混合空间322和反应空间324。盖子318和侧壁316通常由金属(例如,铝(Al)、不锈钢等)形成并且电耦合至参考地360。
衬底基座304支撑反应空间324内的衬底(晶圆)326。在一个实施方式中,衬底基座304可包括诸如充气灯328的辐射热源,以及嵌入的电阻加热器330和导管332。导管332将来自源334的气体(例如氦气)通过基座304的衬底支撑表面上的凹槽(未示出)提供至衬底326的背面。该气体有助于支撑基座304与衬底326之间的热交换。衬底326的温度可控制在约20摄氏度和400摄氏度之间。
真空泵314适用于工艺腔室302的侧壁316中形成的排气口336。真空泵314用于维持工艺腔室102中预期的气压,以及从腔室排出处理后气体和其他挥发性化合物。在一个实施方式中,真空泵314包括节流阀338以控制工艺腔室302中的气压。
工艺腔室302还包括用于保持和释放衬底326、探测工艺的结束、内部检测等的传统系统。该系统一起描述为支持系统340。
远程等离子体源306包括电源346、气板344和远程等离子体腔室342。在一个实施方式中,电源346包括射频(RF)发生器348,调制组件350和施加器(applicator)352。RF发生器348能产生高达频率约200到600kHz的6,000W功率。施加器352感应耦合至远程等离子体腔室342,并将腔室内的工艺气体(或气体混合物)364激活为等离子体362。在该实施方式中,远程等离子体342具有环形形状,其限定等离子体并有助于有效地产生自由基物,以及降低等离子体的电子温度。在其他实施方式中,远程等离子体源306可为具有频率例如为1.5GHz频率的微波等离子体源。
气板344利用导管366将工艺气体364输送至远程等离子体腔室342。气板344(或导管366)包括诸如流量控制器和关闭阀的装置(未示出)以控制提供给腔室342的各个气体的气压和流速。在等离子体362中,工艺气体364被电离并离解以形成反应物。
反应物通过盖子318中的入口368导入混合空间322中。为了使带电的等离子体对衬底326上的器件损伤最小,在工艺气体364通过喷头320的多个开口370到达反应空间324之前,在混合空间322内的该工艺气体364的离子物基本上为电中性。
控制器308包括中央处理器(CPU)354、存储器356和支持电路358。CPU354可为用于工业配置中的任意形式的通用计算机处理器。软件程序可存储在诸如随机存取存储器、只读存储器、软盘或硬盘或其他数字存储形式的存储器356中。支持电路358通常耦合至CPU 354以及可包括高速缓存、时钟电路、输入/输出子系统、电源等。
当软件程序由CPU 354执行时,将CPU转换为控制反应器300的专用计算机(控制器)308,从而根据本发明执行工艺。软件程序还可以通过距离反应器300远程设置的第二控制器(未示出)存储和/或执行。
本发明可在其他半导体系统中实施,其中本领域的普通技术人员通过利用本文公开的描述,在不脱离本发明的精神范围内,可对处理参数进行调整以获得合适的特点。
虽然以上所述涉及本发明的示例性实施方式,但是在不脱离本发明的基本范围内,可设计本发明的其他和进一步的实施方式,本发明要求的保护范围由以下的权利要求书所限定。

Claims (20)

1.一种用于控制衬底腐蚀的方法,包括:
(a)提供具有构图的掩模层的衬底,其上布置有金属残留物;
(b)将该衬底暴露于基于氢的等离子体中以去除所述金属残留物;以及
(c)去除光刻胶。
2.根据权利要求1所述的方法,其特征在于,所述金属残留物包括蚀刻铝、铜、铁或镍的至少其中之一所得到的残留物。
3.根据权利要求1所述的方法,其特征在于,所述基于氢的等离子体包括氢气、水蒸气、氢气和氮气的组合物以及氢气和惰性气体的组合物的至少其中之一。
4.根据权利要求3所述的方法,其特征在于,所述基于氢的等离子体包括氢气,并且所述氢气以约10-5,000sccm的流速提供。
5.根据权利要求3所述的方法,其特征在于,所述基于氢的等离子体包括氮气,并且所述氮气以约1-1,000sccm的流速提供。
6.根据权利要求3所述的方法,其特征在于,所述基于氢的等离子体包括水蒸气,并且所述水蒸气以约1-3,000sccm的流速提供。
7.根据权利要求3所述的方法,其特征在于,所述基于氢的等离子体包括惰性气体,并且所述惰性气体包括氩气。
8.根据权利要求7所述的方法,其特征在于,所述氩气以约1-3,000sccm的流速提供。
9.根据权利要求1所述的方法,其特征在于,所述金属残留物还包括利用基于卤素的工艺气体蚀刻含金属层所得到的卤素化合物。
10.根据权利要求9所述的方法,其特征在于,所述卤素包括氯或氟的至少其中之一。
11.根据权利要求1所述的方法,其特征在于,进一步包括下列步骤的其中之一或两个步骤:
(d)在步骤(b)之前将该衬底暴露于含氢的工艺气体持续第一时段;以及
(e)在步骤(b)之后将该衬底暴露于含氢的工艺气体持续第二时段。
12.根据权利要求1所述的方法,其特征在于,进一步包括:
(d)在(b)与(c)之间将该衬底暴露于含氢工艺气体持续第一时段。
13.根据权利要求1所述的方法,其特征在于,所述金属残留物为在工艺腔室中蚀刻工艺所得到的生成物,并且(b)进一步包括:
在用于执行蚀刻工艺的工艺腔室内将该衬底暴露于所述基于氢的等离子体中。
14.根据权利要求13所述的方法,其特征在于,所述暴露步骤进一步包括将该衬底加热至约100至400摄氏度之间的温度。
15.一种用于控制衬底腐蚀的方法,该衬底具有掩模层,其上有含金属层,其中蚀刻所述含金属层所得到的金属残留物布置在所述掩模层上,该方法包括:
(a)将所述金属残留物暴露于非等离子体态的基于氢的工艺气体中持续第一时段;以及
(b)将所述金属残留物暴露于等离子体态的基于氢的工艺气体中持续第二时段。
16.根据权利要求15所述的方法,其特征在于,(a)在(b)之前。
17.根据权利要求15所述的方法,其特征在于,(a)在(b)之后。
18.根据权利要求15所述的方法,其特征在于,进一步包括:
(c)在(a)和(b)之后去除所述掩模层。
19.根据权利要求15所述的方法,其特征在于,所述第一时段在约5秒到约40秒之间。
20.根据权利要求15所述的方法,其特征在于,所述第二时段在约10秒到约180秒之间。
CNA2007100802731A 2006-02-27 2007-02-15 用于控制衬底腐蚀的方法 Pending CN101030531A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/363,833 2006-02-27
US11/363,833 US8101025B2 (en) 2003-05-27 2006-02-27 Method for controlling corrosion of a substrate

Publications (1)

Publication Number Publication Date
CN101030531A true CN101030531A (zh) 2007-09-05

Family

ID=38614256

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2007100802731A Pending CN101030531A (zh) 2006-02-27 2007-02-15 用于控制衬底腐蚀的方法

Country Status (3)

Country Link
KR (1) KR20070089082A (zh)
CN (1) CN101030531A (zh)
TW (1) TW200739716A (zh)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102376537A (zh) * 2010-08-10 2012-03-14 海力士半导体有限公司 制造半导体器件的方法
CN103151457A (zh) * 2011-12-07 2013-06-12 三星电子株式会社 磁性器件及其制造方法
CN103620734A (zh) * 2011-06-30 2014-03-05 应用材料公司 用于快速气体交换、快速气体切换以及可编程的气体输送的方法与装置
CN104916568A (zh) * 2014-03-11 2015-09-16 东京毅力科创株式会社 等离子体处理装置、基板处理系统和薄膜晶体管的制造方法
CN104916534A (zh) * 2014-03-11 2015-09-16 东京毅力科创株式会社 等离子体处理装置和薄膜晶体管的制造方法
CN105470110A (zh) * 2014-09-30 2016-04-06 英飞凌科技股份有限公司 处理载体的方法、操作处理腔的方法和处理晶圆的方法
CN106972098A (zh) * 2015-10-15 2017-07-21 三星电子株式会社 形成互连线的方法及制造使用互连线的磁存储器件的方法
CN108666203A (zh) * 2017-04-01 2018-10-16 中芯国际集成电路制造(上海)有限公司 改善晶圆边缘形貌的方法
TWI672755B (zh) * 2014-03-11 2019-09-21 日商東京威力科創股份有限公司 電漿處理裝置、基板處理系統、薄膜電晶體之製造方法及記憶媒體
CN110386587A (zh) * 2018-04-18 2019-10-29 北京大学 一种基于ald技术的硅湿法腐蚀掩膜方法
CN112538628A (zh) * 2019-09-20 2021-03-23 力晶积成电子制造股份有限公司 铝层的蚀刻后保护方法
CN113015822A (zh) * 2018-11-14 2021-06-22 赛峰飞机发动机公司 对涡轮机部件进行酸洗的方法
CN113471070A (zh) * 2020-05-22 2021-10-01 北京屹唐半导体科技股份有限公司 使用臭氧气体和氢自由基的工件加工
CN113517219A (zh) * 2020-04-09 2021-10-19 中国科学院微电子研究所 金属刻蚀后防止金属腐蚀的方法

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101858448B1 (ko) * 2016-11-22 2018-06-28 한국기술교육대학교 산학협력단 실리콘 기판 표면의 잔류 할로겐 제거방법 및 이의 장치

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102376537A (zh) * 2010-08-10 2012-03-14 海力士半导体有限公司 制造半导体器件的方法
CN103620734A (zh) * 2011-06-30 2014-03-05 应用材料公司 用于快速气体交换、快速气体切换以及可编程的气体输送的方法与装置
CN103620734B (zh) * 2011-06-30 2017-02-15 应用材料公司 用于快速气体交换、快速气体切换以及可编程的气体输送的方法与装置
CN103151457A (zh) * 2011-12-07 2013-06-12 三星电子株式会社 磁性器件及其制造方法
CN103151457B (zh) * 2011-12-07 2017-09-01 三星电子株式会社 磁性器件及其制造方法
CN104916568B (zh) * 2014-03-11 2018-09-25 东京毅力科创株式会社 等离子体处理装置、基板处理系统和薄膜晶体管的制造方法
CN104916568A (zh) * 2014-03-11 2015-09-16 东京毅力科创株式会社 等离子体处理装置、基板处理系统和薄膜晶体管的制造方法
CN104916534A (zh) * 2014-03-11 2015-09-16 东京毅力科创株式会社 等离子体处理装置和薄膜晶体管的制造方法
TWI672755B (zh) * 2014-03-11 2019-09-21 日商東京威力科創股份有限公司 電漿處理裝置、基板處理系統、薄膜電晶體之製造方法及記憶媒體
CN104916534B (zh) * 2014-03-11 2018-08-31 东京毅力科创株式会社 等离子体处理装置和薄膜晶体管的制造方法
CN105470110A (zh) * 2014-09-30 2016-04-06 英飞凌科技股份有限公司 处理载体的方法、操作处理腔的方法和处理晶圆的方法
CN105470110B (zh) * 2014-09-30 2018-12-07 英飞凌科技股份有限公司 处理载体的方法、操作处理腔的方法和处理晶圆的方法
CN106972098A (zh) * 2015-10-15 2017-07-21 三星电子株式会社 形成互连线的方法及制造使用互连线的磁存储器件的方法
CN106972098B (zh) * 2015-10-15 2022-01-18 三星电子株式会社 形成互连线的方法及制造使用互连线的磁存储器件的方法
CN108666203A (zh) * 2017-04-01 2018-10-16 中芯国际集成电路制造(上海)有限公司 改善晶圆边缘形貌的方法
CN110386587A (zh) * 2018-04-18 2019-10-29 北京大学 一种基于ald技术的硅湿法腐蚀掩膜方法
CN113015822A (zh) * 2018-11-14 2021-06-22 赛峰飞机发动机公司 对涡轮机部件进行酸洗的方法
CN112538628A (zh) * 2019-09-20 2021-03-23 力晶积成电子制造股份有限公司 铝层的蚀刻后保护方法
CN112538628B (zh) * 2019-09-20 2023-03-14 力晶积成电子制造股份有限公司 铝层的蚀刻后保护方法
CN113517219A (zh) * 2020-04-09 2021-10-19 中国科学院微电子研究所 金属刻蚀后防止金属腐蚀的方法
CN113471070A (zh) * 2020-05-22 2021-10-01 北京屹唐半导体科技股份有限公司 使用臭氧气体和氢自由基的工件加工
CN113471070B (zh) * 2020-05-22 2022-04-12 北京屹唐半导体科技股份有限公司 使用臭氧气体和氢自由基的工件加工
US11315801B2 (en) 2020-05-22 2022-04-26 Beijing E-Town Semiconductor Technology Co., Ltd Processing of workpieces using ozone gas and hydrogen radicals

Also Published As

Publication number Publication date
KR20070089082A (ko) 2007-08-30
TW200739716A (en) 2007-10-16

Similar Documents

Publication Publication Date Title
CN101030531A (zh) 用于控制衬底腐蚀的方法
US10727080B2 (en) Tantalum-containing material removal
JP5085997B2 (ja) プラズマエッチング性能強化方法及び装置
US6759286B2 (en) Method of fabricating a gate structure of a field effect transistor using a hard mask
JP5553501B2 (ja) 基板上に高アスペクト比の特徴部を形成する方法
JP7314293B2 (ja) リソグラフィ応用のための膜積層体
KR101003475B1 (ko) 포토레지스트 접착 및 재생 일관성을 개선하기 위한 수소처리
US8101025B2 (en) Method for controlling corrosion of a substrate
CN100517605C (zh) 镶嵌结构的制造方法
KR102528430B1 (ko) 피처리체를 처리하는 방법
JP2001526461A (ja) 酸化窒化珪素と無機反射防止皮膜をエッチングするための方法
JP2001526463A (ja) 基板から有機反射防止皮膜をエッチングするためのシステムと方法
WO2001004936A1 (en) Method of cleaning a semiconductor device processing chamber after a copper etch process
US5851302A (en) Method for dry etching sidewall polymer
JP2007235136A (ja) 高アスペクト比用途の異方性フィーチャを形成するためのエッチング方法
JP2007235135A (ja) 高アスペクト比用途の異方性フィーチャを形成するためのエッチング方法
CN1574203A (zh) 从一基底移除残余物的方法
JP2020503677A (ja) 低誘電率エッチングプロセスの間の側壁ダメージを最小化する方法
CN101063821A (zh) 去除刻蚀残留物的方法
CN1551294A (zh) 使用非晶硅碳罩幕蚀刻铝层的方法
KR101075045B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
Hess et al. Plasma stripping, cleaning, and surface conditioning
JP7456023B2 (ja) リソグラフィ用途のフォトレジスト層上への炭素の選択的堆積
CN100468652C (zh) 在半导体基底的金属结构表面去除残余物的方法
KR20220030906A (ko) 선택적 이방성 금속 에칭

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Open date: 20070905