CN1574203A - 从一基底移除残余物的方法 - Google Patents

从一基底移除残余物的方法 Download PDF

Info

Publication number
CN1574203A
CN1574203A CNA2004100457691A CN200410045769A CN1574203A CN 1574203 A CN1574203 A CN 1574203A CN A2004100457691 A CNA2004100457691 A CN A2004100457691A CN 200410045769 A CN200410045769 A CN 200410045769A CN 1574203 A CN1574203 A CN 1574203A
Authority
CN
China
Prior art keywords
substrate
hydrogen
aqueous solution
plasma
residue
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2004100457691A
Other languages
English (en)
Inventor
瑞颖
颜春
丁国文
艾瑞儿斯·苏珊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1574203A publication Critical patent/CN1574203A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • AHUMAN NECESSITIES
    • A47FURNITURE; DOMESTIC ARTICLES OR APPLIANCES; COFFEE MILLS; SPICE MILLS; SUCTION CLEANERS IN GENERAL
    • A47GHOUSEHOLD OR TABLE EQUIPMENT
    • A47G21/00Table-ware
    • A47G21/14Knife racks or stands; Holders for table utensils attachable to plates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • AHUMAN NECESSITIES
    • A45HAND OR TRAVELLING ARTICLES
    • A45CPURSES; LUGGAGE; HAND CARRIED BAGS
    • A45C11/00Receptacles for purposes not provided for in groups A45C1/00-A45C9/00
    • A45C11/20Lunch or picnic boxes or the like
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • AHUMAN NECESSITIES
    • A47FURNITURE; DOMESTIC ARTICLES OR APPLIANCES; COFFEE MILLS; SPICE MILLS; SUCTION CLEANERS IN GENERAL
    • A47GHOUSEHOLD OR TABLE EQUIPMENT
    • A47G2400/00Details not otherwise provided for in A47G19/00-A47G23/16
    • A47G2400/02Hygiene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Nanotechnology (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Optics & Photonics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Composite Materials (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明公开了一种从一基底移除残余物的方法。该残余物通过将该基底暴露于一含氢等离子体中被移除。在该基底被暴露于该含氢等离子体之后,该基底也可以选择性地被浸泡于含氟化氢的一水溶液中。

Description

从一基底移除残余物的方法
技术领域
本发明是有关于制造用于半导体基底的装置的一方法。且特别是有关于从一半导体基底移除残余物的一方法。
背景技术
一般而言,微电子装置,例如集成电路通常被制造于一半导体基底上,其中许多金属层被互相连接以在该装置中达成电子信号的传递。一公知微电子装置制程包括一等离子体蚀刻制程。在等离子体蚀刻(plasma etch)制程中,包含有一金属(例如钽(Ta)、钛(Ti)等等)的一或多膜层,或是一含金属化合物(例如氮化钽(TaN)、氮化钛(TiN)等等),将会部分或完全被移除,以形成该集成电路的一特征(例如内连接线路(interconnect line)或接触介层(contactvia)。
通常,等离子体蚀刻制程中会使用气体化学物质,当该化学物质与例如被蚀刻层或蚀刻罩幕的材质反应时,一般会产生非挥发性副产物(non-volatileby-product)。此副产物会积聚在基底上而形成一残余物。因此,此残余物通常被称为″蚀刻后残余物(post-etch residue)”。蚀刻后残余物会影响该基底后续的制程,例如,该残余物会污染该基底上的膜层,或是影响后续膜层的形成。含金属残余物亦会引起电路的短路而中断或减缓该集成电路的运作。
公知用以移除残余物的方法,通常包括该基底的多重湿式处理(multiplewet treatment),通过使用一含氧化学物质进行一中间体等离子体剥离制程(intermediate plasma strip process)。多重湿式处理以及中间体等离子体剥离制程(亦即,蚀刻与剥离制程),会降低微电子装置制程的生产力(productivity)。此外,含氧等离子体剥离制程也会在基底上形成难以移除的金属氧化物。
因此,在制造微电子装置时,用以从一基底移除残余物的一改良方法是有必要的。
发明内容
本发明是有关于一种从一基底移除残余物的方法。
该残余物通过将该基底暴露于一含氢等离子体中被移除。在该基底被暴露于该含氢等离子体之后,该基底也可以选择性地被浸泡于含氟化氢的一水溶液中。此外,该残余物中,例如至少含有一金属(例如钽(Ta)、钛(Ti)、钨(W)、铪(Hf)等等)。
为让本发明的上述和其它目的、特征和优点能更明显易懂,下文特举一较佳实施例,并配合附图,作详细说明如下。
附图说明
图1为依据本发明方法的一实施例,所绘示之用以移除残余物的一流程图;
图2A到2D为依据图1的方法的一实施例所绘示之,移除具有的一膜堆的一基底上的残余物的剖面示意流程图;
图3为依据本发明的一实施例所绘示的等离子体处理设备的一示意图;以及
图4为依据本发明的一实施例所绘示的使用图3的设备的一制程参数表。标号说明
100:程序          101、102、104、106、108、110:步骤
200:晶圆、基底    202:膜堆
204:蚀刻罩幕      205:抗反射层
210:阻障层        208:含金属层
206:绝缘层        222:未保护区域
224:浅沟槽        216、230:残余物
212:侧壁          228:遗迹
300:ASP反应器     302:制程腔体
306:远程等离子体  308:控制器
310:第一部分        312:第二部分
304:基底台座        316:侧壁
314:真空泵          318:盖子
320:莲蓬头            322:气体混合空间
324:反应空间          360:接地参考端
326:晶圆              328:气体填充灯
330:内建电阻加热器    332、366:导管
334:气体源            316:底部
336:排气端            338:节流阀
340:支持系统          346:微波能量源
344:气体面板          342:远程等离子体腔体
348:微波产生器        350:调整配件
352:涂抹器            362:微波等离子体
368:入口端            370:开口
356:可读取媒体        354:中央处理器
400:制程参数表        402:制程参数
404:制程参数的范围    406:制程参数的值
具体实施方式
本发明是有关于,在制造微电子装置时,用以从一基底(例如,硅晶圆(silicon wafer)、砷化镓(GaAs)晶圆等等)移除残余物的一方法。在本发明的一实施例中,本发明方法可用以移除的蚀刻后残余物至少含有一金属(例如钽(Ta)、钛(Ti)、钨(W)、铪(Hf)等等),或者是上述该些金属的化合物。
图1绘示依据本发明方法的一实施例的用以移除残余物的一流程图。请参照图1,其中程序(sequence)100包括用以处理具有至少一金属层的一膜堆(film stack)的一制程。
图2A到2D绘示依据本发明方法的一实施例的程序100中,移除具有的一膜堆的一基底上的残余物的剖面示意流程图。图2A到2D中的剖面图是有关于用以处理该膜堆的各个的制程步骤。图2A到2D并不是以实际比例绘示,而只是简化的示意图。
程序100,是从步骤101开始。接着,在步骤102中,在一晶圆200(例如图2A所示的硅晶圆)上形成一膜堆202与蚀刻罩幕204。在本发明的一实施例中,膜堆202包括一阻障层(barrier layer)210、一含金属层(metal-containinglayer)208,以及一绝缘层(insulating layer)206。
阻障层210与绝缘层206通常由一介电材料所形成,该介电材料包括,例如氮化硅(silicon nitride,Si3N4)、二氧化硅(silicon dioxide,SiO2)、二氧化铪(hafnium dioxide,HfO2)等等,其厚度大约为30nm到60nm。含金属层208中包括,例如氮化钽(tantalum nitride,TaN)、钽(tantalum,Ta)、钛(titanium,Ti)、钨(tungsten,W)等等,或者是该些材质的化合物,而其厚度大约为60nm到100nm。
膜堆202中的膜层,可以通过任何公知的薄膜沉积制程所形成,例如原子层沉积(atomic layer deposition,ALD)、化学气相沉积(chemical vapordeposition,CVD)、等离子体辅助化学气相沉积(plasma enhanced CVD,PECVD)、物理气相沉积(physical vapor deposition,PVD)等等。微电子装置的制造可以通过使用不同的制程机台(processing reactor),例如CENTURA、ENDURA,以及其它例如应用材料公司(Applied Materials,Inc.of Santa Clara,California)的半导体晶圆制造系统等来形成。
请参照图2A,蚀刻罩幕204被形成于绝缘层206之上。当膜堆202的邻接区域(adjacent region)222被曝光(expose)时,蚀刻罩幕204是用于保护膜堆202的一区域220。通常,蚀刻罩幕204包括一光阻(photoresist)罩幕(mask),可以通过公知的一微影(lithographic)图案化制程(patterning process)所制造。在本发明的一实施例中,一光阻层透过一图案化罩幕被曝光、接着被显影(develop),而未被曝光的光阻则被移除。光阻罩幕204一般的厚度大约为200nm到600nm。
此外,蚀刻罩幕202可以是,例如一硬罩幕(hard mask)。该硬罩幕可以是,例如由二氧化硅(SiO2)、应用材料公司(Applied Materials,Inc.of Santa Clara,California)的先进图案化薄膜(Advanced Patterning FilmTM,APF),或是二氧化铪(HfO2)所形成。
蚀刻罩幕204还可包括,例如以虚线绘示的一抗反射层205(可以选择性地配置或不配置),而可以在光阻曝光时,控制光线的反射。当制程尺寸缩小时,在微影制程中的蚀刻罩幕图案转换制程时,因为本身光学性质的限制,例如光的反射(reflection)等,会产生一不准确度(inaccuracy)。抗反射层205包括,例如,氮氧化硅(silicon oxi-nitride)、聚醯胺(polyamide)等等。
有关蚀刻罩幕204的制程,可参考例如,于2002年9月16日申请的美国专利申请案号(U.S.patent application serial number)10/245,130,其专利代理人案号(Attorney docket number)为7524。以及,于2002年6月8日申请的美国专利申请案号09/590,322,其专利代理人案号为4227。在此一并列入参考。
请参照图2B,在步骤104中,通过等离子体蚀刻移除绝缘层206与含金属层208的未保护区域(unprotected region)222。绝缘层206与含金属层208,可以通过使用含氯(chlorine-based)气体混合物,或是含氟(fluorine-based)气体混合物被蚀刻。含氯气体混合物包括,例如氯气(chlorine,Cl2)、氯化硼(BCl3)以及钝气稀释的气体(inert diluent gas),包括氩气(argon,Ar)、氦气(helium,He)、氖气(neon,Ne)等等至少其中之一,以及少量的含碳(carbon-containing)气体,例如四氟化碳(carbon tetrafluoride,CF4)等等。此外,含氟气体混合物包括,例如四氟化碳(carbon tetrafluoride,CF4)、三氟化氢(CHF3),或六氟化硫(SF6)以及一钝气稀释的气体,包括氩气(argon,Ar)、氦气(helium,He)、氖气(neon,Ne)等等至少其中之一。
在本发明的一实施例中,步骤104使用罩幕204作为一蚀刻罩幕,以及使用阻障层210作为一蚀刻终止层(etch stop layer)。特别是,在蚀刻含金属层208时,蚀刻反应器的蚀刻终点(endpoint)侦测系统可以在一特定的波长监测等离子体发射(plasma emission),以决定蚀刻制程的终点。公知上,如图2所示,蚀刻制程会在阻障层210中形成一浅沟槽(shallow recess)224时终止。浅沟槽224的深度(depth)226大约小于等于15nm,例如,公知上大约是5nm到7.5nm之间。此浅沟槽224会促进含金属层208(例如氮化钽(TaN)在区域222中从阻障层210的移除。
步骤104可以在一蚀刻反应器中被实施,此蚀刻反应器包括,例如应用材料公司(Applied Materials,Inc.of Santa Clara,California)的CENTURA系统的一退耦等离子体源(Decoupled Plasma Source,DPS)反应器。退耦等离子体源反应器使用大约50KHz到13.56MHz的一射频(radio-frequency,RF)电源,以产生一高密度(high density)感应耦接(inductively coupled)等离子体。
在步骤104中,从绝缘层206与含金属层208移除之一部份的材料,会与蚀刻剂(etchant)气体混合物(gas mixture)的成分(例如,含氯或含氟气体等等)结合,或是与蚀刻罩幕204的成分(例如聚合物成分(polymeric component)等等),而形成非挥发性化合物(non-volatile compound)。此非挥发性化合物会被再沉积(re-deposite)在基底200上而形成一残余物216(亦即,蚀刻后残余物(post-etch residue))。在蚀刻制程后,蚀刻后残余物216也可以在蚀刻罩幕204上、膜堆202之侧壁(sidewall)212上,或是基底200的其它地方被发现。
在步骤104中,当一含金属层(例如,层208)被蚀刻时,蚀刻后残余物216也包括了该金属的原子(atom)(例如钽(Ta)、钛(Ti)、钨(W)等等)与/或该金属的化合物(例如,金属氯化物(metal chloride)、金属氟化物(metal fluoride)、金属氧化物(metal oxide)、金属氮化物(metal nitride)等等),在蚀刻制程中被形成。在本发明的一实施例中,此金属化合物包括,例如氯化钽(TaxCly)(此处x与y为整数)、氟化钽(TaxFy)(此处x与y为整数),以及氧化钽(TaxOy)(此处x与y为整数)等。一般而言,含金属蚀刻后残余物,比起其它类型的残余物,更难从基底上被移除。在基底200的后续制程中,残余物216也变成一污染物(contaminant)。
请参照图2C,在步骤106中,蚀刻罩幕204(例如光阻罩幕)与蚀刻后残余物216,从膜堆202与基底200被移除(或是被剥离)。在本发明的一实施例中,罩幕204与蚀刻后残余物216通过使用一含氢等离子体被移除。含氢等离子体包括,例如一或多个含氢气体,包括氢(H2)、水蒸气(H2O)等。含氢等离子体包括,例如一远程等离子体(remote plasma)(亦即,在制程腔体的反应空间(reaction volume)外所激发(excite)的一等离子体),包括例如在大约1GHz到10GHz之间被激发的一微波等离子体(microwave plasma),或者是在大约0.05MHz到1000MHz之间被激发的一射频(RF)等离子体。
步骤106可以在,例如CENTURA系统的一先进剥离与保护(AdvancedStrip and Passivation,ASP)反应器中被实施。先进剥离与保护(ASP)反应器(在图3中有详细的叙述)是为一下游的(downstream)等离子体反应器,其中一微波等离子体被限制成只含有反应性电中性物质(reactive neutral),以被提供到制程腔体的反应空间(reaction volume)。此等离子体限制减少了基底或形成于基底的电路的等离子体相关(plasma-related)的损害。此外,步骤106也可以在应用材料公司(Applied Materials,Inc.of Santa Clara,Califomia)的一DPS反应器或一AXIOM反应器中被实施。AXIOMS反应器也是一远程等离子体反应器,并且在申请于2002黏10月4号的美国专利申请案号10/264,664中有详细的叙述,其代理人案号为6094,在此一并列入参考。
通过使用CENTURA系统,在步骤104完成之后,基底200可以在真空(vacuum)下,从DPS反应器被传送到,ASP、AXIOM或另一DPS反应器中,以进行步骤106。因此,在该制程环境(manufacturing environment)中,基底可以避免在非真空下会形成的污染物。
在本发明之一实施例中,蚀刻罩幕204与蚀刻后残余物216,在ASP反应器中可以通过以下制程参数被移除。其中提供氢(H2)的一流率(flow rate)大约在1000sccm到5000sccm之间,水蒸气(H2O)的一流率大约为50sccm或以下(亦即,一H2∶H2O的流量比的范围包括,大约是100%的H2到20∶1之间)。并可以施加大约2.45GHz的1000W到2000W的一微波能量,并且可以维持晶圆N的温度在大约100℃到300℃之间。并且该制程腔体的一压力大约是介于1Torr与4Torr之间。步骤106的时间通常可以维持大约40秒到200秒之间。在本发明的另一实施例中,氢(H2)的一流率(flow rate)大约为3000sccm,水蒸气(H2O)的一流率大约为30sccm(亦即,H2∶H2O的流量比的大约是100∶1),一微波能量大约为1400W,晶圆的温度大约维持在250℃,并且腔体气压大约为2Torr。
在步骤106中,蚀刻罩幕204与蚀刻后残余物216被剥离(strip)并且被挥发(volatilize)。然而,在步骤106之后,蚀刻后残余物216与蚀刻罩幕204的遗迹(trace)228还可能遗留在膜堆202与基底200上。此外,在本发明的另一实施例中,步骤106的等离子体剥离制程也会产生残余物230的一薄膜(如图2C所绘示)。
如图2D所示,在步骤108中,残余物216或是230,会从膜堆202或是基底200的其它处被移除。在本发明的一实施例中,残余物216或230,可通过将基底200浸泡(dip)于含氟化氢(HF)的一水溶液中被移除。在本发明的一实施例中,该水溶液包括,例如含氟化氢的体积百分比为0.5%到12%之间的一水溶液。该含氟化氢的水溶液还可以包括,例如含硝酸(HNO3)的体积百分比为0.5%到15%之间的一水溶液,或者是含氯化氢(HCl)的体积百分比为0.5%到15%之间的一水溶液。在基底被浸泡于含氟化氢之水溶液之后,公知上该基底可以被去离子水冲洗(rinse)以移除任何有关氟化氢的遗迹。在浸泡时,该含氟化氢水溶液可以被维持在大约10℃到30℃的一温度范围内。该湿式浸泡处理时间通常在1分钟到10分钟之间。在本发明的一实施例中,也可以使用含氟化氢的体积百分比为1%的一水溶液,维持在大约20℃的温度下(亦即室温(room temperature)下)大约5分钟。
在步骤110中,程序100结束了。
在用以从基底移除残余物的本发明方法中,只有一湿式处理(wet treatment)步骤(例如,步骤108),并且此湿式处理步骤,是在基底从制程环境为真空中被移出后所实施。其结果是,与公知残余物移除制程相较之下,程序100可以将制程的产出(throughput)提高大约四倍以上(通过在相同的单位时间中处理多数个晶圆)。
图3绘示依据本发明的一实施例的应用材料公司(Applied Materials,Inc.of Santa Clara,California)的先进剥离与保护(Advanced Strip and Passivation,ASP)反应器300的一示意图,可以被用以实施本发明。ASP反应器300包括一制程腔体302、一远程等离子体源(remote plasma source)306,以及一控制器308。
制程腔体302通常为一真空腔体(vessel),其中包括一第一部分310以及一第二部分312。在本发明的一实施例中,第一部分310包括一基底台座(pedestal)304、一侧壁(sidewall)316以及一真空泵314。第二部分312包括一盖子(lid)318以及一气体分配板(gas distribution plate)(例如一莲蓬头(showerhead))320,用以决定一气体混合空间(mixing volume)322以及一反应空间(reaction volume)324。盖子318与侧壁316通常可以由一金属(例如,铝(aluminum,Al)或是不锈钢(stainless steel)等等)所构成,并且被电性连接到一接地参考端360。
基底台座304用以在反应空间324中支撑一基底(例如晶圆)326。在本发明的一实施例中,基底台座304包括,例如一辐射热源(source of radiant heat)(例如气体填充灯(gas-filled lamps)328),以及一内建电阻加热器(embeddedresistive heater)330与一导管(conduit)332。导管332,在晶圆326被基底台座304支撑的表面,透过一沟槽(groove)(未绘示)从一气体源334提供一气体(例如,氦气)到晶圆326的背面(backside)。该气体可以促进介于晶圆326与基底台座304之间热交换(heat change)。因此,晶圆326的温度可以被控制于,例如20℃到400℃之间。
真空泵314也提供形成于制程腔体302的底部316的一排气端(exhaustport)336。真空泵314被用以维持制程腔体302在一预定的气体压力下,以及从该腔体抽出处理后气体(post-processing gas)与挥发性化合物。在本发明的一实施例中,真空泵314包括,例如一节流阀(throttle valve)338用以控制制程腔体302中的气体压力。
制程腔体302也包括,例如用于夹持(retain)与释放(release)晶圆326、用于侦测制程终点,以及用于内部诊断(internal diagnostic)等的公知系统。该些系统已经被整合于图3绘示的支持系统(support system)340中。
远程等离子体源306包括,例如一微波能量源346、一气体面板(gaspanel)344,以及一远程等离子体腔体342。微波能量源346包括,例如一微波产生器348、一调整配件(tuning assembly)350,以及一涂抹器(applicator)352。微波产生器348通常可以产生大约200W到3000W、频率为大约0.8GHz到3GHz的微波。涂抹器352被连接到远程等离子体腔体342,以将提供给远程等离子体腔体342的一制程气体(或是气体混合物)赋予能量而变成一微波等离子体362。
气体面板344使用一导管(conduit)366来传送制程气体到远程等离子体腔体342。气体面板344(或是导管366)包括以下装置(未绘示),例如质量流(mass flow)控制器(controller)与关闭阀(shut-off valve),以控制每个提供到腔体342的用于蚀刻的气体的气体压力与流率(flow rate)。在微波等离子体362中,制程气体被游离(ionize)并解离(dissociate)以形成反应物种(reactive species)。
该反应物种透过盖子318上的一入口端(inlet port)368被导入混合空间322。为了减少等离子体对晶圆326上形成的装置的损害,在气体透过莲蓬头(showerhead)320上的多数个开口(opening)370到达反应空间324之前,制程气体364的离子物种(ionic species)会在混合空间322中被实质地电中和(neutralized)。
为了增进上述的制程腔体300的控制,控制器308可以包括,例如任意公知上可用于工业模块(industrial setting)以控制多数个腔体与子处理器(sub-processor)的计算机。其中中央处理器(CPU)354的内存(memory)或是计算机的可读取媒体(readable medium)356,可以包括,例如一或多个可读取(readilyavailable)内存例如随机存取内存(random access memory,RAM)、只读存储器(read only memory,ROM)、软盘(floppy disk)、硬盘(hard disk),或是任何其它数字储存形式(digital storage form),并且可以配置于本地(local)或是远程。该支持电路(support circuit)358被连接到中央处理器(CPU)354,用以支持上述公知方法中的处理器(processor)。支持电路包括,例如快速缓冲贮存区(cache)、电源供应器(power supply)、时脉电路(clock circuit)、输入/输出电路以及子系统(sub-system)等。本发明方法通常可以,例如以一软件程序(software routine)储存在,例如内存356中。软件程序也可以藉由一第二中央处理器(CPU)(未绘示)被储存与/或被执行,而第二中央处理器(CPU)可以是一远程系统,并且在由中央处理器(CPU)所控制的硬件之外。
图4为一制程参数表400,列示使用ASP反应器的等离子体剥离制程的制程参数。第402行为用于本发明的实施例的制程参数,第404行为该些制程参数的范围,而第406行为依据本发明的一实施例的用于等离子体剥离制程的制程参数之值。应当注意的是,使用不同的等离子体反应器时会有不同的制程参数值与范围。
本发明可以在其它半导体系统中被实施,其中该些制程参数可以被熟悉此技艺者调整以获得最佳的结果,然而,应当注意,这些程序亦在本发明的专利实施范围内。
虽然本发明已以较佳实施例揭露如上,然其并非用以限定本发明,任何熟习此技艺者,在不脱离本发明的精神和范围内,当可作些许之更动与润饰,因此本发明的保护范围当视权利要求所界定者为准。

Claims (23)

1.一种从一基底移除残余物的方法,其特征在于,包括:
提供在一表面上具有一金属残余物的一基底;以及
将该基底暴露于一含氢等离子体中,以挥发该金属残余物。
2.如权利要求1所述的方法,其特征在于,该金属残余物包括一含金属残余物与一聚合残余物至少其中之一。
3.如权利要求2所述的方法,其特征在于,该含金属残余物中所含的一金属包括钽(Ta)、钛(Ti)、钨(W)、铪(Hf)至少其中之一。
4.如权利要求1所述的方法,其特征在于,该含氢等离子体包括氢(H2)与水蒸气(H2O)至少其中之一。
5.如权利要求1所述的方法,其特征在于,该含氢等离子体的氢(H2)与水蒸气(H2O)的一流量比,包括H2∶H2O为20∶1到100%的H2之一范围内。
6.如权利要求1所述的方法,将该基底暴露于一含氢等离子体中的步骤,其特征在于,包括:
提供氢(H2)与水蒸气(H2O)的一流量比,包括H2∶H2O为20∶1到100%的H2的一范围内;
将该基底保持在100℃到300℃的一温度范围,以及1Torr到4Torr之间的一制程腔体气压下;
施加1000W到2000W、2.45GHz的微波能量,以形成该含氢等离子体;以及
将该基底暴露于该含氢等离子体中40到200秒。
7.如权利要求1所述的方法,其特征在于,在将该基底暴露于一含氢等离子体中的步骤之后,还包括:
将该基底浸泡于含氟化氢的一水溶液中。
8.如权利要求7所述的方法,其特征在于,该水溶液包括含氟化氢的体积百分比为0.5%到12%之间的一水溶液。
9.如权利要求8所述的方法,其特征在于,该水溶液还包括含硝酸(HNO3)的体积百分比为0.5%到15%之间的一水溶液。
10.如权利要求8所述的方法,其特征在于,该水溶液还包括含氯化氢(HCl)的体积百分比为0.5%到15%之间的一水溶液。
11.如权利要求7所述的方法,其特征在于,该基底被浸泡于该水溶液中1到10分钟。
12.如权利要求7所述的方法,其特征在于,将该基底浸泡于含氟化氢的该水溶液的步骤,包括:
将该基底浸泡于含氟化氢的体积百分比为0.5%到12%之间的一离子水溶液中,在10℃到30℃的一温度范围内,维持0.5到5分钟。
13.一种从一基底移除金属残余物的方法,其特征在于,包括:
提供在一表面上具有一金属残余物的一基底;以及
将该基底暴露于一含氢等离子体中,以挥发该金属残余物;以及
将该基底浸泡于含氟化氢的一水溶液中。
14.如权利要求13所述的方法,其特征在于,该金属残余物,包括一含金属残余物与一聚合残余物至少其中之一。
15.如权利要求14所述的方法,其特征在于,该含金属残余物中所含的一金属包括钽(Ta)、钛(Ti)、钨(W)、铪(Hf)至少其中之一。
16.如权利要求13所述的方法,其特征在于,该含氢等离子体包括氢(H2)与水蒸气(H2O)至少其中之一。
17.如权利要求13所述的方法,其特征在于,该含氢等离子体的氢(H2)与水蒸气(H2O)的一流量比,包括H2∶H2O为20∶1到100%的H2的一范围内。
18.如权利要求13所述的方法,其特征在于,该水溶液包括含氟化氢的体积百分比为0.5%到12%之间的一水溶液。
19,如权利要求18所述的方法,其特征在于,该水溶液更包括含硝酸(HNO3)的体积百分比为0.5%到15%之间的一水溶液。
20.如权利要求18所述的方法,其特征在于,该水溶液还包括含氯化氢(HCl)的体积百分比为0.5%到15%之间的一水溶液。
21.如权利要求13所述的方法,其特征在于,该基底被浸泡于该水溶液中1到10分钟。
22.如权利要求13所述的方法,其特征在于,将该基底暴露于一含氢等离子体中的步骤,包括:
提供氢(H2)与水蒸气(H2O)的一流量比,包括H2∶H2O为20∶1到100%的H2的一范围内;
将该基底保持在100℃到300℃的一温度范围,以及1Torr到4Torr之间的一制程腔体气压下;
施加1000W到2000W、2.45GHz的微波能量,以形成该含氢等离子体;以及
将该基底暴露于该含氢等离子体中40到200秒。
23.如权利要求13所述的方法,其特征在于,将该基底浸泡于含氟化氢的该水溶液的步骤,包括:
将该基底浸泡于含氟化氢的体积百分比为0.5%到12%之间的一离子水溶液中,在10℃到30℃的一温度范围内,维持0.5到5分钟。
CNA2004100457691A 2003-05-27 2004-05-26 从一基底移除残余物的方法 Pending CN1574203A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/446,332 2003-05-27
US10/446,332 US20040237997A1 (en) 2003-05-27 2003-05-27 Method for removal of residue from a substrate

Publications (1)

Publication Number Publication Date
CN1574203A true CN1574203A (zh) 2005-02-02

Family

ID=33451019

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2004100457691A Pending CN1574203A (zh) 2003-05-27 2004-05-26 从一基底移除残余物的方法

Country Status (4)

Country Link
US (1) US20040237997A1 (zh)
KR (1) KR20040102337A (zh)
CN (1) CN1574203A (zh)
TW (1) TW200501255A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111566786A (zh) * 2017-12-14 2020-08-21 应用材料公司 蚀刻金属氧化物而蚀刻残留物较少的方法

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7134941B2 (en) * 2002-07-29 2006-11-14 Nanoclean Technologies, Inc. Methods for residue removal and corrosion prevention in a post-metal etch process
US8101025B2 (en) * 2003-05-27 2012-01-24 Applied Materials, Inc. Method for controlling corrosion of a substrate
JP2005260060A (ja) * 2004-03-12 2005-09-22 Semiconductor Leading Edge Technologies Inc レジスト除去装置及びレジスト除去方法、並びにそれを用いて製造した半導体装置
JP2005268312A (ja) * 2004-03-16 2005-09-29 Semiconductor Leading Edge Technologies Inc レジスト除去方法及びそれを用いて製造した半導体装置
US20060032833A1 (en) * 2004-08-10 2006-02-16 Applied Materials, Inc. Encapsulation of post-etch halogenic residue
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US8435895B2 (en) * 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US20090120901A1 (en) * 2007-11-09 2009-05-14 Pixeloptics Inc. Patterned electrodes with reduced residue
US20090293907A1 (en) * 2008-05-28 2009-12-03 Nancy Fung Method of substrate polymer removal
US8721797B2 (en) 2009-12-11 2014-05-13 Novellus Systems, Inc. Enhanced passivation process to protect silicon prior to high dose implant strip
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US10217627B2 (en) * 2013-10-03 2019-02-26 Applied Materials, Inc. Methods of non-destructive post tungsten etch residue removal
CN103646872A (zh) * 2013-11-26 2014-03-19 上海华力微电子有限公司 一种去胶设备
KR101870491B1 (ko) * 2014-03-11 2018-06-22 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치, 기판 처리 시스템, 박막 트랜지스터의 제조 방법 및 기억 매체
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9653507B2 (en) * 2014-06-25 2017-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Deep trench isolation shrinkage method for enhanced device performance
JP6902941B2 (ja) * 2017-06-29 2021-07-14 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
US11094511B2 (en) 2018-11-13 2021-08-17 Applied Materials, Inc. Processing chamber with substrate edge enhancement processing

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3917710A (en) * 1974-05-23 1975-11-04 Eastman Kodak Co Preparation of phytone via the addition of dimethylketene to 4,8-dimethyl-12-oxotridecanal
US5360995A (en) * 1993-09-14 1994-11-01 Texas Instruments Incorporated Buffered capped interconnect for a semiconductor device
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
JP3328416B2 (ja) * 1994-03-18 2002-09-24 富士通株式会社 半導体装置の製造方法と製造装置
DE19654642C2 (de) * 1996-12-28 2003-01-16 Chemetall Gmbh Verfahren zur Behandlung metallischer Oberflächen mit einer wässerigen Lösung
JPH10321610A (ja) * 1997-03-19 1998-12-04 Fujitsu Ltd 半導体装置の製造方法
JPH10326771A (ja) * 1997-05-23 1998-12-08 Fujitsu Ltd 水素プラズマダウンストリーム処理装置及び水素プラズマダウンストリーム処理方法
US5913336A (en) * 1997-07-17 1999-06-22 Ingram; Thomas L. Gasoline dispensing hose
US6599829B2 (en) * 1998-11-25 2003-07-29 Texas Instruments Incorporated Method for photoresist strip, sidewall polymer removal and passivation for aluminum metallization
US6276997B1 (en) * 1998-12-23 2001-08-21 Shinhwa Li Use of chemical mechanical polishing and/or poly-vinyl-acetate scrubbing to restore quality of used semiconductor wafers
US6153530A (en) * 1999-03-16 2000-11-28 Applied Materials, Inc. Post-etch treatment of plasma-etched feature surfaces to prevent corrosion
US6440864B1 (en) * 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
KR100764888B1 (ko) * 2000-07-10 2007-10-09 이케이씨 테크놀로지, 인코포레이티드 반도체 장치용의 유기 및 플라즈마 식각된 잔사의 세척을위한 조성물
US6796314B1 (en) * 2001-09-07 2004-09-28 Novellus Systems, Inc. Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process
US7320942B2 (en) * 2002-05-21 2008-01-22 Applied Materials, Inc. Method for removal of metallic residue after plasma etching of a metal layer
US20040018715A1 (en) * 2002-07-25 2004-01-29 Applied Materials, Inc. Method of cleaning a surface of a material layer

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111566786A (zh) * 2017-12-14 2020-08-21 应用材料公司 蚀刻金属氧化物而蚀刻残留物较少的方法
CN111566786B (zh) * 2017-12-14 2024-03-15 应用材料公司 蚀刻金属氧化物而蚀刻残留物较少的方法

Also Published As

Publication number Publication date
KR20040102337A (ko) 2004-12-04
TW200501255A (en) 2005-01-01
US20040237997A1 (en) 2004-12-02

Similar Documents

Publication Publication Date Title
CN1574203A (zh) 从一基底移除残余物的方法
JP5085997B2 (ja) プラズマエッチング性能強化方法及び装置
TW540114B (en) Substrate cleaning apparatus and method
US7977390B2 (en) Method for plasma etching performance enhancement
JP7314293B2 (ja) リソグラフィ応用のための膜積層体
KR101106882B1 (ko) 높은―k 물질 게이트 구조물을 고온 에칭하는 방법
EP3038142A1 (en) Selective nitride etch
CN101030531A (zh) 用于控制衬底腐蚀的方法
CN101606234B (zh) 蚀刻方法及存储介质
WO2005114715A1 (en) Method for cleaning substrate surface
KR20170022922A (ko) 텅스텐 및 다른 금속들의 원자층 에칭
US8101025B2 (en) Method for controlling corrosion of a substrate
CN1624865A (zh) 以聚合物沉积控制光阻移除处理的关键尺寸的微负载方法
JP2002289596A (ja) プラズマを利用した表面処理装置及び方法
JP2001526461A (ja) 酸化窒化珪素と無機反射防止皮膜をエッチングするための方法
JP2004146787A (ja) 高誘電率材料のエッチング方法及び高誘電率材料の堆積チャンバーのクリーニング方法
JP2001526463A (ja) 基板から有機反射防止皮膜をエッチングするためのシステムと方法
CN1551294A (zh) 使用非晶硅碳罩幕蚀刻铝层的方法
JP2004336029A (ja) 電界効果トランジスタのゲート構造の製造方法
US6080680A (en) Method and composition for dry etching in semiconductor fabrication
JP2005109492A (ja) 処理室の清掃方法
KR101224747B1 (ko) 감소된 에칭률 마이크로-로딩을 갖는 텅스텐 실리사이드에칭 공정
CN100468652C (zh) 在半导体基底的金属结构表面去除残余物的方法
JP2023527694A (ja) リソグラフィ用途におけるフォトレジスト層への炭素の選択的堆積
JP7456023B2 (ja) リソグラフィ用途のフォトレジスト層上への炭素の選択的堆積

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication