JP2001526461A - 酸化窒化珪素と無機反射防止皮膜をエッチングするための方法 - Google Patents

酸化窒化珪素と無機反射防止皮膜をエッチングするための方法

Info

Publication number
JP2001526461A
JP2001526461A JP2000524815A JP2000524815A JP2001526461A JP 2001526461 A JP2001526461 A JP 2001526461A JP 2000524815 A JP2000524815 A JP 2000524815A JP 2000524815 A JP2000524815 A JP 2000524815A JP 2001526461 A JP2001526461 A JP 2001526461A
Authority
JP
Japan
Prior art keywords
gas
layer
etching
oxygen
fluorine
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2000524815A
Other languages
English (en)
Inventor
パヴェル イオノヴ,
スン, ホー キム,
ディーン リー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001526461A publication Critical patent/JP2001526461A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 半導体パターンニング積層体(100)のプラズマエッチング方法に関する。パターンニング積層体は、誘電含有反射防止材料(120)か、酸素含有材料(120)の少なくとも1層を含む。誘電体含有反射防止材料(120)は酸素含有材料だろうが、これに限定される必要はない。実施の形態の一つでは、反応によって、誘電体を含む反射防止材料(120)または酸素含有材料と、近傍或いは下地の材料層(118)との両方のプラズマエッチングが可能となる。他の実施の形態では、誘電体含有反射防止材料(120)または、酸素含有材料は、一つの反応を用いてエッチングされる一方、近傍或いは下地の材料層(118)は、他の反応を使ってエッチングされるが、同じプロセスチャンバで行われる。特に興味深いのは酸化窒化珪素であり、それは反射防止材料として機能する酸素含有材料である。実施の形態では、炭素のソースと適当なハロゲン含有プラズマの使用を可能とし、より限定された酸素含有量しか含まない他の材料と比べて、酸素含有材料の選択性があるエッチングを達成する。

Description

【発明の詳細な説明】
【0001】
【発明の背景】
1.発明の分野 本発明は、酸化窒化珪素及び他の酸素を含有量する材料をエッチングする方法
に関する。更に本方法は、無機反射防止皮膜(ARC)材料に適用できる。酸化
窒化珪素は、普通、遠紫外線(DUV)フォトレジストと組み合わせて反射防止
皮膜として使われる。
【0002】 2.背景技術の詳細な説明 半導体デバイス製造の分野では、より短い波長の紫外線照射を利用したDUV
フォトレジストが開発され、伝統的な、いわゆるI線フォトレジストで行なえる
よりも小さな寸法の電子的及び光学的デバイスのパターンニングが可能になって
きている。一般に、フォトレジストは、後続の処理ステップでパターンを施すた
めに種々の材料の積層体上に適用される。積層体の層のあるものは、機能デバイ
スの一部となる下地層のパターンニングプロセス中に消失する。フォトレジスト
の空間的分解能を利用するためには、フォトレジスト露光中に積層体内の他の層
からの反射を抑制するために、フォトレジストの下に重なる反射防止皮膜(AR
C)層を使うことが必要である。こうして、ARC層によって、フォトレジスト
のパターンニングは、精確なパターンの写像を提供することが可能となる。
【0003】 最も普通に使われるARC材料は、窒化チタンであるが、多数のその他の材料
がDUVフォトレジストと組み合わせて使うために提案されてきた。例えば、1
995年8月15日にTaft他に付与された米国特許第5,441,914号は、
窒化珪素反射防止層の使用を記載し、1996年6月11日にManiar他に付与さ
れた米国特許第5,525,542号は、窒化アルミニウムの反射防止層の使用
を開示している。1996年7月23日にRoman他に付与された米国特許第5, 539,249号は、珪素リッチの窒化珪素の反射防止層の使用を記載している
。1997年6月3日にJoshi他へ付与された米国特許第5,635,338号 は、ある種類の珪素含有量材料を記載しており、その材料は、放射線誘起による
ガラス化合物への転化によるパターンニングに関し、紫外線と遠紫外線に、特別
な感度を呈する。Joshi他は、非晶質珪素のような反射防止皮膜及びシクロへプ タトリエンから生じるプラズマ重合有機反射防止皮膜の使用を推奨している。1
997年5月27日にYang他へ付与された米国特許第5,633,210号は、
窒化チタン材料、酸化珪素材料、及び酸化窒化珪素材料から選択した反射防止皮
膜材料の使用を開示している。
【0004】 最近、DUVフォトレジストと組み合わせて良好に機能する能力によって、反
射防止皮膜として酸化窒化珪素を使用することに注目が高まってきている。酸化
窒化珪素は、典型的には、(制限するつもりはないが)SiOxyzの化学式 を有し、ここでxは0から約2までの範囲、yは0から約1までの範囲、zは0
から約1までの範囲をとる。酸化窒化珪素ARC層の組成を変えることによって
、フォトレジスト層への写像中にフォトレジストへの反射を制御することができ
る.ARCとしてSiOxyzを使う時には、x、y、及びzは、約0.2と 約0.5の間の範囲をとるのが普通である。
【0005】 ARCとしての酸化窒化珪素は、下地層からの反射の効果的な抑制を可能にす
る一方、フォトレジストパターンニングにおいてフォトレジストポイズニングと
して知られる望まれざる影響を防ぐ卓越した化学特性を提供する。フォトレジス
トポイズニングは、フォトレジストの下に重なる表面と水分との反応によりアミ
ノ基グループ(NH2−)を形成することを指し、このアミノ基グループは、フ ォトレジスト現像を受け持つ光誘起された酸と反応する。アミノグループによる
酸の不活性化は、窒化チタンのようなあるARC材料上での「フット(foot
)」(基板直上で、フォトレジスト線を広げること)形成を受け持つと考えられ
る。
【0006】 本発明は、酸化窒化珪素層へのパターン転写のためのドライエッチング技法の
適用の詳細に取り組むものである。しかしながら、酸化窒化珪素層のドライエッ
チングのために開発された概念は、その他の酸素含有量基板のドライエッチング
にも適用する。
【0007】 反射防止膜として使われる酸化窒化珪素層に関し、そのような用途において、
パターン転写用材料の典型的な積層体には、以下が含まれるだろう。すなわち、
基板、これは、下地デバイス層から金属間接続層(エッチング積層体のプラズマ
エッチング時にパターンニングされることになる)を分離するために使用される
誘電体層である。バリア層、これは、導体層と基板の間の材料の拡散を防ぐ。導
体層、これは、典型的にはアルミニウム或いはその合金である。反射防止膜(A
RC)、これは、リソグラフィステップでの露光中にフォトレジストへの戻り反
射を減らして、より良好なパターン再生を可能にする。そして、フォトレジスト
層、これは、下地層への転写のためのパターンを提供するために写像されている
【0008】 その後、ARC層、導体層、及びバリア層を含む完成したエッチング積層体内
で、全層を通じて現像されたフォトレジストからパターン転写するためには、ド
ライで、プラズマベースのエッチングプロセスを行うことが望ましい。金属含有
量積層体のエッチングは、伝統的には、窒化チタンのようなARC層を有するエ
ッチング積層体を使って金属エッチングチャンバ内で実行される。しかしながら
、酸化窒化珪素が、誘電体材料なので、そのパターンニングは、伝統的には誘電
体エッチングチャンバで予定され、一つのプロセスチャンバから他のプロセスチ
ャンバへ基板を移動することにより、全体プロセスの生産性を低下させる。
【0009】 本発明は、酸化窒化珪素ARCのような誘電体含有量ARC層を、金属を含有
量するその他の積層体のエッチングで使用するのと同じプロセスチャンバでエッ
チングすることを可能にする方法を詳述する。本発明者らは、有機ベースフォト
レジスト上の酸化窒化珪素ARC層にとって十分な選択性を提供するプラズマエ
ッチングプロセスを開発した。更に、ARC層と6層の金属含有量積層体を貫通
する優れたパターン転写を提供しつつ、酸化窒化珪素ARC層にとって良好なエ
ッチングレートを獲得した。
【0010】
【発明の概要】
本発明は、半導体パターンニング積層体をプラズマエッチングするための方法
に関する。パターンニング積層体は、誘電体含有量反射防止材料または酸素含有
量材料のいずれかを含む少なくとも1層を含む。多くの例では、誘電体含有量反
射防止材料は、酸素含有量材料となるだろうが、このような材料に限定される必
要はない。本方法の好ましい一実施の形態では、化学的処理によって、誘電体含
有量反射防止材料または酸素含有量材料層と、近接した或いは下地の材料層との
両方のプラズマエッチングが可能となる。本方法の他の好ましい実施の形態では
、誘電体含有量反射防止材料或いは酸素含有量材料の層は、一つの化学的処理を
使ってエッチングされる一方、近接した或いは下地の層は、他の化学的処理を使
ってエッチングされ、同一プロセスチャンバで行われる。特に興味深いものは、
酸化窒化珪素であり、それは、反射防止材料として機能する酸素含有量材料であ
る。 本方法の好ましい実施の形態は、炭素のソースと適当なハロゲン含有量プラズマ
とを使って、他の材料と比べて、より多い限定量の酸素を含有量する酸素含有量
材料のエッチングを選択的に行うことを可能にする。 本方法の極めて好ましい実施の形態では、酸化窒化珪素の膜がプラズマエッチン
グされ、フッ素含有量プラズマを使って、フォトレジストのような酸素含有量量
の低い材料の膜に比べて良好な選択性が得られる。好ましくは、フッ素含有量プ
ラズマは、炭素のソースを含む。フッ素と炭素の両方を提供する材料の例には、
CHF3、CF4、CF3Cl、C24、C26、及びそれらの組み合わせのよう なフルオロカーボンが含まれる。フルオロカーボンは、プラズマのハロゲン含有
量量を増加させる他のガス、限定はしないが、例えば、Cl2、F2、HF、HC
l、NF3、或いはSF6と組み合わされてもよい。このような他のガスの添加は
、酸化窒化珪素のエッチングレートと、場合によっては、選択性を高めるのに役
立つ。ハロゲン含有量を高めるために使われるガスが、例えば塩素のようなフッ
素以外のハロゲンを含む場合、TiNxバリア層のような、その他の積層体層の 材料のエッチングレートも高められる。フルオロカーボンプラズマへ塩素を添付
することは、酸化窒化珪素とともにこのようなバリア層材料のエッチングを促進
する一方、フォトレジストのような酸素の少ない材料のエッチングを抑制する。
本発明者らは、パターンニングフォトレジストを上回る選択性を酸化窒化珪素に
提供しつつ、予期せぬほどの高いエッチングレートを提供するプラズマエッチン
グガスの好ましい組み合わせを発見した。。プラズマエッチングガスの好ましい
組み合わせはCHF3とCl2であり、ここでCHF3のCl2に対するガス流量比
は、約2:1と約1:3の間の範囲であり、ここで約2:1の比が、フォトレジ
ストに対する酸化窒化珪素の選択性を高めるために推奨され、より高い含有量の
塩素が、酸化窒化珪素層と、金属または窒化チタンのような高融点金属を含む下
地層との両方のエッチングのために推奨される。CHF3ではなくCF4を使用す
れば、酸化窒化珪素を選択的にエッチングするためには、より少量のCl2しか 必要とせず、CF4だけで十分であろう。更に、珪素のエッチングレートは、塩 素よりむしろフッ素に依存するので、CF4の使用により、酸化窒化珪素のエッ チングレートを高め、CHF3での場合に比べて、選択性の改善をもたらすこと ができる。
【0011】
【好ましい実施の形態の詳細な説明】
多層基板上の酸化窒化珪素及び他の酸素含有量層の選択的エッチングの方法が開
示された。本方法は、ハロゲン化炭素含有エッチングプラズマ、詳細にはフルオ
ロカーボン含有エッチングプラズマ内で、このような酸素含有基板の選択的エッ
チングのために提供される。最終的には、酸化窒化珪素の選択的エッチングのた
めの好ましい実施の形態が説明される。 エッチングプラズマ内に存在する炭素とハロゲンから誘導されたポリマー膜は、
エッチング処理中に珪素と酸化珪素の表面に堆積することが観察されていた。例
えば、S.Arai他によるJapanese J.of Appl.Phys.,Part1,V.31,2011-2019(1992)を
参照されたい。 本発明者らは、アルミニウム導体層のプラズマエッチングに関して、多層エッチ
ング構造において酸化窒化珪素を反射防止膜として使用することを望んでいた。
酸化窒化珪素をエッチングするために本発明者らは、フルオロカーボン含有プラ
ズマを用いた。本発明者らは、酸化窒化珪素のエッチング中にポリマー膜が基板
表面に堆積を続けることを明確にしていなかったが、有り得ることと疑いを持っ
ていた。エッチングプロセスの開発中に、本発明者らは、プロセスチャンバへ供
給されるガス中の炭素の対するフッ素の原子数比を調整することで、多層エッチ
ング構造(積層)のパターンニングに使用されるフォトレジストに比べ、酸化窒
化珪素のエッチングの選択性を調整できることを発見した。 酸化窒化珪素のエッチングの選択性は、フッ素含有量ポリマーの形成を通じ改善
されるようである。酸化されない材料の表面にポリマーが蓄積して、これらの表
面上のエッチングを低下させる一方、酸化窒化珪素の表面上で、以下の反応が起
こると本発明者らは考えている。: (−CFn−)w(s)+SiOxyz(s) →(−CFn−)w-1(s)+CO 及び/または、CO2(g)+ SiF4(g)+(NH/N2/H2O/H2/HX/X2・・・)(g) ここで、(−CFn−)wは、ポリマー層である。 この反応は高揮発性の副成物を生み出す一方、酸化窒化珪素のエッチングと同時
にポリマーを消費する結果となる。これら副成物は、後に真空ポンプで排気ガス
として除去される。 エッチング選択性を最大にするために、プラズマ中の炭素とフッ素の適当なバラ
ンスが、エッチングプロセスチャンバへ注入されるガスの流量比を調整すること
で得られる。このような調整は、普通、以下のオプションから選ぶことで行う。
つまり、主なガス供給を、炭素とフッ素の原子数比が特定の値になるように選ぶ
。ここで、比の調整は、CHF3、CF4、C24、C26、或いはその組み合わ
せ等のガスから選択することで行う。プラズマ中で炭素と結合し、ポリマー形成
を抑制する酸素または他の酸化剤を加える。ポリマー形成を促進する必要がある
時は、COのような炭素ソースとして働くガスを添加する。ここで述べておくべ
き点は、フォトレジストがエッチングチャンバ内にある場合、プラズマエッチン
グプロセス中の腐食によりかなりの量の炭素が生み出され、供給ガスの組成をフ
ォトレジストのパターン密度、そして場合によってはフォトレジストの種類に関
係させて、調整しなければならないことである。
【0012】 本発明者らは、フルオロカーボンを含むプラズマ供給ガスにCl2、F2、HF
、HCl、或いはSF6(好ましくは、Cl2及びSF6)のようなアシストのハ ロゲン含有量ガスを加えることで、酸化窒化珪素のエッチングレートに著しい増
加をもたらした。このガスのリストは、プラズマ中のハロゲン原子の供給ソース
として働く他のガスを含むように拡張できる。このようなアシストガスを使う便
益は倍化する。酸化窒化珪素のエッチングが、金属エッチングチャンバ内で実施
される場合、そして、これは、酸化窒化珪素が金属積層内のARC層として使わ
れる時に望ましいのだが、このようなハロゲン含有量ガスを、金属エッチングの
目的でエッチングシステムに接続することが適当である。また、フッ素以外のハ
ロゲンを加えることは、プラズマに曝された他の材料のエッチングレートを制御
することに柔軟性を付加するようである。例えば、窒化チタンバリア層のエッチ
ングは、フッ素より塩素を使うのが良好であり、フルオロカーボン含有プラズマ
に塩素を添加することは、酸化窒化珪素のエッチングと同時にこの材料のエッチ
ングを促進する一方、近くのフォトレジストのエッチングは、その表面上にポリ
マー或いはハロゲン化炭素の核種が存在することによって抑制される。
【0013】 本発明者らは、プラズマエッチングガスの組み合わせに好ましいものを見出し
たが、それは、パターンニングするフォトレジストを上回る選択性を酸化窒化珪
素に提供する一方で、予期しない程に高いエッチングレートを与える。プラズマ
エッチングガスの好ましい組み合わせは、CHF3とCl2であり、ここで、CH
3のCl2に対するガス流量比は、約2:1と約1:3の間であり、ここで、フ
ォトレジストに対する酸化窒化珪素の選択性を高く得るために、約2:1の比が
推奨され、酸化窒化珪素と窒化チタンのようなバリア層とを同時にエッチングす
るためには、より高い含有量の塩素が推奨される。期待されることは、CHF3 ではなくCF4を使えば、酸化窒化珪素を選択的にエッチングするために、より 少ないCl2しか必要としなくなり、CF4だけで十分となりそうな点である。更
に、珪素のエッチングレートは、塩素よりもフッ素に依存するので、CF4を使 えば、酸化窒化珪素のエッチングレートを高めて、CHF3に比べ選択性の改善 が可能である。
【0014】 酸化窒化珪素の首尾よいエッチングに鑑みて、ハロゲン化炭素ベースのエッチ
ングプラズマにおいては、上記方法及び以下の拡張された方法を使って、本質的
に少量か、或いは全く酸素を含まない近接層を上回る、酸素含有層の選択性を改
善することが可能であると本発明者らは考えている。
【0015】 詳細には、炭素ソースと適当なハロゲン含有プラズマを使って、酸素を含まな
い、或いは本質的に少量の酸素しか含まない材料と比べ、酸素含有材料の選択的
なエッチングを達成することができる。酸素リッチの基板と、ポリマー膜或いは
その表面にあるハロゲン化炭素を含有する核種との間で、以下の反応が起こると
本発明者らは考えている: (−CXn−)y(s)+ROm(s) →(−CXn−)y-1(s)+CO 及び/またはCO2(g)+wXk(g) ここで、(−CXn−)yはポリマー層或いはハロゲン含有核種で、XはF、Cl
、Br、或いはI等のハロゲン;ROmはSiOxyz、SiO2,或いはTiOx Ny等の酸素含有材料;wXkはSiF4、TiF4或いはTiCl4等のハロゲン化
エッチング副成物;及び、(s)と(g)は固体と気体の状態を各々表す。
【0016】 この反応の全体的な結果は、ポリマーつまり、ハロゲン化炭素含有核種)の一
部が基板材料と反応して気体生成物を作り、その後、排気ガスと一緒にプラズマ
プロセスチャンバから除去することが可能となることである。こうして、酸素含
有材料はエッチングされる一方、酸素を含有しない材料のエッチングは、阻止さ
れる。ハロゲン化副成物wXkが可能な最大蒸気圧を持つように適当なハロゲン を選ぶことによって最良の結果が得られる。
【0017】 I.定義 詳細な説明の前置きとして、本明細書及び付帯する特許請求の範囲で使用され
るように、単数形「a」、「an」、「the」は、他に明確に記載のない限り、複数の対象を含 むことに注意されたい。従って、例えば、「a semiconductor」という言葉は、半導体 の動作特性を持つことで知られる様々な異なる材料を含む。「a conductive mater
ial」は、記載の用途に適するであろう他の導体材料と同様に、アウミニウム、銅
、プラチナ、イリジウム、ルビジウム、及び、それらの組み合わせ、及びそれら
の合金を含む。
【0018】 本発明の記述にとって特に重要な特定の用語を以下に定義する: 用語、「アルミニウム」は、半導体産業で典型的に使用される類のアルミニウム
の合金を含む。このような合金には、例えば、アルミニウム−銅合金、及びアル
ミニウム−銅−珪素合金が含まれる。アルミニウムのこのような合金は、典型的
には、約0.5%の銅を含む。更に、当該技術では周知であるが、アルミニウム
はアルミニウム酸化物の表面層を示す。
【0019】 用語、「反射防止皮膜」、「反射防止層」、または「ARC」は、電磁放射線
の反射を減らすために表面に与えられた材料を含む。
【0020】 用語、「デカップルプラズマソース」または本明細書で使う「DPS」は、R
Fパワーの誘導結合のための別々の制御部を持つプラズマエッチング装置のこと
であって、各制御部は、プラズマ密度(ソースパワー)と基板表面をイオンが叩
くエネルギとを制御するバイアスパワーを制御する。
【0021】 用語、「誘電体ARC」或いは「誘電体含有ARC」は、誘電体材料を含むA
RCのことである。
【0022】 用語、「フィーチャ」は、金属配線、トレンチ,及び誘電体層内の開口部、及
び基板表面のトポグラフィを構成するその他の構造のことである。フィーチャサ
イズでは、ウェーハ上での最小のフィーチャサイズを指すことが多い。
【0023】 用語、「イオン衝撃」は、イオンと表面の衝突のことである。イオンは、プラ
ズマに曝された表面近傍で電界により表面方向で加速される。
【0024】 用語、「プラズマ」は部分的にイオン化したガスのことであり、ほぼ同数の正
負の電荷を含むとともに、それとは別の個数非イオン化ガス核種を含む。
【0025】 用語、「選択性」は、a)二つの材料のエッチングレートの比;及びb)一方
の材料のエッチングレートが他方の材料と比べて増加したときのエッチング中に
得られる条件の言及に使う。留意することは、エッチングプロセスで使われる積
層体には多種類の材料が含まれる点である。
【0026】 用語、「ソースパワー」は、エネルギの主要部をプロセスチャンバ内の中性核
種をイオン化するために備え、プラズマを維持するために役割の大きいパワーを
指す。
【0027】 用語、「積層」或いは「エッチング積層体」は、上下に重なった異なる材料の
堆積層の集合であって、少なくとも、積層体の一部がエッチングプロセス中にエ
ッチングされる。「金属積層体」は、金属エッチングプロセスの完了により基板
上で金属を含むフィーチャとなる積層のことである。
【0028】 用語、「基板」は、半導体材料、ガラス、セラミックス、ポリマー材料、及び
半導体産業で使う他の材料のことである。
【0029】 用語、「垂直プロファイル」は、フィーチャプロファイルのことであり、ここ
では、フィーチャ断面が、フィーチャが立ち上がっている下の表面に直角な側壁
を呈する。或いは「正プロファイル」は、フィーチャが立ち上がっている下の表
面では、表面から離れたところに比べ、フィーチャ断面の幅が大きい形状のこと
をいう。
【0030】 II.本発明の実施のための装置 本明細書に記載のエッチングプロセスの好ましい実施の形態は、カルフォルニ
ア州サンタクララのアプライドマテリアルズ社から入手できるセンチュラ(Ce
ntura(商標))一体型処理システムで実行された。このシステムは、米国
特許第5,186,718に示されているが、その開示内容は引用により、本明
細書中に組入れる。この装置は、Yan Ye他が、the Proceedings of the Eleventh
International Symposium of Plasma Processing,1996年5月7日号、及びthe Elec
trochemical Society Proceedings、(1996)96巻の12、pp222-233で発表している類
のデカップルプラズマソース(DPS)を含んでいる。プラズマプロセスチャン
バは、直径8インチ(200mm)の珪素ウェーハの処理を可能とする。
【0031】 図4にプロセスチャンバの概略を示す。これは、エッチングプロセスチャンバ
410であって、少なくとも一つの誘導コイルアンテナセグメント412を含む
ように作られている。ここで、誘導コイルアンテナセグメント412はエッチン
グプロセスチャンバ410の外側に置かれていて、高周波(RF)パワー発生装
置418(ソースパワー発生装置で、異なるプラズマ条件でインピーダンスを整
合するために2MHzの周りで同調可能な周波数を有する。)に接続されている
。プロセスチャンバの内側には、基板414支持ペデスタル(陰極)416があ
り、これは、インピーダンス整合ネットワーク424を通じて、RF周波数パワ
ー発生装置422(周波数が13.56MHzに固定のバイアスパワー発生装置
)と、電気的接地434の役割をする導電性のプロセスチャンバ壁430とに接
続される。
【0032】 半導体基板414は、支持ペデスタル416上に置かれ、ガス成分が入力口4
26を通じてプロセスチャンバに供給される。プロセスチャンバ410内で、R
Fパワー418と422を加えることでプラズマを点火する。エッチングプロセ
スチャンバ410の内側の圧力は、真空ポンプ(図示されない)と、プロセスチ
ャンバ410と真空ポンプの間にあるスロットルバルブ427とを使って制御さ
れる。エッチングプロセスチャンバの壁の表面温度は、エッチングプロセスチャ
ンバ410の壁に配置された液体を含む導管(図示されない)を使って制御され
る。半導体基板の温度は、支持ペデスタルの温度を安定化すること、及び、基板
の背面と、ペデスタル416の表面上の溝(図示されない)とによって形成され
たチャネルへ、ヘリウムガスを流すこととにより制御される。ヘリウムガスは、
基板とペデスタル間の熱移動を促進するために使われる。エッチング処理の間、
基板表面は、処理条件にもよるが、基板支持プレートの温度よりほぼ25〜40
℃高い定常状態の温度までプラズマにより徐々に加熱される。見積によると、基
板表面温度は、典型的には、本発明者らの実験のほとんどで75℃付近であった
。エッチングプロセスチャンバ410の壁の表面は、前記冷却導管を使い約80
℃に維持された。
【0033】 III.酸化窒化珪素のエッチング例 3セットの実験が行われた。第1は、エッチング化学反応の基本的効果を、パ
ターンのない酸化窒化珪素及びパターンのないI線フォトレジストェーハをエッ
チングすることで評価した。選択性を見積もるためエッチングレートが比較され
た。(フォトレジスト及び酸化窒化珪素は、プラズマ中に同時には置かなかった
)CHF3、Cl2、SF6、BCl3、およびその組み合わせがこの試験で評価さ
れた。得られたエッチングレートを、以下の表1に掲載する。エッチング条件は
次の通りである。プラズマソースパワーは1400W;バイアスパワーは130
W;プロセスチャンバ圧力は13mTorr;基板支持プラテン温度は45℃;
支持プラテン背面ヘリウム圧力は10Torr;通常リークは、3〜6sccm
;エッチングは40秒間であった。この実験は、CHF3とCl2を一緒に使うこ
とで、選択性とエッチングレートの最も有望な組み合わせが得られた。
【0034】
【表1】 実験の第2セットでは、ニ酸化珪素の表面に付着された厚さ約3500オング
ストロームの酸化窒化珪素層にパターンエッチングを行った。パターンは、下限
約0.25μmまでのフィーチャサイズを有する線及び間隔であり、それは、D
UVフォトレジスト(約6500〜7000オングストロームの厚さのShip
ley UV−5)のパターンニングされた層から転写された。基板は、走査型
電子顕微鏡で検査することで、パターン形状を特定し、エッチングレートと選択
性を見積もった。詳細を、表IIとIIIに記載する。
【0035】
【表2】 フォトレジスト表面からプラズマ中に放たれる炭素の量が増加することによって
、酸化窒化珪素は、使用された処理条件(最初の実験に付いて上述したと基本的
に同一条件)の下ではCHF3自体ではエッチングされないことが分かった。エ ッチングレートは、パターンの無い酸化窒化珪素のエッチングレートに比較して
、フォトレジスト線から離れた基板の領域(オープン領域)で約3分の1に、線
間では、約10分の1に減少した。この状況は、その後プラズマガス供給にCl 2 を加えることで改善された。特に、加えたCl2は、全体のガス流量の約33体
積%から約75体積%までで設定された。
【0036】
【表3】 第3セットの実験では、アルミニウム金属層と窒化タンタルバリア層を含む珪
素ウェーハ基板上の全金属積層体をエッチングした。形状は、走査型電子顕微鏡
を使って検査した。第3セットの実験の結果を、下記の実施例に掲載する。
【0037】 図1は、パターンニング手段としてDUVフォトレジストを使ってアルミニウ
ム導体層を0.25μm以下のフィーチャサイズまでパターンニングするための
エッチング積層体100の典型的でかつ好ましい実施の形態を示す。特に、基板
110は、シリコンウェーハ表面(図示されない)を覆う二酸化珪素の層である
。バリア層は、厚さ300オングストロームのチタン層112とそれに続く厚さ
500オングストロームの窒化チタン層114から成る二重層である。窒化チタ
ンバリア層114を覆って、0.5%の銅を含み、厚さ5,200オングストロ
ームのアルミニウム合金層116がある。アルミニウム合金層116を覆って、
厚さ300オングストロームの第2チタン層117と、その上に厚さ500オン
グストロームの窒化チタン層118がある。第2窒化チタン層118を覆って、
厚さ約300オングストロームの酸化窒化珪素層120がある。酸化窒化珪素層
120を覆って、パターンニングされたDUVフォトレジスト121の層があり
、そこでは、パターンは線と間隔であり、線と間隔は、約0.2μm以上で幅を
変えたもの122を有し、高さ124が約0.7μm(7,000オングストロ
ーム)の<高さ>と高さ124を有している。ここに記述の好ましい実施の形態
では、DUVフォトレジストは、マサチュセッツ州のマールボロのShiple
y社製造のUV−5であった。本発明者らは、日本の東京の信越化学工業製のD
UVフォトレジストも評価し、同様の方法で行った。
【0038】 実施例1: 本実施例は、3ステップエッチングのためのものであり、SiOxyARC層 がステップ1で、下地のTiNとTiバリア層がステップ2で、アルミニウム合
金層と下地のTiNとTiバリア層がステップ3でエッチングされる。図2Aか
ら2Dに関して、図2Aは、3ステップエッチング中の、積層体200(図1に
関して記載の100と同様の積層体)の好ましい実施の形態の概略断面形状を示
す。
【0039】 図2Bは、第1エッチングステップ後のエッチング積層体の概略断面形状を示
し、第1エッチングステップでは、パターンは、DUVフォトレジスト層から酸
化窒化珪素層220を通じて転写されるが、第2窒化チタン層218の上部表面
で止まっている。第1エッチングステップの実施に使用するエッチング条件は、
以下の通りである。ソースパワーは1400W;バイアスパワーは100W;プ
ロセスチャンバ圧力は12mTorr;基板支持プラテン温度は約45℃;ガス
流量はCHF3が50sccm/Cl2が25sccm;及びウェーハ背面ヘリ ウム圧力は3〜6sccmの典型的リークで12Torrである。エッチング時
間は5秒であった。
【0040】 図2Cは、第2エッチングステップ後のエッチング積層体の概略断面形状を示
し、第2エッチングステップで、パターンは、酸化窒化珪素層220の下に重な
る第2窒化チタン層218を通じて転写されるが、アルミニウム層216の上部
表面で止まっている。第2エッチングステップの実施に使用されたエッチング条
件は、バイアスパワーが50W、ガス流量は、CHF3が15sccmでCl2
90sccmであることを除けば、第1ステップと同じであった。エッチング時
間は12秒だった。
【0041】 図2Dは、第3エッチングステップ後の積層体の概略断面形状を示し、第3エ
ッチングステップでは、パターンは、アルミニウム層116、第1窒化チタンバ
リア層214、第1チタンバリア層212を通じて転写されるが、二酸化珪素層
210の頂部へわずかにオーバーエッチング226を伴って止まっている。第2
エッチングステップの実施に使用されたエッチング条件は以下の通りであった。
プラズマソースパワーは1100W;バイアスパワーは130W;プロセスチャ
ンバ圧力は8mTorr;基板支持プラテン温度は45℃;ガス流量は、CHF 3 が5sccmでCl2が80sccmでBCl3が40sccm;及びウェーハ 背面ヘリウム圧力は、3〜6sccmの典型的リークで12Torrである。エ
ッチングは、396nm(アルミニウム及びチタニウム線)での終点検出信号が
バックグランド値を越えて無くなった時間の他に10秒を加えた時間行った。
【0042】 実施例2: 本実施例は、2ステップエッチングの実施例で、ここでは、SiOxyARC
層と下地の窒化チタン及びチタンバリア層がステップ1でエッチングされ、アル
ミニウム層とアルミニウム層の下に重なる窒化チタンとチタン層がステップ2で
エッチングされる。図3Aから3Cに関して、図3Aは、二つのエッチングステ
ップのための積層体300(図1に関し記述した100と同様の積層体)の好ま
しい実施の形態の概略断面形状を示す。図3Bは、第1エッチングステップ後の
エッチング積層体の概略断面形状を示し、第1エッチングステップで、パターン
は、DUVフォトレジスト層321から酸化窒化珪素層320、第2窒化チタン
バリア層318、及び第2チタン層317を通じて転写されるが、アルミニウム
層316の上部表面で止まっている。第1エッチングステップの実施に使用する
エッチング条件は、以下の通りであった。ソースパワーは1400W;バイアス
パワーは70W;プロセスチャンバ圧力は12mTorr;基板支持プラテン温
度は45℃;ガス流量は、CHF3が20sccmでCl2が60sccm;及び
ウェーハ背面ヘリウム圧力は、3〜6sccmの典型的リークで12Torrで
ある。エッチング時間は20秒だった。実施例1と比べて、塩素濃度の増加は、
酸化窒化珪素のエッチングの選択性を犠牲にし、窒化チタンのような他の材料の
エッチングを可能としている。酸化窒化珪素層の厚さが小さいことを考慮すると
、フォトレジスト厚さ全般の損耗は無視でき、エッチングプロセスの単純化によ
って可能となる。 図3Cは、第2エッチング段階後の積層の概略断面形状を示し、第2エッチング
段階では、パターンは、アルミニウム層316、第1窒化チタン層314、第1
チタン層312を通じて転写されるが、二酸化珪素層310へわずかなオーバー
エッチング326を伴って止まっている。本第2エッチング段階の実施に使用す
るエッチング条件は、以下の通りである。プラズマソースパワーは、1000W
;バイアスパワーは、130W;プロセスチャンバ圧力は、8mTorr;基板
支持板温度は、45℃;体積ガス流量は、CHF3が5sccm/Cl2が80 sccm/BCl3が40sccm;及びウェーハ背面ヘリウム圧力は、3−6 sccmの典型的リークで12Torrである。エッチングは、396nm(ア
ルミニウム及びチタニウム線)での終点検出信号がバックグランド値を越え無く
なった時間に他に10秒を加えた時間行った。 上記の好ましい実施の形態は、当業者なら本開示内容に照らして前記特許請求範
囲に記載された発明の課題に合うようにこのような実施の形態を拡張することが
できるので、本発明の範囲を制限するものではない。
【図面の簡単な説明】
【図1】 図1は、酸化窒化珪素をARC層として含んだ好ましい実施の形態の金属エッチ
ング積層体の概略断面図である。
【図2】 図2A〜2Dは、図1に示すエッチング積層体が3ステップエッチングプロセス
を経て進行する時の実施例1の積層体の断面略図である。
【図3】 図3A〜3Cは、図1で示すエッチング積層体が2ステップのエッチングプロセ
スを経て進行する時の実施例2の積層体の断面略図を示し、2ステップのエッチ
ングプロセスは、酸化窒化珪素と窒化チタンの層をエッチングするための第1ス
テップと、図2Cに関して説明した3層をエッチングするための第2金属エッチ
ングステップとを含む。
【図4】 図4は、本明細書に記載されたエッチングプロセスを実行する際に使用される類
のプラズマ処理装置を示す。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 キム, スン, ホー アメリカ合衆国, カリフォルニア州, キャンベル, レモイン ウェイ 2298 (72)発明者 リー, ディーン 台湾, タイペイ, ティン−チョウ ロ ード セクション2, ナンバー197, 5ティエイチ フロア Fターム(参考) 5F004 AA04 AA05 BA01 BA09 BB13 BB26 CA02 CB02 CB18 DA01 DA02 DA04 DA07 DA16 DA17 DA18 DA30 DB00 DB09 DB12 EA22 EA28 5F046 PA03 PA04

Claims (38)

    【特許請求の範囲】
  1. 【請求項1】 半導体パターンニング積層体内の、誘電体含有量ARC材料
    の少なくとも1層をプラズマエッチングするための方法であって、前記方法は: ARC誘電体材料に選択性を提供するように、少なくとも一種類のフッ素含有
    量エッチングャントガスを単独に、または塩素含有量エッチングャントガスと組
    み合わせて使用する方法。
  2. 【請求項2】 前記フッ素含有量ガス及び前記塩素含有量ガスは、炭素含
    有量ガスと組み合わせて使用される、 請求項1記載の方法。
  3. 【請求項3】 前記フッ素含有量ガスは更に炭素を含む、 請求項1記載の方法。
  4. 【請求項4】 前記誘電体含有量ARC材料と、前記半導体パターンニン
    グ積層体を構成する少なくとも一つの他の層の両方が、同じエッチングャントガ
    スまたは、エッチングャントガスの同じ組み合わせを用いてエッチングされる、
    請求項1記載の方法。
  5. 【請求項5】 前記誘電体含有量ARC材料と、前記半導体パターンニン
    グ積層体を構成する少なくとも一つの他の層の両方が、同じプロセスチャンバ内
    でエッチングされる、 請求項1記載の方法。
  6. 【請求項6】 前記プロセスチャンバは、プラズマ密度と、前記パターン
    ニング積層体の表面上へのイオン衝撃エネルギに影響を与えるバイアスパワーの
    ソースとの独立制御を可能にする装置を含む、 請求項5記載の方法。
  7. 【請求項7】 半導体パターン積層体内の、酸素含有量ARC材料の少な
    くとも1層をプラズマエッチングするための方法であって、前記方法は: 酸素含有量材料に選択性を提供するように、少なくとも一種類のフッ素含有量エ
    ッチングャントガスを単独に、または炭素含有量ガスと組み合わせて使用する方
    法。
  8. 【請求項8】 前記フッ素含有量ガスが、更に炭素を含有量する、 請求項7記載の方法。
  9. 【請求項9】 前記酸素含有量ARC材料と、前記半導体パターンニング
    積層体を構成する少なくとも一つの他の層の両方が、同じエッチングャントガス
    またはエッチングャントガスの同じ組み合わせを用いてエッチングされる、 請求項7記載の方法。
  10. 【請求項10】 前記酸素含有量ARC材料と、前記半導体パターンニン
    グ積層体を構成する少なくとも一つの他の層の両方が、同じプロセスチャンバ内
    でエッチングされる、 請求項7記載の方法。
  11. 【請求項11】 前記プロセスチャンバが、プラズマ密度と、前記パター
    ンニング積層体の表面上へのイオン衝撃エネルギに影響を与えるバイアスパワー
    のソースとの独立制御を可能にする装置を含む、 請求項10記載の方法。
  12. 【請求項12】 半導体プラズマエッチング・パターンニング積層体内の
    、酸素含有量層をプラズマエッチングするための方法であって、前記方法は: より高い百分率の酸素を含有量し露出されたパターンニング積層体に選択性を備
    えるように、少なくとも一種類のハロゲン含有量エッチングャントガスを単独、
    または他のエッチングャントガスと組み合わせて使用する方法。
  13. 【請求項13】 前記ハロゲン含有量エッチングャントガスは、前記酸素
    含有量層の表面のエッチングを許容する一方で、前記酸素含有量層近傍の表面上
    に堆積するハロゲン含有量ポリマーまたは核種を、前記近傍表面のエッチングレ
    ートを減らすのに十分な量で形成し、そして、前記酸素含有量層は、少なくとも
    3種類の元素を含むか、或いは何れの元素も珪素ではない2種類の元素を含む、
    請求項12記載の方法。
  14. 【請求項14】 前記酸素含有量層が、酸化窒化珪素である、 請求項12または請求項13何れか1項記載の方法。
  15. 【請求項15】 前記酸化窒化珪素が、SiOxyzであり、ここでx は0から約2の範囲、yは約0から約1の範囲、そしてzは0から約1の範囲に
    ある、 請求項14記載の方法。
  16. 【請求項16】 x、y、及びzは、約0.2と約0.5の間の範囲にあ
    る、 請求項15記載の方法。
  17. 【請求項17】 前記酸素含有量層が、酸化窒化珪素を含み、前記近傍表
    面が、フォトレジストを含む、 請求項13記載の方法。
  18. 【請求項18】 前記フォトレジストが、DUVフォトレジストである、
    請求項17記載の方法。
  19. 【請求項19】 前記プラズマエッチングは、プラズマ密度と、基板表面
    のイオン衝撃エネルギに影響を与えるバイアスパワーのソースとの独立制御を可
    能にする装置内で行われる、 請求項12または請求項13の何れか1項記載の方法。
  20. 【請求項20】 前記プラズマエッチングは、プラズマ密度と、基板表面
    のイオン衝撃エネルギに影響を与えるバイアスパワーのソースとの独立制御を可
    能にする装置内で行われる、 請求項14記載の方法。
  21. 【請求項21】 プラズマ生成イオンは、前記酸素含有量層表面に含有量
    される酸素を活性化して、前記表面近傍またはそれに接触する炭素と反応させる
    ように、前記酸素含有量層の表面に向けられる、 請求項19記載の方法。
  22. 【請求項22】 前記ハロゲン含有量ガスが、フッ素含有量ガスである、
    請求項12または請求項13の何れか1項記載の方法。
  23. 【請求項23】 前記フッ素含有量ガスが、炭素含有量ガスと組み合わせ
    て使要される、 請求項22記載の方法。
  24. 【請求項24】 前記フッ素含有量ガスが更に、炭素を含む、 請求項22記載の方法。
  25. 【請求項25】 前記ハロゲン含有量エッチングガスは、前記酸素含有量
    層の選択性を改善するために使用されるアシストガスを含む、 請求項12または請求項13の何れか1項記載の方法。
  26. 【請求項26】 前記アシストガスは、Cl2、F2、HF、HCl、NF 3 、SF6と、それらの組み合わせからなるグループから選ばれる、 請求項25記載の方法。
  27. 【請求項27】 前記フッ素含有量ガスは、CHF3、CF4、CF3Cl、
    24、C26と、それらの組み合わせからなるグループから選ばれる、 請求項24記載の方法。
  28. 【請求項28】 前記フッ素含有量ガスは、CHF3、CF4、または、それ
    らの組み合わせである、 請求項27記載の方法。
  29. 【請求項29】 前記アシストガスは、Cl2、F2、HF、HCl、NF 3 、SF6と、それらの組み合わせからなるグループから選ばれる、 請求項27記載の方法。
  30. 【請求項30】 前記アシストガスは、塩素であり、前記フッ素含有量ガ
    スの、前記塩素に対する体積流量比は、約2:1と約1:3の間の範囲にある、
    請求項29記載の方法。
  31. 【請求項31】 半導体パターンニング積層体内の、酸化窒化珪素含有量
    層をプラズマエッチングするための方法であって: 少なくとも1種類のハロゲン含有量エッチングャントガス単独、或いは他のエ
    ッチングャントガスと組み合わせて使用し、前記ハロゲン含有量エッチングャン
    トガスは、前記酸化窒化珪素含有量層の表面のエッチングを許容する一方で、前
    記酸化窒化珪素含有量層近傍の表面上に堆積するハロゲン含有量ポリマーまたは
    核種を、前記近傍表面のエッチングレートを減らすのに十分な量で形成する方法
  32. 【請求項32】 前記酸化窒化珪素含有量層近傍の前記表面が、フォトレ
    ジストを含む、 請求項31記載の方法。
  33. 【請求項33】 前記フォトレジストが、DUVフォトレジストである、 請求項32記載の方法。
  34. 【請求項34】 前記プラズマエッチングは、プラズマ密度と、基板表面
    のイオン衝撃エネルギに影響を与えるバイアスパワーのソースとの独立制御を可
    能にする装置内で行われる、 請求項31または請求項32または請求項33の何れか1項記載の方法。
  35. 【請求項35】 前記ハロゲン含有量ガスが、フッ素含有量ガスである、
    請求項31または請求項31または請求項33の何れか1項記載の方法。
  36. 【請求項36】 前記フッ素含有量ガスは、CHF3、CF4、CF3Cl、
    24、C26と、それらの組み合わせからなるグループから選ばれる、 請求項35記載の方法。
  37. 【請求項37】 前記プラズマエッチングガスは、前記積層体表面上への
    前記フッ素含有量ポリマーまたは核種の堆積を制御するために使用される、Cl 2 、F2、HF、HCl、NF3、SF6、とそれらの組み合わせからなるグループ
    から選ばれるアシストガスを含む、 請求項35記載の方法。
  38. 【請求項38】 前記アシストガスは、塩素であり、前記フッ素含有量ガ
    スの、前記塩素に対する体積流量比は、約2:1と約1:3の間の範囲にある、
    請求項37記載の方法。
JP2000524815A 1997-12-08 1998-12-04 酸化窒化珪素と無機反射防止皮膜をエッチングするための方法 Withdrawn JP2001526461A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/986,911 US6013582A (en) 1997-12-08 1997-12-08 Method for etching silicon oxynitride and inorganic antireflection coatings
US08/986,911 1997-12-08
PCT/US1998/025817 WO1999030357A1 (en) 1997-12-08 1998-12-04 Method for etching silicon oxynitride and inorganic antireflection coatings

Publications (1)

Publication Number Publication Date
JP2001526461A true JP2001526461A (ja) 2001-12-18

Family

ID=25532867

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000524815A Withdrawn JP2001526461A (ja) 1997-12-08 1998-12-04 酸化窒化珪素と無機反射防止皮膜をエッチングするための方法

Country Status (6)

Country Link
US (1) US6013582A (ja)
EP (1) EP1038309A1 (ja)
JP (1) JP2001526461A (ja)
KR (1) KR20010032912A (ja)
TW (1) TW436922B (ja)
WO (1) WO1999030357A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007134589A (ja) * 2005-11-11 2007-05-31 Rohm Co Ltd 半導体装置の製造方法
JP2014082475A (ja) * 2012-09-25 2014-05-08 Tokyo Electron Ltd プラズマ処理方法

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5959325A (en) * 1997-08-21 1999-09-28 International Business Machines Corporation Method for forming cornered images on a substrate and photomask formed thereby
US6541164B1 (en) * 1997-10-22 2003-04-01 Applied Materials, Inc. Method for etching an anti-reflective coating
US6291356B1 (en) * 1997-12-08 2001-09-18 Applied Materials, Inc. Method for etching silicon oxynitride and dielectric antireflection coatings
JP3955385B2 (ja) * 1998-04-08 2007-08-08 Azエレクトロニックマテリアルズ株式会社 パターン形成方法
FR2784228B1 (fr) * 1998-10-01 2002-01-11 France Telecom PROCEDE DE FORMATION D'UN FILM ANTIREFLECHISSANT SiON, NON-POLLUANT VIS-VIS DES RESINES PHOTORESISTANTES POUR U.V. LOINTAIN
US6171763B1 (en) * 1998-12-02 2001-01-09 Advanced Micro Devices, Inc. Ultra-thin resist and oxide/nitride hard mask for metal etch
US6326231B1 (en) * 1998-12-08 2001-12-04 Advanced Micro Devices, Inc. Use of silicon oxynitride ARC for metal layers
US6667232B2 (en) * 1998-12-08 2003-12-23 Intel Corporation Thin dielectric layers and non-thermal formation thereof
US6103634A (en) * 1998-12-18 2000-08-15 Vlsi Technology, Inc. Removal of inorganic anti-reflective coating using fluorine etch process
US6156485A (en) * 1999-01-19 2000-12-05 Taiwan Semiconductor Manufacturing Company Ltd. Film scheme to solve high aspect ratio metal etch masking layer selectivity and improve photo I-line PR resolution capability in quarter-micron technology
US6159863A (en) * 1999-01-22 2000-12-12 Advanced Micro Devices, Inc. Insitu hardmask and metal etch in a single etcher
JP3257533B2 (ja) * 1999-01-25 2002-02-18 日本電気株式会社 無機反射防止膜を使った配線形成方法
US6291361B1 (en) * 1999-03-24 2001-09-18 Conexant Systems, Inc. Method and apparatus for high-resolution in-situ plasma etching of inorganic and metal films
US6169029B1 (en) * 1999-05-03 2001-01-02 Winband Electronics Corp. Method of solving metal stringer problem which is induced by the product of tin and organic ARC reaction
US6265294B1 (en) * 1999-08-12 2001-07-24 Advanced Micro Devices, Inc. Integrated circuit having double bottom anti-reflective coating layer
US6136649A (en) * 1999-10-12 2000-10-24 Advanced Micro Devices, Inc. Method for removing anti-reflective coating layer using plasma etch process after contact CMP
US6291296B1 (en) * 1999-10-12 2001-09-18 Advanced Micro Devices, Inc. Method for removing anti-reflective coating layer using plasma etch process before contact CMP
JP2003534659A (ja) * 2000-05-25 2003-11-18 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 半導体装置の反射防止膜をドライエッチングにより除去する方法
US6531404B1 (en) * 2000-08-04 2003-03-11 Applied Materials Inc. Method of etching titanium nitride
DE10054969A1 (de) * 2000-11-06 2002-03-28 Infineon Technologies Ag Verfahren zur Strukturierung von Metallschichten
US6599437B2 (en) 2001-03-20 2003-07-29 Applied Materials Inc. Method of etching organic antireflection coating (ARC) layers
US6630407B2 (en) * 2001-03-30 2003-10-07 Lam Research Corporation Plasma etching of organic antireflective coating
US6727183B1 (en) * 2001-07-27 2004-04-27 Taiwan Semiconductor Manufacturing Company Prevention of spiking in ultra low dielectric constant material
US6624068B2 (en) * 2001-08-24 2003-09-23 Texas Instruments Incorporated Polysilicon processing using an anti-reflective dual layer hardmask for 193 nm lithography
US6649531B2 (en) 2001-11-26 2003-11-18 International Business Machines Corporation Process for forming a damascene structure
US6620715B1 (en) * 2002-03-29 2003-09-16 Cypress Semiconductor Corp. Method for forming sub-critical dimension structures in an integrated circuit
US7473377B2 (en) 2002-06-27 2009-01-06 Tokyo Electron Limited Plasma processing method
WO2004003988A1 (ja) * 2002-06-27 2004-01-08 Tokyo Electron Limited プラズマ処理方法
KR100478498B1 (ko) * 2003-01-30 2005-03-28 동부아남반도체 주식회사 반도체 소자의 금속 배선 형성 방법
US20040192059A1 (en) * 2003-03-28 2004-09-30 Mosel Vitelic, Inc. Method for etching a titanium-containing layer prior to etching an aluminum layer in a metal stack
US20040242005A1 (en) * 2003-04-14 2004-12-02 Chentsau Ying Method of etching metal layers
US7384727B2 (en) * 2003-06-26 2008-06-10 Micron Technology, Inc. Semiconductor processing patterning methods
US7115532B2 (en) * 2003-09-05 2006-10-03 Micron Technolgoy, Inc. Methods of forming patterned photoresist layers over semiconductor substrates
US7026243B2 (en) * 2003-10-20 2006-04-11 Micron Technology, Inc. Methods of forming conductive material silicides by reaction of metal with silicon
US6969677B2 (en) * 2003-10-20 2005-11-29 Micron Technology, Inc. Methods of forming conductive metal silicides by reaction of metal with silicon
US7682985B2 (en) * 2004-03-17 2010-03-23 Lam Research Corporation Dual doped polysilicon and silicon germanium etch
US7153769B2 (en) * 2004-04-08 2006-12-26 Micron Technology, Inc. Methods of forming a reaction product and methods of forming a conductive metal silicide by reaction of metal with silicon
US7119031B2 (en) * 2004-06-28 2006-10-10 Micron Technology, Inc. Methods of forming patterned photoresist layers over semiconductor substrates
US7241705B2 (en) * 2004-09-01 2007-07-10 Micron Technology, Inc. Methods of forming conductive contacts to source/drain regions and methods of forming local interconnects
US7258530B2 (en) * 2005-01-21 2007-08-21 Siemens Power Generation, Inc. CMC component and method of fabrication
US7554031B2 (en) * 2005-03-03 2009-06-30 Sunpower Corporation Preventing harmful polarization of solar cells
ES2299128T3 (es) * 2005-09-06 2008-05-16 Yamaha Hatsudoki Kabushiki Kaisha Tubo de escape para un motor de combustion interna.
US20080124937A1 (en) * 2006-08-16 2008-05-29 Songlin Xu Selective etching method and apparatus
US9275563B1 (en) * 2008-02-05 2016-03-01 Jeffrey K. Hartman Sign holder
US8188363B2 (en) * 2009-08-07 2012-05-29 Sunpower Corporation Module level solutions to solar cell polarization
US20110048505A1 (en) * 2009-08-27 2011-03-03 Gabriela Bunea Module Level Solution to Solar Cell Polarization Using an Encapsulant with Opened UV Transmission Curve
US8323871B2 (en) * 2010-02-24 2012-12-04 International Business Machines Corporation Antireflective hardmask composition and a method of preparing a patterned material using same
US20110253670A1 (en) * 2010-04-19 2011-10-20 Applied Materials, Inc. Methods for etching silicon-based antireflective layers
US8377738B2 (en) 2010-07-01 2013-02-19 Sunpower Corporation Fabrication of solar cells with counter doping prevention
US9812590B2 (en) 2012-10-25 2017-11-07 Sunpower Corporation Bifacial solar cell module with backside reflector
US9035172B2 (en) 2012-11-26 2015-05-19 Sunpower Corporation Crack resistant solar cell modules
US8796061B2 (en) 2012-12-21 2014-08-05 Sunpower Corporation Module assembly for thin solar cells
US9685571B2 (en) 2013-08-14 2017-06-20 Sunpower Corporation Solar cell module with high electric susceptibility layer
WO2019055402A1 (en) * 2017-09-12 2019-03-21 Tokyo Electron Limited SURFACE RESTORING METHODS FOR NITRIDE ENGRAVING
US10410878B2 (en) 2017-10-31 2019-09-10 American Air Liquide, Inc. Hydrofluorocarbons containing —NH2 functional group for 3D NAND and DRAM applications
US11427731B2 (en) * 2018-03-23 2022-08-30 Teledyne Micralyne, Inc. Adhesive silicon oxynitride film

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4758305A (en) * 1986-03-11 1988-07-19 Texas Instruments Incorporated Contact etch method
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5126289A (en) * 1990-07-20 1992-06-30 At&T Bell Laboratories Semiconductor lithography methods using an arc of organic material
US5034348A (en) * 1990-08-16 1991-07-23 International Business Machines Corp. Process for forming refractory metal silicide layers of different thicknesses in an integrated circuit
US5269879A (en) * 1991-10-16 1993-12-14 Lam Research Corporation Method of etching vias without sputtering of underlying electrically conductive layer
US5472829A (en) * 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern by using an anti-reflective layer
JP2694097B2 (ja) * 1992-03-03 1997-12-24 インターナショナル・ビジネス・マシーンズ・コーポレイション 反射防止コーティング組成物
US5635338A (en) * 1992-04-29 1997-06-03 Lucent Technologies Inc. Energy sensitive materials and methods for their use
US5350488A (en) * 1992-12-10 1994-09-27 Applied Materials, Inc. Process for etching high copper content aluminum films
US5310626A (en) * 1993-03-01 1994-05-10 Motorola, Inc. Method for forming a patterned layer using dielectric materials as a light-sensitive material
US5378659A (en) * 1993-07-06 1995-01-03 Motorola Inc. Method and structure for forming an integrated circuit pattern on a semiconductor substrate
JPH0786244A (ja) * 1993-09-13 1995-03-31 Sony Corp ドライエッチング方法
US5441914A (en) * 1994-05-02 1995-08-15 Motorola Inc. Method of forming conductive interconnect structure
US5514247A (en) * 1994-07-08 1996-05-07 Applied Materials, Inc. Process for plasma etching of vias
US5468656A (en) * 1994-11-29 1995-11-21 Motorola Method of making a VCSEL
TW388083B (en) * 1995-02-20 2000-04-21 Hitachi Ltd Resist pattern-forming method using anti-reflective layer, resist pattern formed, and method of etching using resist pattern and product formed
US5525542A (en) * 1995-02-24 1996-06-11 Motorola, Inc. Method for making a semiconductor device having anti-reflective coating
US5710067A (en) * 1995-06-07 1998-01-20 Advanced Micro Devices, Inc. Silicon oxime film
US5767018A (en) * 1995-11-08 1998-06-16 Advanced Micro Devices, Inc. Method of etching a polysilicon pattern
US5710073A (en) * 1996-01-16 1998-01-20 Vanguard International Semiconductor Corporation Method for forming interconnections and conductors for high density integrated circuits
US5633210A (en) * 1996-04-29 1997-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming damage free patterned layers adjoining the edges of high step height apertures

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007134589A (ja) * 2005-11-11 2007-05-31 Rohm Co Ltd 半導体装置の製造方法
JP2014082475A (ja) * 2012-09-25 2014-05-08 Tokyo Electron Ltd プラズマ処理方法

Also Published As

Publication number Publication date
TW436922B (en) 2001-05-28
WO1999030357A1 (en) 1999-06-17
EP1038309A1 (en) 2000-09-27
KR20010032912A (ko) 2001-04-25
US6013582A (en) 2000-01-11

Similar Documents

Publication Publication Date Title
JP2001526461A (ja) 酸化窒化珪素と無機反射防止皮膜をエッチングするための方法
US6537918B2 (en) Method for etching silicon oxynitride and dielectric antireflection coatings
JP5894622B2 (ja) シリコン含有ハードマスクをエッチングする方法
KR101476435B1 (ko) 다중-레이어 레지스트 플라즈마 에치 방법
US6541164B1 (en) Method for etching an anti-reflective coating
TW402761B (en) Method of etching copper for semiconductor devices
US20080197109A1 (en) Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask
US7601246B2 (en) Methods of sputtering a protective coating on a semiconductor substrate
US6686293B2 (en) Method of etching a trench in a silicon-containing dielectric material
US5660681A (en) Method for removing sidewall protective film
JP2002509353A (ja) 有機マスク積層体を用いたパターン層の高温エッチング方法
JP2003506866A (ja) エッチングプロセス用側壁ポリマー形成ガス添加物
JP2004519102A (ja) 半導体構造においてタングステンまたは窒化タングステンの電極ゲートをエッチングする方法
JP2004519838A (ja) 窒化チタンをエッチングする方法
KR20040098598A (ko) 비결정 탄소 마스크를 사용하는 알루미늄층의 에칭방법
JPH0786244A (ja) ドライエッチング方法
US20030219984A1 (en) Method of etching a magnetic material
US6271115B1 (en) Post metal etch photoresist strip method
EP0820093A1 (en) Etching organic antireflective coating from a substrate
JP3277414B2 (ja) ドライエッチング方法
JPH10189594A (ja) 半導体素子の金属配線形成方法
WO2004042813A1 (en) Method of etching a silicon-containing dielectric material
US6399509B1 (en) Defects reduction for a metal etcher
JP2005327873A (ja) 半導体装置及びその製造方法
JP3344027B2 (ja) ドライエッチング方法

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20060207