JP2007235136A - 高アスペクト比用途の異方性フィーチャを形成するためのエッチング方法 - Google Patents

高アスペクト比用途の異方性フィーチャを形成するためのエッチング方法 Download PDF

Info

Publication number
JP2007235136A
JP2007235136A JP2007045100A JP2007045100A JP2007235136A JP 2007235136 A JP2007235136 A JP 2007235136A JP 2007045100 A JP2007045100 A JP 2007045100A JP 2007045100 A JP2007045100 A JP 2007045100A JP 2007235136 A JP2007235136 A JP 2007235136A
Authority
JP
Japan
Prior art keywords
layer
etching
gas
oxide
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2007045100A
Other languages
English (en)
Inventor
Meihua Shen
シェン メイフア
Uwe Leucke
ロイケ ウーヴェ
Guangxiang Jin
ジン グアングシアング
Xikun Wang
ワング シクン
Wei Liu
リウ ウェイ
Scott Williams
ウィリアムズ スコット
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2007235136A publication Critical patent/JP2007235136A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】本発明は、エッチング工程における、高アスペクト比用途の異方性フィーチャの形成方法を提供する。
【解決手段】開示された方法は、側壁パッシベーション管理技法を通して、高アスペクト比のフィーチャのプロファイルと寸法の制御を有利に促進する。一実施形態において、側壁パッシベーションは酸化パッシベーション層をエッチング層の側壁及び/又は底部に選択的に形成することによって管理される。他の実施形態において、側壁のパッシベーションは余分な再堆積層を定期的に除去して平坦で均一なパッシベーション層をその上に維持することによって管理される。平坦で均一なパッシベーション層により、欠陥及び/又は下層のオーバーエッチングを起こすことなく、高アスペクト比のフィーチャを、基板上の高及び低フィーチャ密度領域の双方に所望の深さ及び垂直プロファイルの限界寸法に適した形で徐々にエッチングすることが可能となる。
【選択図】図2

Description

発明の分野
本発明は、概して、高アスペクト比用途の異方性フィーチャの形成方法に関する。更に詳細には、本発明は、概して、半導体製造におけるエッチング処理による高アスペクト比用途の異方性フィーチャの形成方法に関する。
関連技術の説明
半導体デバイスの次世代型超大規模集積回路(VLSI)と超々大規模集積回路(ULSI)にとって鍵となる技術の1つが、サブハーフミクロン以下のフィーチャを信頼度高く製造することである。しかしながら、回路技術の制約に押され、VLSI及びULSI技術における相互接続部の小型化は、処理能力に更なる課題をもたらすこととなった。ゲートパターンを信頼性高く形成することが、VLSIとULSIの成功と個々の基板とダイの回路密度や品質を向上するための継続的な取り組みに重要である。
フィーチャのサイズが小型化するにつれ、アスペクト比つまりフィーチャの深さと幅との比は確実に増大してきたため、約50:1から約100:1又はそれ以上ものアスペクト比を有するフィーチャに材料をエッチングする製造工程が必要とされている。従来、約10:1程度のアスペクト比を有するフィーチャは、誘電体層を所定の深さと幅に異方性エッチングすることで形成されていた。しかしながら、より高アスペクト比のフィーチャを形成する場合、従来の側壁パッシベーション技法を用いた異方性エッチングでは困難になってくるため、均一な間隔及び/又は二重又は多重傾斜プロファイルを有するフィーチャとなり、フィーチャの限界寸法が失われる。
更に、エッチング処理中におけるパッシベーション層のフィーチャ上又は側壁への再堆積又は蓄積により、マスクに画成された開口部が塞がれる場合がある。マスク開口部及び/又はエッチングフィーチャの開口部が蓄積した再堆積層によって狭くなる又は封止されるにつれ、反応性エッチング剤の開口部への侵入が阻害され、得られるアスペクト比が制限される。従って、フィーチャを十分にエッチング出来ないことにより、フィーチャのアスペクト比を所望のものにすることが不可能となる。
高アスペクト比のフィーチャのエッチングにおける別の問題はマイクロローディング効果の発生であり、これは高フィーチャ密度領域と低フィーチャ密度領域との間のエッチング寸法におけるばらつきの指標である。低フィーチャ密度領域(例えば、隔離領域)は、表面積の総開口部がより広いことから高フィーチャ密度領域(例えば、緻密領域)と比較して表面積あたりの反応性エッチング剤の曝露量が多く、エッチング速度が速くなる。エッチング副生成物から形成される側壁のパッシベーション膜は同様のパターン密度依存性を示し、隔離フィーチャではより多くのパッシベーション膜が形成され、これはこの領域でより多くの副生成物が生成されるからである。これら2つの領域における表面積あたりの反応物とパッシベーション膜における差は、フィーチャ密度差が増大するにつれ大きくなる。図8Aに示されるように、高フィーチャ密度領域と低フィーチャ密度領域でのエッチング速度と副生成物生成における差により、低フィーチャ密度領域802はある特定の所望の制御された垂直寸法にエッチング・画成されるものの、高フィーチャ密度領域804は側壁のパッシベーションの不十分さに起因する横方向の侵食により湾曲及び/又は抉れる(806)ということがしばしば観察される。その他の処理においては、図8Bに示されるように、低フィーチャ密度領域808が、高フィーチャ密度領域810よりも早い速度とより多いパッシベーションでエッチングされ、エッチング層814の側壁のテーパー状上部812となる様子が描かれている。従って、高アスペクト比の高フィーチャ密度領域及び低フィーチャ密度領域におけるエッチング速度の差に関連する不十分な側壁保護は、エッチングフィーチャの限界寸法の維持の失敗及びパターン転写の悪さにつながることが多い。
高アスペクト比のフィーチャのエッチングに関連する更に別の課題は、多層を貫通して形成され、異なるフィーチャ密度を有するフィーチャのエッチング速度を制御することである。ここで、各層はフィーチャ密度に応じて異なる速度でエッチングされ得る。図9に示されるように、低フィーチャ密度領域902におけるより速いエッチング速度は、上部エッチング層906の下に配置された層904の選択的なオーバーエッチングにつながることが多く、その一方、緻密なフィーチャ領域908における緩やかなエッチング速度は層910の一部が完全にエッチングされることを妨げる。フィーチャが更に高いアスペクト比になるにつれ、上層のエッチング不足又は下層へのオーバーエッチングのどちらも起こすことなく低及び高フィーチャ密度領域全体にわたって効率的なエッチング速度を維持することは制御が困難になりつつある。設計した通りに基板上にフィーチャ又はパターンを形成することに失敗することは不本意な欠陥につながり、更にはその後の処理ステップに悪影響を与え、究極的には最終的な集積回路構造の性能を劣化又は不能にする場合がある。
従って、高アスペクト比のフィーチャをエッチングするための改善された方法が当該技術分野で求められている。
発明の概要
エッチング工程における、高アスペクト比用途の異方性フィーチャの形成方法を本発明で提供する。ここで記載の方法は、側壁パッシベーション管理法を通して高アスペクト比のフィーチャのプロファイルと寸法の制御を有利に促進する。一実施形態において、側壁のパッシベーションは、エッチング層の側壁及び/又は底部上に酸化パッシベーション層を選択的に形成することにより管理される。別の実施形態において、側壁パッシベーションは、過剰な再堆積層を定期的に除去し、その上に平坦で均一なパッシベーション層を維持することで管理される。平坦で均一なパッシベーション層により、欠陥及び/又は下層のオーバーエッチングを起こすことなく、高アスペクト比のフィーチャを、基板上の高及び低フィーチャ密度領域の双方に所望の深さ及び垂直プロファイルの限界寸法に適した形で徐々にエッチングすることが可能となる。
一実施形態において、本方法は層をその上に有する基板をエッチングチャンバ内に設置し、第1混合ガスを用いてマスク層に形成された開口部を通して層をエッチングしフィーチャの第1領域を画成し、エッチング中に形成された再堆積層を第2混合ガスを用いてインシチュでエッチングすることにより開口部の不要物を除去し、不要物が除去された開口部を通して層をエッチングすることを含む。
別の実施形態において、本方法は、その上に層を有する基板をエッチングチャンバ内に
設置し、基板上の層の少なくとも一部をエッチングし、エッチング層上に酸化層を形成し、酸化層によって保護されていないエッチング層の露出部分をエッチングチャンバ内でエッチングすることを含む。
更に別の実施形態において、本方法は第1層と第2層を備えた積層体を有する基板をエ
ッチングチャンバ内に設置し、エッチングチャンバ内で積層体をエッチングして第1層及び第2層を露出し、第1層上に酸化層を形成し、エッチングチャンバ内で第2層をエッチングすることを含む。
更に別の実施形態において、本方法は第1層と第2層を備えた積層体を有する基板をエ
ッチングチャンバ内に設置し、第1混合ガスを用いてエッチングチャンバ内で積層体をエッチングして第1及び第2層を露出し、第2混合ガスを用いてエッチング中に形成された再堆積層をエッチングし、基板を酸素ガス含有環境に曝露することで第1層上に酸化層を形成し、酸化層によって保護されていない第2層をエッチングすることを含む。
詳細な説明
本発明は、概して、エッチング処理により高アスペクト比用途の異方性フィーチャを形成するための方法に関する。一実施形態において、本方法は高アスペクト比のフィーチャの上部及び/又は側壁に堆積した再堆積材料をプラズマエッチングすることを含む。別の実施形態において、本方法は、基板表面上のエッチング領域の一部上に保護酸化層を形成することを含む。エッチング処理はクラスターツール内で統合されている1つ以上のチャンバ内で行ってもよい。
ここで記載のエッチング処理はいずれのプラズマエッチングチャンバで行ってもよく、例えばHARTエッチング装置、HART TSエッチング装置、センチュラ(CENTURA)エッチングシステムの分離プラズマソース(DPS)、DPS−II、又はDPSプラス、又はDPS DTエッチング装置が挙げられ、これらは全てカリフォルニア州サンタクララのアプライドマテリアル社から入手可能である。その他の製造業者のプラズマエッチングチャンバも利用可能である。DPS反応装置は13.56MHzの誘導プラズマソースを用いて高密度プラズマを発生・維持し、13.56MHzソースバイアス電力を利用してウェハにバイアスを印加する。プラズマソースとバイアスソースとを切り離すことにより、イオンエネルギーとイオン密度とを独立して制御することが可能となる。DPS反応装置は、ソース、バイアス電力、圧力、エッチングガス化学反応における変化に広いプロセスウィンドウを許容するものであり、終点システムを用いて処理の終点を決定する。
図1はエッチング処理チャンバ100の一実施形態の概略図である。チャンバ100は、誘電性ドーム型天井部(以後、ドーム120と称する)を支持する導電性チャンバ壁部130を含む。その他のチャンバはその他のタイプの天井部(例えば、平坦な天井部)を有し得る。壁部130は電気アース134に接続されている。
少なくとも1つの誘導コイルアンテナセグメント112が、高周波(RF)ソース118にマッチング回路119を介して連結されている。アンテナセグメント112はドーム120の外側に位置されており、処理ガスから形成されたプラズマをチャンバ内に維持するために利用される。一実施形態において、誘導コイルアンテナ112に印加されるソースRF電力は約0ワットから約2500ワットの範囲にあり、周波数は約50kHzから約13.56MHzである。別の実施形態において、誘導コイルアンテナ112に印加されるソースRF電力は約200ワットから約800ワットの範囲にあり、例えば約400ワットである。
処理チャンバ100は、第2(バイアス印加)RFソース122に連結された基板支持台座116(バイアス素子)も含み、第2RFソース122は、通常、RF信号を生成し、約1500ワット又はそれ以下(例えば、バイアス電力ゼロ)、周波数約13.56MHzのバイアス電力を発生することができる。バイアスソース122は基板支持台座116にマッチング回路123を介して連結されている。基板支持台座116に印加されるバイアス電力はDC又はRFであってもよい。
操作において、基板114は基板支持台座116上に設置され、通常の技法、例えば基板114を静電チャッキング又は機械的に締め付けることでそこに保持される。気体成分がガスパネル138から処理チャンバ100へと投入ポート126を経由して供給され、ガス状混合物150を生成する。混合物150から生成されたプラズマはRFソース118、122からアンテナ112、基板支持台座116にそれぞれRF電力を印加することで処理チャンバ内に維持される。エッチングチャンバ100内部の圧力は、チャンバ100と真空ポンプ136との間の絞り弁127を用いて制御する。チャンバ壁部130の表面温度は、チャンバ100の壁部130内の液体含有導管(図示せず)を用いて制御する。
基板114の温度は、支持台座116の温度を安定させ、導管149を経由させて供給源148から熱伝導ガスを基板114の裏面と台座表面上の溝部(図示せず)によって形成された流路に流すことで制御される。ヘリウムガスを熱伝導ガスとして使用して、基板支持台座116と基板114との間の熱伝導を促進してもよい。エッチング処理中、基板114は基板支持台座116内に配置された抵抗加熱器125によってDC電力源124を介して定常温度にまで加熱される。台座116と基板114との間のヘリウムにより、基板114の均一な加熱が促進される。ドーム120と基板支持台座116の双方の熱制御をすることにより、基板114の温度は約100℃から約500℃に維持される。
その他のタイプのエッチングチャンバを使用しても本発明を実施し得ることを当業者は理解するものとする。例えば、遠隔プラズマソースを備えたチャンバ、マイクロ波プラズマチャンバ、電子サイクロトロン共鳴(ECR)プラズマチャンバその他を利用して本発明を実施してもよい。
中央演算処理装置(CPU)144、メモリ142、CPU144のサポート回路146を含む制御装置140がDPSエッチング処理チャンバ100の様々なコンポーネントに連結され、エッチング処理の制御を促進している。上述したようにチャンバの制御を容易にするために、CPU144は、様々なチャンバ及びサブプロセッサを制御するために工業環境で使用されるいずれのタイプの汎用コンピュータプロセッサであってもよい。メモリ142はCPU144に連結されている。メモリ142又はコンピュータ読み込み可能な媒体は、局所又は遠隔の、容易に入手可能なメモリ、例えばランダムアクセスメモリ(RAM)、読取専用メモリ(ROM)、フロッピー(商標名)ディスク、ハードディスク、又はその他の形態のデジタル記憶媒体のうちの1つ以上であってもよい。サポート回路146は、通常の方法でプロセッサをサポートするためにCPU144に連結されている。これらの回路には、キャッシュ、電力源、クロック回路、入力/出力回路及びサブシステムその他が含まれる。ここに記載されるようなエッチング工程は、概して、メモリ142にソフトウェアルーチンとして記憶される。ソフトウェアルーチンは、CPU144によって制御されるハードウェアから離れて位置する第2CPU(図示せず)に記憶及び/又は第2CPUで実行してもよい。
図2は、チャンバ100又はその他の適切な処理チャンバで実施し得るエッチング工程200の一実施形態のフローチャートである。図3A−3Dは、工程200の様々な段階に対応する複合基板の一部の概略断面図である。工程200は図3A−3Dのゲート構造形成を説明するものであるが、工程200はその他の構造のエッチングにも有益に利用することができる。
工程200は、基板114をエッチング処理チャンバに移動(供給)するステップ200から開始される。図3Aに示される実施形態において、基板114はゲート構造を作製するに適した積層体300を有する。基板114は半導体基板、シリコンウェハ、ガラス基板等のいずれであってもよい。積層体300を構成する層は、1つ以上の適切な従来の堆積技法を用いて形成してもよく、例えば、原子層成長法(ALD)、物理的気相成長法(PVD)、化学気相成長法(CVD)、プラズマ気相成長CVD(PECVD)等が挙げられる。積層体300は、センチュラ(CENTURA)、プロデューサ(PRODUCER)、エンデュラ(ENDURA)、及びその他のモジュール製造業者の中でも特にはカリフォルニア州サンタクララのアプライドマテリアル社から入手可能なその他の半導体ウェハ処理システムのそれぞれの処理モジュールを用いて堆積してもよい。一実施形態において、積層体300はゲート電極層314とゲート誘電層302を含む。ゲート電極層314の少なくとも一部がエッチング用に露出される。図3の実施形態において、ゲート電極層314の領域318、320はパターンマスク308の1つ以上の開口部を通して曝露される。
一実施形態において、ゲート電極層314はポリシリコン材料304とその上の金属材料306から構成される積層を含んでいてもよい。金属材料306は、タングステン(W)、窒化タングステン(WN)、ケイ化タングステン(WSi)、タングステンポリシリコン(W/ポリ)、タングステン合金、タンタル(Ta)、窒化タンタル(TaN)、タンタル窒化ケイ素(TaSiN)、窒化チタン(TiN)の群から単体又は組み合わせで選択してもよい。
図3Aの模範実施形態において、マスク308はハードマスク、フォトレジストマスク又はその組み合わせであってもよい。マスク308をエッチングマスクとして使用して、ゲート電極層314とゲート誘電層302の双方を所定のフィーチャにエッチングするために緻密領域320と隔離領域318に開口部を形成してもよい。
ステップ204で、第1混合ガスをエッチングチャンバに供給して、チャンバ内に設置された基板114をエッチングする。エッチング中、図3Bに示すように、基板114上の層306をエッチングして領域318、320から除去すると、マスク308によって画成されたトレンチが残る。終点に達した後、層306の少なくとも一部が基板上で除去されている。終点は、いずれの適切な方法によって決定してもよい。例えば、終点は発光、所定の時間の終了をモニタすること、又はエッチング対象の層が十分に除去されたかを測定する別の指標によって決定してもよい。
第1混合ガスは、金属含有ゲート電極層をエッチングするのに適したいずれのガスを含んでいてもよい。一実施形態において、第1混合ガスは窒素ガス(N)、塩素ガス(Cl)、三フッ化窒素ガス(NF)、六フッ化硫黄ガス(SF)、炭素及びフッ素含有ガス、例えばCF、CHF、C、特にはアルゴン(Ar)、ヘリウム(He)等の少なくとも1つを伴う酸素ガスを含んでいてもよいが、これに限定されるものではない。
第1混合ガスをエッチングチャンバに供給しながら、処理パラメータの一部を調節する。一実施形態においては、第1混合ガスの存在下におけるチャンバ圧を調節する。一模範実施形態においては、エッチングチャンバ内の処理圧力は約2mTorrから約100mTorr、例えば約10mTorrに調節される。RFソース電力を印加して、第1処理ガスから形成されたプラズマを維持してもよい。例えば、約100ワットから約1500ワットの電力を誘導結合アンテナ源に印加して、エッチングチャンバ内にプラズマを維持してもよい。第1混合ガスは約50sccmから約1000sccmの速度でチャンバ内に流入させてもよい。基板温度は約30℃から約500℃に維持される。
エッチング中、エッチングチャンバ内で非マスク領域のエッチング中に生成されたシリコン及び炭素含有成分等の副生成物は濃縮し、マスク層308及びエッチング層306の側壁又は上部に蓄積し、図3Bに示されるように再堆積層324を形成する場合がある。再堆積層324が成長するにつれ、トレンチの開口部320が閉鎖又は狭くなり、エッチング処理を妨害し得る。そのようなことから、任意のステップ205で、洗浄ガスをエッチングチャンバに供給してマスク層308とエッチング層306の上部又は側壁に蓄積した再堆積層324をエッチングする。洗浄ガスは再堆積層324を除去することで、所定のパターンマスクを再開口する。
洗浄ガスはフッ素含有ガスを含んでいてもよい。一実施形態において、洗浄ガスは三フッ化窒素ガス(NF)、六フッ化硫黄ガス(SF)、テトラフルオロメタンガス(CF)を含む。別の実施形態において、洗浄ガスは炭素及びフッ素含有ガスを含み、CHF、C等が含まれる。キャリアガス、例えばアルゴン(Ar)、ヘリウム(He)等を利用して洗浄中にエッチングチャンバ内に供給してもよい。
図3Bに戻ると、緻密領域310の領域320は、隔離領域312の領域318に比較して表面積の総開口部が狭いことから表面積あたりのエッチング種の曝露量が少ない。これら2つの領域間における表面積あたりの反応物質の差は、パターン密度差が増大するにつれて上がり、マイクロローディング効果が増大し好ましくない。マイクロローディング効果は、高アスペクト比の基板、又はその上に形成される緻密に密集したフィーチャをエッチングする際に一般的である。比較的大量のエッチング種が隔離領域312の領域318上に蓄積されるためエッチング速度が速くなり、その結果、隔離領域312で露出している領域318は緻密領域310よりもずっと速い速度でエッチングされる。所定時間にわたる基板のエッチング後、隔離領域312における層の領域318は除去されるものの、エッチング速度の差の発生により、緻密領域310の層の領域320の少なくとも一部はエッチングされずに残存する。
ステップ206で、図3Cに示されるように、基板114上に酸化層322を堆積する。一実施形態においては、第2ガス又は混合ガスを酸素含有ガスを含むエッチングチャンバに供給する。酸素含有ガスは露出した下層304、例えばポリシリコン層の領域318と反応して酸化層322、例えばSiOを形成する。形成された酸化層322は、マスク層308によって画成された緻密領域310の層306の残存部分を除去する間、下層304を浸食から保護するためのパッシベーション層として機能する。緻密領域310のゲート電極層306の領域320は、下のポリシリコン層304上に露出している領域318のケースのように酸化層を形成する可能性が低い。これは材料の不活性特性及び酸素種との接触が不十分であることによるものであり、これにより基板表面の一部を選択的に酸化できる。従って、酸化層322が下層304が露出している領域318上に実質的に選択的に形成され、層306のエッチング対象部320が保護されずに、その残存部分を更にエッチングして除去できるよう残される。
ここで記載の酸化層は様々な方法で形成することができる。一実施形態において、酸化層は少なくとも酸素含有ガス、例えばO、NO、NO、CO、CO等をエッチングチャンバにインシチュで供給し、ポリシリコン表面と反応させることによって形成してもよい。別の実施形態においては、ポリシリコン層304を少なくとも酸素ガス又は酸素含有ガスを含有する環境に曝露して(つまり、基板をバッファチャンバ又は移送チャンバに移送する)、その上に酸化層を形成してもよい。更に別の実施形態において、基板を少なくとも酸素ガス又は酸素含有ガスを供給する別の処理チャンバ又は別のツールに移送して、基板表面上に酸化層を形成してもよい。
酸素含有ガスをエッチングチャンバに供給しながら、処理パラメータの一部を調節する。一実施形態においては、エッチングチャンバ内部における、酸素含有ガスの存在下におけるチャンバ圧を調整する。一模範実施形態において、エッチングチャンバ内の酸素含有ガスの圧力は約2mTorrから約150mTorr、例えば約10mTorrから約100mTorrに調節される。RFソース電力を印加して、第2混合ガスから生成されたプラズマを維持し、基板上の層304の少なくとも一部を酸化してもよい。例えば、約200ワットから約1500ワットの電力を誘導結合アンテナ源に印加して、エッチングチャンバ内にプラズマを維持してもよい。酸素含有ガスは、約50sccmから約2000sccmの速度で流入させてもよい。
ステップ208で、第3混合ガスを処理チャンバに供給して、図3Dに示されるように、処理チャンバ内部で層306の残存部分320を更にエッチングする。一実施形態において、エッチング処理は緻密領域310の層306の残存部分320が除去された時点で終了してもよい。別の実施形態においては、エッチング処理は、下層304の領域316(仮想線で表示)にオーバーエッチングすることで終了してもよい。更に別の実施形態において、エッチング処理は、図3Eに示されるように、下層304の露出した平坦な表面が除去され、マスク308のパターンフィーチャが積層体300に無事に転写された後に終了してもよい。任意の実施形態において、図2に図示のループ210によって示されるように、ステップ205、206、208を繰り返し行って緻密領域310の領域320が全て除去されるまで領域320を徐々に除去し、ゲート誘電層302を露出させてもよい。
第3混合ガスは、基板上の層の残存部分をエッチングするのに適した混合ガスならばいずれであってもよい。一実施形態において、第3混合ガスは上述のステップ202の第1混合ガスと同一であってもよい。別の実施形態において、第3混合ガスはシリコン層をエッチングするのに適したいずれのガスであってもよい。更に別の実施形態において、第3混合ガスは特にはCl、HCl、HBr、CF、CHF、NF、SF、O、N、He、Ar等のガスから成る群から選択してもよい。
更に、第3混合ガスをエッチングチャンバに供給しながら処理パラメータを調節してもよい。一実施形態において、エッチングチャンバ内の処理圧力は約2mTorrから約100mTorr、例えば約4mTorrに調節される。RFソース電力を印加して、第1処理ガスから生成されたプラズマを維持し、基板上の層304の少なくとも一部をエッチングしてもよい。例えば、約150ワットから約1500ワットの電力を誘導結合アンテナ源に印加してエッチングチャンバ内でプラズマを維持してもよい。第3混合ガスは約50sccmから約1000sccmの速度で流入させてもよい。基板温度は約20℃から約80℃の範囲に維持する。
ここで記載の基板のエッチング方法は、異なる膜層及び構造の基板のエッチングに利用してもよい。図4A−4Gに図示される別の模範実施形態において、基板は図2の方法200の別の実施形態を用いてエッチングされる。図4A−4Gは複合基板をエッチングするための工程200に対応する、複合基板の一部の概略断面図である。工程200は図4A−4Gのゲート構造を形成するために図示されるものであるが、工程200はその他の構造のエッチングにも有益に利用することができる。
方法200は基板を供給し、エッチング処理チャンバに移送するステップ202から開始される。図4Aに示されるように、基板114はその上に高k誘電層を備える層を含む。一実施形態において、基板114は積層体410を含み、例えばゲートといった構造がその上に形成される。積層体410は、高誘電率材料層402(高k材料は4.0よりも高い誘電率を有する)を挟む少なくとも1つ以上の層404、406を含む。積層体410は誘電層414、例えばゲート誘電層上、又は基板114上に直接配置してもよい。マスク408、例えばハードマスク、フォトレジストマスク又はその組み合わせを、積層体410の領域412を露出してその上にフィーチャをエッチングするためのエッチングマスクとして使用してもよい。基板114は半導体基板、シリコンウェハ、ガラス基板等のいずれであってもよい。層に挟まれた誘電層402は、基板上に構造を形成するのに利用されるいずれの適切な誘電層であってもよい。誘電層の適切な例には、酸化物膜、窒素層、酸化物と窒素層の複合体、窒素層を挟む少なくとも1つ以上の酸化物層その他が含まれるがこれに限定されるものではない。
図4に示される実施形態において、高k材料層402は4.0より高い誘電率を有する材料を含んでいてもよく、その例には特には二酸化ハフニウム(HfO)、酸化ジルコニウム、ハフニウムシリコン酸化物(HfSiO)、ジルコニウムシリコン酸化物(ZrSiO)、二酸化タンタル(TaO)、酸化アルミニウム、アルミニウムドープ二酸化ハフニウム、ビスマスストロンチウムチタン(BST)、プラチナジルコニウムチタン(PZT)が含まれる。
高k材料層402の上の層406は1つ以上の層を含んでいてもよい。一実施形態において、層406は特にはタングステン(W)、ケイ化タングステン(WSi)、タングステンポリシリコン(W/ポリ)、タングステン合金、タンタル(Ta)、窒化タンタル(TaN)、タンタル窒化ケイ素(TaSiN)、窒化チタン(TiN)を含む、ゲート電極用の金属材料を含む。あるいは、層406はポリシリコン層であっても、又はポリシリコン層を含むものであってもよい。積層体410から形成される構造に必要なら、層404、例えばポリシリコン層又は酸化物層を高k材料層402の下に任意で配置する。
ステップ204で、図4Bに示すように、第1混合ガスをエッチングチャンバに供給して積層体410をエッチングする。ステップ204において、層406の領域412をマスク408によって画成された開口部を通してエッチングし、積層体410にトレンチを形成する。
一実施形態において、第1混合ガスはハロゲン含有ガスを含み、酸素含有ガスを含まない。ハロゲン含有ガスは塩素含有ガスであってもよく、特には塩素ガス(Cl)、塩化ホウ素(BCl)、塩化水素(HCl)の少なくとも1つを含むがこれに限定されるものではない。あるいは、塩素ガス(Cl)と塩化ホウ素(BCl)の双方を第1混合ガスに含むことが可能である。ハロゲンガスの種類(例えば、Cl、BCl、又は両方)を選択することで、層406から金属(例えば、ハフニウム、ジルコニウム等)を効率的に除去する。
別の実施形態において、ステップ204で使用の第1混合ガスは、酸素含有ガスと共に又は酸素含有ガスを伴わずに還元剤を更に含んでいてもよい。適切な還元剤には特には炭化水素ガス、例えば一酸化炭素(CO)、酸素ガス(O)、メタン(CH)、エタン(C)、エチレン(C)、その組み合わせが含まれるが、これに限定されるものではない。別の実施形態においては、炭化水素(例えば、メタン)を選択して、エッチング処理中に生成された副生成物と結合する重合ガスとして機能させる。メタンはシリコン材料のエッチングを抑制するために使用され、この結果、シリコン材料よりも高k誘電材料(例えば、HfO又はHfSiO)に対して高いエッチング選択性が得られる。また、第1混合ガスは、1つ以上の付加ガスを更に含んでいてもよく、特にはヘリウム(He)、アルゴン(Ar)、窒素(N)等が挙げられる。
第1混合ガスをエッチングチャンバに供給しながら、処理パラメータを調節してもよい。一実施形態において、エッチングチャンバ内部の第1混合ガスの存在下におけるチャンバ圧は約2mTorrから約100mTorr、例えば約10mTorrに調節される。基板バイアス電力を基板支持台座に電力約0から約80ワットで印加してもよい。RFソース電力を印加して、第1処理ガスから生成されたプラズマを維持し、層406の少なくとも一部をエッチングしてもよい。例えば約0ワットから約3000ワットの電力を誘導結合アンテナ源に印加してエッチングチャンバ内にプラズマを維持してもよい。基板温度は約30℃から約500度の範囲に維持する。
任意のステップ205で、洗浄ガスを供給してエッチングステップ204中に堆積した再堆積層426をエッチングしてもよい。再堆積層426は、シリコン及び炭素含有成分等の副生成物を放出するマスクしていない領域のエッチング中にエッチングチャンバ内で形成される場合がある。副生成物は濃縮し、マスク層408及びエッチング層406の側壁又は上部に蓄積し、図4Bに示されるように再堆積層426を形成し得る。再堆積層426が成長するにつれ、トレンチの開口部412は狭くなり及び/又は封止され、トレンチエッチング処理の終了を妨害し得る。そのようなことから、洗浄ガスをエッチングチャンバに供給して再堆積層426をエッチングして重合体堆積物を除去し、パターンマスクを再開口し、限界寸法及び/又はトレンチ側壁プロファイル及び/又は角度に悪影響を与えることなくエッチングの継続を可能としてもよい。
洗浄ガスはフッ素含有ガスを含んでいてもよい。一実施形態において、洗浄ガスは少なくとも1つのフッ素含有ガスを含み、例えば三フッ化窒素ガス(NF)、六フッ化硫黄ガス(SF)、テトラフルオロメタンガス(CF)が挙げられる。別の実施形態において、洗浄ガスは炭素及びフッ素含有ガスを含み、CHF、C等が含まれる。挿入ガス、例えばアルゴン(Ar)、ヘリウム(He)等を洗浄ガスに更に追加してもよい。
従来の処理においては、エッチング処理中、高アスペクト比のエッチング層の側壁のパッシベーション不足が観察されることがある。側壁のパッシベーションが不十分だと、垂直方向はもとより横方向のエッチングが同時に起こり、エッチング処理の結果、フィーチャの所定の寸法に大幅のズレ又は、丸く削れた角部等のフィーチャの角部の浸食につながることがある。こういったズレは限界寸法(CD)バイアスと称される。
CDバイアスを防止するために、ステップ206で酸化層418を堆積する。酸化層418は、図4Cに示されるように、酸素含有ガスを含む第2混合ガスをエッチングチャンバに供給して基板上のエッチング層406の側壁422に酸化層418を形成することで適用することができる。一実施形態において、層406の露出した側壁422は処理チャンバに供給された酸素ガスと反応して、SiO層として酸化層418を形成する。酸化層418は続くエッチングステップにおける横方向の浸食から層406の側壁422を保護するパッシベーション層として機能する。
酸化層418は様々な方法で形成することができる。一実施形態において、酸化層418は少なくとも酸素含有ガス、特にはO、NO、NO、CO、CO等をエッチングチャンバにインシチュで供給し基板と反応させることによって形成してもよい。別の実施形態において、エッチング層406を酸素ガス又は酸素含有ガスを含有する環境に曝露して、その上に酸化層を形成してもよい。更に別の実施形態においては、基板をバッファチャンバ又は移送チャンバへと移送することによるツールの真空環境外の大気条件への曝露により、ツール間の移送中に酸化層が形成される。
ステップ208においては、図4Dに示されるように、第3混合ガスを処理チャンバに供給して高k材料層402をエッチングする。一実施形態において、ステップ204後に残存する層406の一部を層402と共にエッチングする。ステップ208でのエッチング処理は実質、垂直方向である。一実施形態において、ステップ208のエッチング処理は、高k材料402が全て除去されてから終了してもよい。別の実施形態において、エッチング処理は基板をオーバーエッチングして、高k材料層402の下に配置された下層404の領域424を除去することを含んでいてもよい。
再堆積層426が、続くエッチング処理であるステップ208の最中に再堆積され、酸化層418がエッチング処理中に消費される場合がある。従って、ステップ205、206、208を任意で周期的に行って、層402を徐々にエッチングしてもよい。再堆積層426の反復的な除去及び酸化層418の堆積による段階的なエッチングによりトレンチの垂直性が改善され、パターンマスクを再開口し、層402のフィーチャエッチング中に酸化層を維持することによりマスクからトレンチへのCD転写が増強される。
別の実施形態においては、図4Eに示されるように、第1酸化層418が消費されたあと、第2混合ガスをエッチングチャンバに再度供給してエッチング層406、402の側壁422上に第2酸化層420を適用し、続くエッチング処理中において層が横方向からエッチングされることを更に防止してもよい。
第2酸化層420の任意の堆積に続き、第3混合ガスを処理チャンバに供給して、図4Fに示されるように層404をエッチングしてもよい。第3混合ガスは層404を除去するのに適したいずれのガスであってもよい。一実施形態において、第3混合ガスはステップ204の第1混合ガスと同じであってもよい。別の実施形態において、第3混合ガスは特にはHBr、Cl、HCl、CF、CHF、NF、SF、N、O、He、Arから成る群から選択してもよい。
層404のエッチング中、処理パラメータを調節してもよい。例えば、エッチングチャンバ内の処理圧力を約2mTorrから約100mTorr、例えば約20mTorrに調節する。RFソース電力を印加して、第1処理ガスから生成されたプラズマを維持してもよい。例えば、約100ワットから約800ワットの電力を誘導結合アンテナ源に印加してエッチングチャンバ内でプラズマを維持してもよい。第3混合ガスは約50sccmから約1000sccmの速度でチャンバ内に流入させてもよい。基板温度は約20℃から約500℃の範囲に維持する。
マスク層408は、図4Gに示すように、積層体410をエッチング後に除去してもよい。別の実施形態においては、パターンマスクを再開口し、エッチングしたフィーチャの側壁を保護する酸化層を維持しながら、図2のループ210で示されるようにステップ205、206、208を繰り返し行って層404を徐々にエッチングしてもよい。
上述の方法は、異なる膜層を有する基板をエッチング及び/又は異なる構造を形成するために利用してもよい。図5A−5Eに図示の更に別の模範実施形態においては、図2の方法200の別の実施形態を用いて基板114をエッチングする。
図5A−5Eは、シャドートレンチ分離構造(STI)をエッチングするための工程200に対応する、基板の一部の概略断面図である。工程200は図5A−5EのSTI構造の形成を説明するものであるが、工程200はその他の構造をエッチングするために有益に利用し得る。
方法200は、基板をエッチング処理チャンバに移送するステップ202から開始される。図5Aに示されるように、基板114はその上に配置された層500を含む。一実施形態において、層500はSTI構造を作製するのに適している。層500はシリコン膜、例えばブランケットベアシリコン膜であってもよい。層500が存在しない実施形態の場合、層500に施すものとして記載した処理を、代わりに基板114上に施してもよい。基板114はいずれの半導体基板、例えばシリコンウェハ、ガラス基板等であってもよい。
マスク502はハードマスク、フォトレジストマスク又はその組み合わせであってもよい。エッチングマスクとしてのマスク502は層500の領域504を露出させる開口部を有する。層500を備えたもしくは備えない基板114を開口部を通してエッチングして露出部分504から材料を除去し、フィーチャを形成してもよい。
ステップ204においては、第1混合ガスをエッチングチャンバに供給して層500をエッチングする。ステップ204において、図5Bに示すように、マスク502によって画成された開口部を通して層500の領域504をエッチングして、膜層500にトレンチを形成する。
一実施形態において、第1混合ガスはハロゲン含有ガスを含む。ハロゲン含有ガスは臭素含有ガスであってもよく、臭化水素(HBr)、臭素ガス(Br)等の少なくとも1つを含んでいてもよいがこれに限定されるものではなく、少なくとも1つのフッ素含有ガスを伴うものであってもよい。一実施形態において、第1混合ガスは臭素ガス(Br)と三フッ化窒素(NF)を含む。別の実施形態において、ステップ204で使用の第1混合ガスは更にシリコン含有ガスを含んでいてもよい。適切なシリコン含有ガスはテトラフルオロシランガス(SiF)であってもよい。
ステップ204中、処理パラメータを調節してもよい。一実施形態においては、エッチングチャンバ内部における、第1混合ガスの存在下におけるチャンバ圧を約2mTorrから約100mTorr、例えば約10mTorrに調節する。基板バイアス電力を約0から約300ワットで基板支持台座に印加してもよい。RFソース電力を印加して、第1処理ガスから生成されたプラズマを維持し、層406の少なくとも一部をエッチングしてもよい。例えば、約200ワットから約3000ワットの電力を誘導結合アンテナ源に印加して、エッチングチャンバ内にプラズマを維持してもよい。基板温度は約30℃から約500℃の範囲に維持する。
任意のステップ205で、洗浄ガスをチャンバに供給することで、エッチングステップ204中に堆積した再堆積層506(図5Bに図示)を除去してもよい。洗浄ガスはマスク502とエッチング層500の上部又は側壁に蓄積された再堆積層506をエッチングし、パターンマスクを再開口する。
ここで使用する洗浄ガスは、少なくともフッ素含有ガスを含んでいてもよい。一実施形態において、洗浄ガスは少なくともフッ素含有ガスを含み、例えば三フッ化窒素ガス(NF)、六フッ化硫黄ガス(SF)、テトラフルオロメタンガス(CF)が挙げられる。別の実施形態において、洗浄ガスは炭素及びフッ素含有ガスを含み、CHF、C等が含まれる。洗浄ガスは挿入ガス、例えばアルゴン(Ar)、ヘリウム(He)等を含んでいてもよい。
上述したように、高アスペクト比のエッチング層の側壁のパッシベーションの不足がエッチング処理中に観察される場合がある。側壁を充分に保護するために、酸化層508をステップ206で堆積する。酸化層508は、図5Cに示されるように、酸素含有ガスを有する第2混合ガスをエッチングチャンバに供給して基板上のエッチング層500の側壁510に酸化層508を形成することで適用することができる。一実施形態において、層500の露出した側壁510は処理チャンバに供給された酸素ガスと反応して、SiO層として酸化層508を形成する。酸化層508は続くエッチングステップにおける横方向の浸食から層500の側壁510を保護するパッシベーション層として機能する。
酸化層508は様々な方法で形成することができる。一実施形態において、酸化層508は少なくとも酸素含有ガス、特にはO、NO、NO、CO、CO等をエッチングチャンバにインシチュで供給し、基板と反応させることによって形成してもよい。別の実施形態においては、エッチング層500を少なくとも酸素ガス及び/又は酸素含有ガスを含有する環境に曝露して(つまり、基板をバッファチャンバ又は移送チャンバに移送する)、その上に酸化層を形成してもよい。更に別の実施形態において、ツールの真空環境外の大気条件に曝露されることで、ツール間での移送中に酸化層が形成される。
ステップ208においては、図5Dに示されるように、第3混合ガスを処理チャンバに供給して、マスク502によって保護されていないエッチング層500の残存部分504をエッチングする。エッチング処理は実質的に垂直方向である。第3混合ガスは層500を除去するのに適したいずれのガスであってもよい。一実施形態において、第3混合ガスはステップ204の第1混合ガスと同一であってもよい。一実施形態において、ステップ208のエッチング処理は、層500が全て除去されてから終了してもよい。
再堆積層506がステップ208の続くエッチング処理中に再堆積され、酸化層508がエッチング処理中に消費される場合がある。従って、図2のループ210によって示されるように、ステップ205、206、208を任意で周期的に行って、層500を徐々にエッチングしてもよい。再堆積層506の反復的な除去及び/又は酸化層508の堆積による段階的なエッチングは、正確なCD転写を促進しながらも、パターンマスクを再開口し、層500へのフィーチャのエッチング中酸化層を維持することによりトレンチの垂直性を改善する。マスク層は、図5Eに示すように、層500を所望のフィーチャにエッチングした後に除去してもよい。
第3混合ガスは、層500を除去するのに適したいずれのガスであってもよい。一実施形態において、第3混合ガスはステップ204の第1混合ガスと同一であってもよい。
図6は、エッチング工程600の別の実施形態のフローチャートである。図7A−7Dは高アスペクト比の基板をエッチングするための工程600に対応する基板の一部の概略断面図である。工程600は図7A−7Dの高アスペクト比構造の形成を説明するものであるが、工程600はその他の構造をエッチングするためにも有益に利用し得る。
工程600は、基板114をエッチング処理チャンバに移動するステップ602から開始される。図7Aに示される実施形態において、基板114は高アスペクト比構造を作製するに適した層700を有する。層700はいずれの材料であってもよく、例えば誘電材料、シリコン材料、金属、金属窒化物、合金、その他の導電材料が挙げられる。基板114は半導体基板、シリコンウェハ、ガラス基板等のいずれであってもよい。層700を含む積層は適切な従来の堆積技法を用いて形成してもよく、例えば、原子層成長法(ALD)、物理的気相成長法(PVD)、化学気相成長法(CVD)、プラズマ気相成長CVD(PECVD)等が挙げられる。
例えばハードマスク、フォトレジストマスク又はその組み合わせであるマスク702は、層700の領域704を露出するエッチングマスクとして使用してもよい。層700の露出部分704をマスク702の開口部を通してエッチングしてフィーチャ、例えば高アスペクト比トレンチを形成してもよい。
図7Bに示されるように、ステップ604において、第1混合ガスをエッチングチャンバに供給して層700をエッチングする。ステップ604において、層700の領域704をマスク702によって画成された開口部を通してエッチングし、膜層700にトレンチを形成する。
ステップ606において、洗浄ガスを利用してエッチングステップ604中に形成された再堆積層706をエッチングしてもよい。マスク層702又はエッチング層700は、ステップ604中に腐食されるとシリコン及び炭素含有成分等の反応物質をエッチングチャンバ内で放出する。反応物質は濃縮し、マスク層702及びエッチング層700の側壁及び/又は上部に蓄積し、図7Bに示されるように再堆積層706を形成する場合がある。再堆積層706が蓄積するにつれ、トレンチの開口部704が狭くなる及び/又は封止され、エッチング処理を妨害することがある。そのようなことから、洗浄ガスをエッチングチャンバに供給して重合体再堆積層706をエッチングし、パターンマスクを再開口する。
洗浄ガスは、少なくとも1つのフッ素含有ガスを含んでいてもよい。一実施形態において、洗浄ガスは少なくともフッ素含有ガスを含み、例えば三フッ化窒素ガス(NF)、六フッ化硫黄ガス(SF)、テトラフルオロメタンガス(CF)等が挙げられる。別の実施形態において、洗浄ガスは炭素及びフッ素含有ガスを含み、CHF、C等が含まれる。洗浄ガスは挿入ガス、例えばアルゴン(Ar)、ヘリウム(He)等を含有していてもよい。
ステップ608においては、図7Cに示されるように、第2混合ガスを処理チャンバに供給して、マスク702によって保護されていないエッチング層700の残存部分704をエッチングする。エッチング処理は実質的に垂直方向である。第2混合ガスは層700を除去するのに適したいずれのガスであってもよい。一実施形態において、第2混合ガスはステップ604の第1混合ガスと同一であってもよい。一実施形態において、ステップ608のエッチング処理は、層700が全て除去されてから終了してもよい。
再堆積層706は、ステップ608の続くエッチング処理中に再堆積される場合がある。従って、図6のループ610よって示されるように、ステップ606、608を任意で繰り返し行って、層700を周期的にエッチングしてもよい。再堆積層706の反復的な除去による段階的なエッチングにより、正確なCD転写を実現しながらも、層700へのフィーチャのエッチング中にパターンマスクを再開口することで高アスペクト比でエッチングしながらも垂直性が改善される。あるいは、マスク層702は、図7Dに示すように、層700を所望のフィーチャにエッチングした後に除去してもよい。
従って、本発明は基板をエッチングするための改善された方法を提供する。本方法は、保護酸化層を選択的に形成及び/又はエッチング中に形成された再堆積層を除去してエッチングしながらプロファイルと寸法の制御を有利に促進する。
上記は本発明の実施形態についてのものであるが、本発明の基本的な範囲から逸脱することなくその他かつ更なる実施形態を考案することができ、その範囲は特許請求の範囲に基づいて決定される。
本発明の開示は、添付図面と共に詳細な記載を考慮することによって容易に理解することができる。
本発明の一実施形態によるエッチングを実施する際に使用されるプラズマ処理装置の概略図である。 本発明の一実施形態を組み込んだ方法を示すプロセスフローチャートである。 緻密領域と隔離領域を有する複合構造体の一部の断面図である。 少なくとも高k材料を含有する層を有する複合構造体の一部の断面図である。 浅いトレンチ分離(STI)構造を有する基板の一部の断面図である。 本発明の別の実施形態を組み込んだ方法を説明する工程フローチャートである。 高アスペクト比構造を形成する基板の一部の断面図である。 寸法制御の悪いエッチングによる、高アスペクト比を備えたフィーチャの従来実施形態の断面図である。 多層構造における高アスペクト比のフィーチャの従来実施形態の断面図である。
理解の便宜上、可能な限り同一の参照番号を使用して図に共通な同一部材を示した。一実施形態における要素及び構成は、特に記載がなくとも別の実施形態にも有益に組み込み得ると理解される。
しかしながら、添付の図面は本発明の代表的な実施形態のみを示すにすぎず、従って、本発明はその他の同様に効果的な実施形態も許容することから、発明の範囲を制限するものではないことに留意すべきである。

Claims (22)

  1. (a)層をその上に有する基板をエッチングチャンバ内に設置し、
    (b)エッチングチャンバ内で基板上の層の少なくとも一部をエッチングし、
    (c)エッチングされた層上に酸化層を形成し、
    (d)エッチングチャンバ内で酸化層により保護されていないエッチングされた層の露出部分をエッチングすることを含む高アスペクト比で基板上の層を異方性エッチングするための方法。
  2. フッ素含有ガスを用いてステップ(b)で形成された再堆積層をエッチングすることを含む請求項1記載の方法。
  3. 層の少なくとも一部をエッチングするステップは、ステップ(c)―(d)を繰返して、層を増分的にエッチングすることを含む請求項1記載の方法。
  4. 層上に堆積されたパターン化されたマスク層を周期的に再開口することを含む請求項1記載の方法。
  5. フッ素含有ガスが、三フッ化窒素ガス(NF)、六フッ化硫黄ガス(SF)、又はテトラフルオロメタンガス(CF)、CHF、及びCの少なくとも1つを含む請求項2記載の方法。
  6. 酸化層を形成するステップは、エッチングされた層に形成された側壁部上に酸化層を形成することを含む請求項1記載の方法。
  7. 酸化層を形成するステップは、高いパターン密度を有するフィーチャの第2グループより優先的に低いパターン密度を有するフィーチャの第1グループに酸化層を形成することを含む請求項1記載の方法。
  8. 酸化層を形成するステップは、エッチングチャンバに酸素含有ガスを供給することを含む請求項1記載の方法。
  9. 酸化層を形成するステップは、基板を酸素含有雰囲気に露出することを含む請求項1記載の方法。
  10. (a)第1層と第2層を含む積層体を有する基板をエッチングチャンバ内に設置し、
    (b)エッチングチャンバ内で積層体をエッチングして第1層と第2層とを露出させ、
    (c)第1層上に酸化層を形成し、
    (d)エッチングチャンバ内で第2層をエッチングすることを含む高アスペクト比で基板上の層を異方性エッチングするための方法。
  11. 積層体をエッチングして第1層と第2層とを露出させるステップは、第1層をエッチングし、第1層上に酸化層を形成し、第1層をエッチングして第2層を露出させることを含む請求項10記載の方法。
  12. 積層体をエッチングして第1層と第2層とを露出させるステップは、チャンバ内にフッ素含有ガスを流し、第1層をエッチングする間に形成された再堆積層をエッチングすることを含む請求項10記載の方法。
  13. ステップ(c)―(d)を繰返して、第2層を増分的にエッチングすることを含む請求項10記載の方法。
  14. 再堆積層を周期的に除去して、パターン化されたマスク層に形成された開口部を維持することを含む請求項12記載の方法。
  15. 再堆積層をエッチングするステップは、フッ素含有ガスで再堆積層をエッチングすることを含む請求項12記載の方法。
  16. 第2層は高k材料である請求項10記載の方法。
  17. 高k材料は、二酸化ハフニウム、二酸化ジルコニウム、ハフニウムシリコン酸化物、ジルコニウムシリコン酸化物、二酸化タンタル、酸化アルミニウム、アルミニウムドープ二酸化ハフニウム及びこれらの組合せから成る群から選択される材料である請求項16記載の方法。
  18. 第1層はポリシリコン層である請求項10記載の方法。
  19. 酸化層を形成するステップは、高パターン密度の領域より低パターン密度の領域に優先的に酸化層を形成する請求項10記載の方法。
  20. 酸化層は第1層の側壁上に形成される請求項10記載の方法。
  21. 酸化層を形成することは、第2層の上部に酸化層を形成することを含む請求項10記載の方法。
  22. 第2層は、酸化層、窒素層、酸化物及び窒素層の組成物、及び窒素層を挟む1又はそれ以上の酸化層を含む誘電層である請求項14記載の方法。
JP2007045100A 2006-02-27 2007-02-26 高アスペクト比用途の異方性フィーチャを形成するためのエッチング方法 Withdrawn JP2007235136A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/363,834 US7368394B2 (en) 2006-02-27 2006-02-27 Etch methods to form anisotropic features for high aspect ratio applications

Publications (1)

Publication Number Publication Date
JP2007235136A true JP2007235136A (ja) 2007-09-13

Family

ID=38443019

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007045100A Withdrawn JP2007235136A (ja) 2006-02-27 2007-02-26 高アスペクト比用途の異方性フィーチャを形成するためのエッチング方法

Country Status (5)

Country Link
US (2) US7368394B2 (ja)
JP (1) JP2007235136A (ja)
KR (1) KR100892797B1 (ja)
CN (1) CN101064244B (ja)
TW (1) TWI352387B (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010503996A (ja) * 2006-09-12 2010-02-04 東京エレクトロン株式会社 ハフニウム含有材料を乾式エッチングする方法およびシステム
JP2010287823A (ja) * 2009-06-15 2010-12-24 Denso Corp 半導体装置の製造方法
JP2011187557A (ja) * 2010-03-05 2011-09-22 Toshiba Corp 半導体装置の製造方法
JP2014192245A (ja) * 2013-03-26 2014-10-06 Tokyo Electron Ltd プラズマ処理方法及びプラズマ処理装置
JP2015050440A (ja) * 2013-09-04 2015-03-16 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP2016213339A (ja) * 2015-05-11 2016-12-15 東京エレクトロン株式会社 被処理体を処理する方法
JP2021190679A (ja) * 2020-06-03 2021-12-13 台湾ナノカーボンテクノロジー股▲ふん▼有限公司Taiwan Carbon Nano Technology Corporation 半導体デバイスのトレンチ構造を製造するためのドライエッチングプロセス
WO2023286192A1 (ja) * 2021-07-14 2023-01-19 株式会社日立ハイテク プラズマ処理方法

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7300878B1 (en) * 2006-05-25 2007-11-27 Texas Instruments Incorporated Gas switching during an etch process to modulate the characteristics of the etch
US7544521B1 (en) * 2006-09-11 2009-06-09 Lam Research Corporation Negative bias critical dimension trim
TWI435376B (zh) 2006-09-26 2014-04-21 Applied Materials Inc 用於缺陷鈍化之高k閘極堆疊的氟電漿處理
KR20080060017A (ko) * 2006-12-26 2008-07-01 주식회사 하이닉스반도체 반도체 소자의 제조방법
KR20080076173A (ko) * 2007-02-15 2008-08-20 삼성전자주식회사 금속 산화막 패턴 형성 방법 및 이를 이용한 반도체 소자의형성 방법
KR100914301B1 (ko) * 2008-03-27 2009-08-27 주식회사 하이닉스반도체 표면 거침도가 개선된 텅스텐층 형성 방법
US20090246713A1 (en) * 2008-03-31 2009-10-01 Tokyo Electron Limited Oxygen-containing plasma flash process for reduced micro-loading effect and cd bias
US8298949B2 (en) * 2009-01-07 2012-10-30 Lam Research Corporation Profile and CD uniformity control by plasma oxidation treatment
US8901004B2 (en) * 2009-07-27 2014-12-02 Lam Research Corporation Plasma etch method to reduce micro-loading
JP2011194629A (ja) * 2010-03-18 2011-10-06 Fujifilm Corp マスターモールドの製造方法およびモールド構造体の製造方法
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US8377632B2 (en) * 2011-05-29 2013-02-19 Nanya Technology Corp. Method of reducing microloading effect
CN103065959B (zh) * 2011-10-21 2015-12-09 上海华虹宏力半导体制造有限公司 一种减小硅刻蚀负载效应的方法
US9679751B2 (en) * 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
TWI602283B (zh) * 2012-03-27 2017-10-11 諾發系統有限公司 鎢特徵部塡充
JP5898549B2 (ja) 2012-03-29 2016-04-06 株式会社Screenホールディングス 基板処理方法および基板処理装置
JP5968130B2 (ja) * 2012-07-10 2016-08-10 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP2014036104A (ja) * 2012-08-08 2014-02-24 Tokyo Electron Ltd パターン形成方法及び固体撮像装置
US9082719B2 (en) * 2012-10-19 2015-07-14 Infineon Technologies Ag Method for removing a dielectric layer from a bottom of a trench
US9142417B2 (en) 2012-12-14 2015-09-22 Lam Research Corporation Etch process with pre-etch transient conditioning
US8906810B2 (en) * 2013-05-07 2014-12-09 Lam Research Corporation Pulsed dielectric etch process for in-situ metal hard mask shape control to enable void-free metallization
JP6267953B2 (ja) * 2013-12-19 2018-01-24 東京エレクトロン株式会社 半導体装置の製造方法
US9653320B2 (en) * 2014-09-12 2017-05-16 Applied Materials, Inc. Methods for etching a hardmask layer for an interconnection structure for semiconductor applications
EP3067919A1 (en) * 2015-03-11 2016-09-14 IMEC vzw Method for forming vertical structures in a semiconductor target layer
KR20160116915A (ko) * 2015-03-31 2016-10-10 삼성전자주식회사 반도체 소자 제조 방법
TWI687970B (zh) 2016-02-22 2020-03-11 東京威力科創股份有限公司 圖案化層之循環式蝕刻的方法
CN107437581B (zh) * 2016-05-25 2020-10-09 上海磁宇信息科技有限公司 一种以氧化钽为硬掩模的磁性隧道结的制备方法
TWI680535B (zh) 2016-06-14 2019-12-21 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
TWI719262B (zh) 2016-11-03 2021-02-21 美商應用材料股份有限公司 用於圖案化之薄膜的沉積與處理
EP3539154A4 (en) 2016-11-08 2020-06-03 Applied Materials, Inc. GEOMETRIC CONTROL OF PRESSURE COLUMNS FOR SAMPLE APPLICATIONS
US10546756B2 (en) * 2016-11-29 2020-01-28 Lam Research Corporation Method for generating vertical profiles in organic layer etches
TW201839897A (zh) 2017-02-22 2018-11-01 美商應用材料股份有限公司 自對準接觸圖案化之臨界尺寸控制
JP6586433B2 (ja) * 2017-03-30 2019-10-02 株式会社Kokusai Electric 基板処理方法、基板処理装置、プログラム
WO2018200212A1 (en) 2017-04-25 2018-11-01 Applied Materials, Inc. Selective deposition of tungsten for simplified process flow of tungsten oxide pillar formation
US10840186B2 (en) 2017-06-10 2020-11-17 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps
TW201906035A (zh) 2017-06-24 2019-02-01 美商微材料有限責任公司 生產完全自我對準的介層窗及觸點之方法
US10510602B2 (en) 2017-08-31 2019-12-17 Mirocmaterials LLC Methods of producing self-aligned vias
WO2019046402A1 (en) 2017-08-31 2019-03-07 Micromaterials Llc METHODS FOR GENERATING SELF-ALIGNED INTERCONNECTION HOLES
JP7194725B2 (ja) * 2017-09-05 2022-12-22 アプライド マテリアルズ インコーポレイテッド 3dメモリ構造における高アスペクト比孔形成へのボトムアップアプローチ
WO2019050735A1 (en) 2017-09-06 2019-03-14 Micromaterials Llc METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES
CN110034017A (zh) 2017-12-07 2019-07-19 微材料有限责任公司 用于使金属和阻挡层-衬垫可控凹陷的方法
EP3499557A1 (en) 2017-12-15 2019-06-19 Micromaterials LLC Selectively etched self-aligned via processes
TW201939628A (zh) 2018-03-02 2019-10-01 美商微材料有限責任公司 移除金屬氧化物的方法
US10790191B2 (en) 2018-05-08 2020-09-29 Micromaterials Llc Selective removal process to create high aspect ratio fully self-aligned via
TW202011547A (zh) 2018-05-16 2020-03-16 美商微材料有限責任公司 用於產生完全自對準的通孔的方法
US10699953B2 (en) 2018-06-08 2020-06-30 Micromaterials Llc Method for creating a fully self-aligned via
CN109110726B (zh) * 2018-07-03 2021-06-29 北京大学 一种提高高深宽比钨合金刻蚀均匀性的方法
TW202105505A (zh) * 2019-02-22 2021-02-01 日商東京威力科創股份有限公司 電漿蝕刻製程
US11164938B2 (en) 2019-03-26 2021-11-02 Micromaterials Llc DRAM capacitor module
CN112437973A (zh) * 2019-06-26 2021-03-02 株式会社日立高新技术 等离子处理方法
US11177137B2 (en) * 2020-01-17 2021-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer etching process and methods thereof

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5071714A (en) 1989-04-17 1991-12-10 International Business Machines Corporation Multilayered intermetallic connection for semiconductor devices
US5188979A (en) 1991-08-26 1993-02-23 Motorola Inc. Method for forming a nitride layer using preheated ammonia
US5264716A (en) * 1992-01-09 1993-11-23 International Business Machines Corporation Diffused buried plate trench dram cell array
US5337207A (en) 1992-12-21 1994-08-09 Motorola High-permittivity dielectric capacitor for use in a semiconductor device and process for making the same
US5356833A (en) 1993-04-05 1994-10-18 Motorola, Inc. Process for forming an intermetallic member on a semiconductor substrate
US5563343A (en) * 1993-05-26 1996-10-08 Cornell Research Foundation, Inc. Microelectromechanical lateral accelerometer
US5354417A (en) * 1993-10-13 1994-10-11 Applied Materials, Inc. Etching MoSi2 using SF6, HBr and O2
JP2924723B2 (ja) 1995-08-16 1999-07-26 日本電気株式会社 ドライエッチング方法
US6148072A (en) 1997-01-03 2000-11-14 Advis, Inc Methods and systems for initiating video communication
US5925918A (en) * 1997-07-30 1999-07-20 Micron, Technology, Inc. Gate stack with improved sidewall integrity
US6001706A (en) * 1997-12-08 1999-12-14 Chartered Semiconductor Manufacturing, Ltd. Method for making improved shallow trench isolation for semiconductor integrated circuits
KR200180937Y1 (ko) * 1998-04-08 2000-05-15 이충곤 자동차용 고무부쉬
US6190988B1 (en) * 1998-05-28 2001-02-20 International Business Machines Corporation Method for a controlled bottle trench for a dram storage node
US6242350B1 (en) 1999-03-18 2001-06-05 Taiwan Semiconductor Manufacturing Company Post gate etch cleaning process for self-aligned gate mosfets
US6348686B1 (en) * 1999-07-14 2002-02-19 Hubbell Incorporated Adapter for positioning a lens
US6319730B1 (en) 1999-07-15 2001-11-20 Motorola, Inc. Method of fabricating a semiconductor structure including a metal oxide interface
US6270568B1 (en) 1999-07-15 2001-08-07 Motorola, Inc. Method for fabricating a semiconductor structure with reduced leakage current density
US6328905B1 (en) 1999-08-12 2001-12-11 Advanced Micro Devices, Inc. Residue removal by CO2 water rinse in conjunction with post metal etch plasma strip
US6274500B1 (en) 1999-10-12 2001-08-14 Chartered Semiconductor Manufacturing Ltd. Single wafer in-situ dry clean and seasoning for plasma etching process
US6479395B1 (en) 1999-11-02 2002-11-12 Alien Technology Corporation Methods for forming openings in a substrate and apparatuses with these openings and methods for creating assemblies with openings
GB0000901D0 (en) 2000-01-14 2000-03-08 Isis Innovation Antiparasitic agent
US6300202B1 (en) 2000-05-18 2001-10-09 Motorola Inc. Selective removal of a metal oxide dielectric
US6284666B1 (en) * 2000-05-31 2001-09-04 International Business Machines Corporation Method of reducing RIE lag for deep trench silicon etching
US6444512B1 (en) 2000-06-12 2002-09-03 Motorola, Inc. Dual metal gate transistors for CMOS process
US6297095B1 (en) 2000-06-16 2001-10-02 Motorola, Inc. Memory device that includes passivated nanoclusters and method for manufacture
US6692903B2 (en) * 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
US6326261B1 (en) 2001-01-05 2001-12-04 United Microelectronics Corp. Method of fabricating a deep trench capacitor
US6348386B1 (en) 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US6563160B2 (en) 2001-08-09 2003-05-13 International Business Machines Corporation High dielectric constant materials forming components of DRAM such as deep-trench capacitors and gate dielectric (insulators) for support circuits
US6534376B2 (en) 2001-08-15 2003-03-18 Infineon Technologies Ag Process flow for sacrificial collar scheme with vertical nitride mask
US6528386B1 (en) 2001-12-20 2003-03-04 Texas Instruments Incorporated Protection of tungsten alignment mark for FeRAM processing
US6897155B2 (en) 2002-08-14 2005-05-24 Applied Materials, Inc. Method for etching high-aspect-ratio features
CN100437970C (zh) * 2003-03-07 2008-11-26 琥珀波系统公司 一种结构及用于形成半导体结构的方法
US7009237B2 (en) * 2004-05-06 2006-03-07 International Business Machines Corporation Out of the box vertical transistor for eDRAM on SOI
DE102004031741B4 (de) * 2004-06-30 2010-04-01 Qimonda Ag Verfahren zur Herstellung einer Kontaktanordnung für Feldeffekttransistorstrukturen mit Gateelektroden mit einer Metalllage und Verwendung des Verfahrens zur Herstellung von Feldeffekttransistoranordnungen in einem Zellenfeld
US20060017043A1 (en) * 2004-07-23 2006-01-26 Dingjun Wu Method for enhancing fluorine utilization

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010503996A (ja) * 2006-09-12 2010-02-04 東京エレクトロン株式会社 ハフニウム含有材料を乾式エッチングする方法およびシステム
KR101411744B1 (ko) 2006-09-12 2014-06-25 도쿄엘렉트론가부시키가이샤 하프늄 함유층의 에칭 방법 및 플라즈마 처리 시스템
JP2010287823A (ja) * 2009-06-15 2010-12-24 Denso Corp 半導体装置の製造方法
JP2011187557A (ja) * 2010-03-05 2011-09-22 Toshiba Corp 半導体装置の製造方法
JP2014192245A (ja) * 2013-03-26 2014-10-06 Tokyo Electron Ltd プラズマ処理方法及びプラズマ処理装置
JP2015050440A (ja) * 2013-09-04 2015-03-16 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP2016213339A (ja) * 2015-05-11 2016-12-15 東京エレクトロン株式会社 被処理体を処理する方法
TWI703630B (zh) * 2015-05-11 2020-09-01 日商東京威力科創股份有限公司 處理被處理體之方法
JP2021190679A (ja) * 2020-06-03 2021-12-13 台湾ナノカーボンテクノロジー股▲ふん▼有限公司Taiwan Carbon Nano Technology Corporation 半導体デバイスのトレンチ構造を製造するためのドライエッチングプロセス
JP7071466B2 (ja) 2020-06-03 2022-05-19 台湾ナノカーボンテクノロジー股▲ふん▼有限公司 半導体デバイスのトレンチ構造を製造するためのドライエッチングプロセス
WO2023286192A1 (ja) * 2021-07-14 2023-01-19 株式会社日立ハイテク プラズマ処理方法
JP7320136B2 (ja) 2021-07-14 2023-08-02 株式会社日立ハイテク プラズマ処理方法

Also Published As

Publication number Publication date
CN101064244B (zh) 2010-09-01
US20080057729A1 (en) 2008-03-06
US20070199922A1 (en) 2007-08-30
TWI352387B (en) 2011-11-11
CN101064244A (zh) 2007-10-31
US7368394B2 (en) 2008-05-06
KR100892797B1 (ko) 2009-04-10
TW200737337A (en) 2007-10-01
KR20070089058A (ko) 2007-08-30

Similar Documents

Publication Publication Date Title
US7368394B2 (en) Etch methods to form anisotropic features for high aspect ratio applications
JP2007235135A (ja) 高アスペクト比用途の異方性フィーチャを形成するためのエッチング方法
US7368392B2 (en) Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
US9287124B2 (en) Method of etching a boron doped carbon hardmask
US6759286B2 (en) Method of fabricating a gate structure of a field effect transistor using a hard mask
US20090004875A1 (en) Methods of trimming amorphous carbon film for forming ultra thin structures on a substrate
KR101476435B1 (ko) 다중-레이어 레지스트 플라즈마 에치 방법
US8501626B2 (en) Methods for high temperature etching a high-K material gate structure
US20020177322A1 (en) Method of plasma etching of silicon carbide
JP2006066408A (ja) ドライエッチング方法
JP2008505497A (ja) 二層レジストプラズマエッチングの方法
TW200935519A (en) Methods for forming high aspect ratio features on a substrate
US10497578B2 (en) Methods for high temperature etching a material layer using protection coating
JP2004336029A (ja) 電界効果トランジスタのゲート構造の製造方法
KR101224747B1 (ko) 감소된 에칭률 마이크로-로딩을 갖는 텅스텐 실리사이드에칭 공정
US11658043B2 (en) Selective anisotropic metal etch
JPH10178014A (ja) 半導体装置の製造方法
US20220189786A1 (en) Tin oxide and tin carbide materials for semiconductor patterning applications
KR20220124637A (ko) 선택적 배리어 금속 에칭
CN110544627A (zh) 高深宽比开口的刻蚀方法及刻蚀气体

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20100511