KR20070089058A - 고종횡비 분야용 이방성 피쳐를 형성하는 에칭 방법 - Google Patents

고종횡비 분야용 이방성 피쳐를 형성하는 에칭 방법 Download PDF

Info

Publication number
KR20070089058A
KR20070089058A KR1020070017755A KR20070017755A KR20070089058A KR 20070089058 A KR20070089058 A KR 20070089058A KR 1020070017755 A KR1020070017755 A KR 1020070017755A KR 20070017755 A KR20070017755 A KR 20070017755A KR 20070089058 A KR20070089058 A KR 20070089058A
Authority
KR
South Korea
Prior art keywords
layer
etching
substrate
chamber
oxide
Prior art date
Application number
KR1020070017755A
Other languages
English (en)
Other versions
KR100892797B1 (ko
Inventor
메이후아 쉬엔
우베 레우케
귀앙징 진
지군 왕
웨이 리우
스콧 윌리엄
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070089058A publication Critical patent/KR20070089058A/ko
Application granted granted Critical
Publication of KR100892797B1 publication Critical patent/KR100892797B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명에 따라 에칭 프로세스에서 고종횡비 분야용 이방성 피쳐를 형성하는 방법이 제공된다. 상기 방법은 측벽 패시베이션 관리 방안을 통해 고종횡비를 갖는 피쳐의 프로파일 및 치수 제어를 용이하게 한다. 일 실시예에서, 측벽 패시베이션은 에칭된 층들의 측벽 및/또는 바닥부 상에 산화 패시베이션층을 선택적으로 형성함으로써 제어된다. 또 다른 실시예에서, 측벽 패시베이션은 그 상부에서 일정하고 균일한 패시베이션층이 유지되도록 과도한 재증착층을 주기적으로 세정함으로써 제어된다. 일정하고 균일한 패시베이션은 결함 생성 및/또는 하부층들의 오버에칭 없이, 기판 상의 높은 피쳐 밀도 영역 및 낮은 피쳐 밀도 영역 모두에서 최소선폭의 원하는 깊이 및 수직 프로파일을 갖는 방식으로, 고종횡비를 갖는 피쳐들이 점차적으로 에칭되게 한다.

Description

고종횡비 분야용 이방성 피쳐를 형성하는 에칭 방법{ETCH METHODS TO FORM ANISOTROPIC FEATURES FOR HIGH ASPECT RATIO APPLICATIONS}
도 1은 본 발명의 일 실시예에 따라 처리되는 에칭을 수행하는데 이용되는 플라즈마 처리 장치의 개략도,
도 2는 본 발명의 일 실시예에 통합되는 방법을 나타내는 프로세스 흐름도,
도 3A-3E는 조밀(dense) 영역 및 절연 영역을 가지는 복합 구조물의 일부분의 단면도를 나타내는 흐름도,
도 4A-4G는 적어도 높은-k 물질을 포함하는 층을 갖는 복합 구조물의 일부분의 단면도를 나타내는 도면,
도 5A-5E는 얕은 트렌치 절연(STI) 구조물을 갖는 기판의 일부분의 단면도,
도 6은 본 발명의 또 다른 실시예에 통합되는 방법을 나타내는 프로세스 흐름도,
도 7A-7D는 고종횡비 구조물이 형성되는 기판의 일부분의 단면도,
도 8A-8B는 열악한 치수 제어로 에칭되는 고종횡비를 갖는 종래 기술의 피쳐들에 대한 실시예의 단면도,
도 9는 다수의 층에 고종횡비를 갖는 종래 기술의 피쳐들에 대한 일 실시예를 나타내는 단면도.
* 도면의 주요 부호에 대한 간단한 설명*
100 : 프로세스 챔버 112: 유도성 코일 안테나
114 : 기판 116: 기판 지지 페데스탈
118: RF 소스 120 : 돔
123, 124: 매칭 네트워크 125: 저항성 히터
126: 진입 포트 127: 트로틀 밸브
130 : 벽 138: 가스 패널
140 : 제어기 142: 메모리
144 : CPU 146 : 지지 회로
150 : 가스 혼합물
본 발명은 고종횡비 분야용 이방성 피쳐들을 형성하는 방법에 관한 것이다. 특히, 본 발명은 반도체 제조시 에칭 프로세스에 의해 고종횡비 분야용 이방성 피쳐들을 형성하는 방법에 관한 것이다.
서브-하프 미크론 및 보다 작은 피쳐들의 신뢰성 있는 생산은 반도체 소자들의 차세대 VLSI 및 ULSI에 대한 기술에 있어 중요한 요인 중 하나이다. 그러나 회로 기술에 제한이 가해짐에 따라, VLSI 및 ULSI 기술에서 상호접속부들에 대한 치수 감소는 처리 능력에 대해 추가의 조건을 요구하게 되었다. 신뢰성 있는 게이트 패턴 형성은 VLSI 및 ULSI 성공에 있어 중요하며 회로 밀도 및 개별 기판 및 다이의 품질을 증가시키기 위해 지속적으로 연구되고 있다.
피쳐 크기가 작아짐에 따라, 종횡비 또는 피쳐의 깊이와 피쳐의 폭 간의 비율은 지속적으로 증가되어, 제조 프로세스는 약 50:1 내지 약 100:1 또는 이보다 큰 종횡비를 갖는 피쳐들로 물질을 에칭할 것을 요구하게 되었다. 통상적으로, 약 10:1 정도의 종횡비를 갖는 피쳐들은 예정된 깊이와 폭으로 유전체층들을 이방성 에칭함으로써 생산되었다. 그러나 이보다 높은 종횡비 피쳐들을 형성할 경우, 종래의 측벽 패시베이션 기술을 사용하는 이방성 에칭의 수행으로는 균일한 공간 및/또는 피쳐의 최소선폭(critical dimension)을 감소시키는(losing) 이중 또는 삼중의 경사진 프로파일을 갖는 피쳐를 달성하는 것이 점차 어려워졌다.
또한, 피쳐들의 상부 또는 측벽 상에서의 에칭 프로세스 동안 생성되는 재증착 또는 축적되는 패시베이션층은 마스크에 형성된 개구부를 차단할 수 있다. 마스크 개구부 및/또는 에칭 패쳐들의 개구부가 축적된 재증착 층에 의해 좁아지거나 밀봉됨에 따라, 반응성 에천트들이 개구부 속으로 침투되는 것이 차단되어, 얻어질 수 있는 종횡비가 제한된다. 이처럼, 피쳐들의 충분한 에칭의 실패는 피쳐들에 대해 원하는 종횡비를 얻는 것을 불가능하게 한다.
고종횡비를 갖는 피쳐들의 에칭시 발생하는 또 다른 문제점은 높은 피쳐 밀도 및 낮은 피쳐 밀도의 영역들 간에 에칭 치수에서의 변화 측정인, 마이크로로딩 효과의 발생에 있다. 낮은 피쳐 밀도 영역들(예를 들어, 절연 영역들)은 표면 영역의 상당한 전체 개구부들로 인해, 높은 피쳐 밀도 영역들(예를 들어, 조밀 영역 들)과 비교할 때 표면 영역 당 더 많은 반응성 에천트가 수용되어, 높은 에칭 속도가 야기된다. 에칭 부산물들로부터 형성되는 측벽 패시베이션은 유사한 패턴 밀도 관계를 나타내며, 이는 상기 영역에 생성되는 많은 부산물들로 인해 절연 피쳐들에 대해 보다 많은 패시베이션이 형성된다는 것이다. 상기 2개 영역들 사이에서 표면 영역 당 패시베이션 및 반응물의 차는 피쳐 밀도 차가 증가함에 따라 증가한다. 도 8A에 도시된 것처럼, 높은 피쳐 밀도 영역과 낮은 피쳐 밀도 영역에서의 상이한 에칭 속도 및 부산물 형성으로 인해, 낮은 피쳐 밀도 영역(802)은 원하고 제어된 소정의 수직 치수로 에칭되어 형성되는 반면, 높은 피쳐 밀도 영역(804)은 불충분한 측벽 패시베이션으로 인해 측방 공격에 의해 휨 및/또는 언더컷(806)이 발생하는 것으로 관찰되었다. 다른 프로세스에서, 낮은 피쳐 밀도 영역(808)은 도 8B에 도시된 것처럼, 높은 피쳐 밀도 영역(810) 보다 많은 패시베이션으로 빠른 속도로 에칭되어, 에칭된 층(814)의 측벽 상에는 테이퍼진 상부 부분(812)이 형성된다. 따라서, 고종횡비를 갖는 높은 피쳐 밀도 영역 및 낮은 피쳐 밀도 영역과 관련된 불충분한 측벽 보호는 에칭 피쳐들의 최소선폭 유지를 불가능하게 하여 불량 패턴 전사가 야기된다.
고종횡비 피쳐의 에칭과 관련된 또 다른 문제점은 다수의 층들을 통해 형성되고 상이한 피쳐 밀도를 갖는 피쳐에서의 에칭 속도를 제어하는 것과 관련된다. 여기서, 각각의 층은 피쳐 밀도에 따라 상이한 속도로 에칭될 수 있다. 도 9에 도시된 것처럼, 낮은 피쳐 밀도 영역(902)에서 빠른 에칭 속도는 상부 에칭된 층(906) 아래에 배치되는 층(904)의 선택적 오버에칭을 야기시키는 반면, 조밀 피쳐 영역(908)에서의 느린 에칭 속도는 층(910)의 일부가 완전히 에칭되는 것을 방해한다. 피쳐가 보다 높은 종횡비를 향해 이동됨에 따라, 상부층의 언더에칭 또는 하부층의 오버에칭 없이 높은 피쳐 밀도 영역과 낮은 피쳐 밀도 영역에 대해 효율적인 에칭 속도 유지를 제어하는 것이 점점 어려워지고 있다. 설계된 대로 기판 상에 피쳐들 또는 패턴들을 형성하는 것이 실패되면 원치않는 결함이 야기되어, 순차적인 프로세스 단계들에 악영향을 미칠 수 있고, 결국은 최종 집적회로 구조물의 성능을 저하 또는 경감시킬 수 있다.
따라서, 고종횡비의 피쳐들을 에칭하는 개선된 방법이 요구된다.
본 발명의 목적은 고종횡비의 피쳐를 에칭하는데 있어, 상부층의 언더에칭 또는 하부층의 오버에칭 없이 높은 피쳐 밀도 영역과 낮은 피쳐 밀도 영역에 대해 효율적인 에칭 속도 유지하는 것이다.
본 발명에 따라 에칭 프로세스에서 고종횡비 분야용 이방성 피쳐를 형성하는 방법이 제공된다. 상기 방법은 측벽 패시베이션 관리 방안을 통해 고종횡비를 갖는 피쳐의 프로파일 및 치수 제어를 용이하게 한다. 일 실시예에서, 측벽 패시베이션은 에칭된 층들의 측벽 및/또는 바닥부 상에 산화 패시베이션층을 선택적으로 형성함으로써 제어된다. 또 다른 실시예에서, 측벽 패시베이션은 그 상부에서 일정하고 균일한 패시베이션층이 유지되도록 과도한 재증착층을 주기적으로 세정함으로써 제어된다. 일정하고 균일한 패시베이션은 결함 생성 및/또는 하부층들의 오 버에칭 없이, 기판 상의 높은 피쳐 밀도 영역 및 낮은 피쳐 밀도 영역 모두에서 최소선폭의 원하는 깊이 및 수직 프로파일을 갖는 방식으로, 고종횡비를 갖는 피쳐들이 점차적으로 에칭되게 한다.
일 실시예에서, 상기 방법은 상부에 층이 배치된 기판을 에칭 챔버에 제공하는 단계, 피쳐의 제 1 부분을 한정하도록 제 1 가스 혼합물을 이용하여 마스크층에 형성된 개구부를 통해 상기 층을 에칭하는 단계, 제 2 가스 혼합물을 이용하여 에칭 동안 형성된 재증착층을 인시튜(in-situ) 에칭함으로써 개구부를 세정하는 단계, 및 세정된 개구부를 통해 층을 에칭하는 단계를 포함한다.
또 다른 실시예에서, 상기 방법은 상부에 층이 배치된 기판을 에칭 챔버에 제공하는 단계, 기판 상의 층의 적어도 일부를 에칭하는 단계, 에칭된 층 상에 산화층을 형성하는 단계, 및 에칭 챔버에서 산화층에 의해 보호되지 않은 에칭된 층의 노출된 부분을 에칭하는 단계를 포함한다.
또 다른 실시예에서, 상기 방법은 제 1 층 및 제 2 층을 갖는 막 스택을 포함하는 기판을 에칭 챔버에 배치하는 단계, 에칭 챔버에서 제 1 층 및 제 2 층이 노출되도록 막 스택을 에칭하는 단계, 제 1 층 상에 산화층을 형성하는 단계, 및 에칭 챔버에서 제 2 층을 에칭하는 단계를 포함한다.
또 다른 실시예에서, 상기 방법은 제 1 층 및 제 2 층을 갖는 막 스택을 포함하는 기판을 에칭 챔버에 배치하는 단계, 제 1 가스 혼합물을 이용하여 제 1 층 및 제 2 층이 노출되도록 에칭 챔버에서 막 스택을 에칭하는 단계, 제 2 가스 혼합물을 이용하여 에칭 동안 형성된 재증착층을 에칭하는 단계, 산소 가스 함유 분위 기에 기판을 노출시킴으로써 제 1 층 상에 산화층을 형성하는 단계, 및 산화층에 의해 보호되지 않은 제 2 층을 에칭하는 단계를 포함한다.
본 발명은 첨부되는 도면과 관련된 상세한 설명을 참조로 명확히 이해될 것이다.
이해를 돕기 위해, 도면에서 공통되는 동일한 부재들을 표시하는데 있어 가능한 동일한 참조부호를 사용했다.
그러나, 첨부되는 도면은 단지 본 발명의 예시적인 실시예를 나타내는 것으로, 본 발명의 범주를 제한하고자 하는 것은 아니며, 본 발명은 다른 등가의 유효 실시예를 허용할 수 있다.
본 발명은 에칭 프로세스에 의해 고종횡비 분야용 이방성 피쳐를 형성하는 방법에 관한 것이다. 일 실시예에서, 상기 방법은 고종횡비를 갖는 피쳐들의 상부 및/또는 측벽에 증착된 재증착 물질을 플라즈마 에칭하는 단계를 포함한다. 또 다른 실시예에서, 상기 방법은 기판 표면 상의 에칭된 영역의 일부상에 보호성 산화층을 형성하는 단계를 포함한다. 에칭 프로세스는 클러스터 툴에 통합된 하나 이상의 챔버에서 수행될 수 있다.
본 명세서에서 개시되는 에칭 프로세스는 임의의 플라즈마 에칭 챔버, 예를 들면, HART 에칭 반응기, HART TS 에칭 반응기, CENTURA
Figure 112007015576016-PAT00001
에칭 시스템의 DSP(Decoupled Plasam Source), DPS-II, 또는 DPS 플러스, 또는 DPS DT 에칭 반응기에서 수행될 수 있으며, 이들 모두는 캘리포니아 어플라이드 머티어리얼스사로부터 입수될 수 있다. 다른 제조자로부터의 플라즈마 에칭 챔버들이 이용될 수도 있 다. DSP 반응기는 고밀도 플라즈마를 생성하고 유지하기 위한 13.56MHz의 유도성 플라즈마 소스 및 웨이퍼를 바이어싱하기 위한 13.56MHz의 소스 바이어스를 이용한다. 플라즈마 및 바이어스 소스들의 비연계(decoupled) 특성으로 이온 에너지 및 이온 밀도의 독립적 제어가 허용된다. DPS 반응기는 소스 및 바이어스 전력, 압력, 및 에천트 가스 화학작용에서의 변화에 대해 넓은 프로세스 범위를 제공하며 프로세싱의 종료를 결정하는 엔드포인트 시스템을 이용한다.
도 1은 에칭 프로세스 챔버의 일 실시예의 개략도를 나타낸다. 챔버(100)는 유전체 돔-형상 천정(이후 돔(120)으로 간주됨)을 지지하는 도전성 챔버 벽(130)을 포함한다. 다른 챔버들은 다른 형태의 천정(예를 들어, 평탄한 천정)을 가질 수도 있다. 벽(130)은 전기적 접지(134)에 접속된다.
적어도 하나의 유도성 코일 안테나 세그먼트(112)는 매칭 네트워크(119)를 통해 무선-주파수(RF) 소스(118)에 결합된다. 안테나 세그먼트(112)는 돔(120) 외부에 위치되며 챔버 내부의 프로세스 가스로부터 형성된 플라즈마를 유지하는데 이용된다. 일 실시예에서, 유도성 코일 안테나(112)에 인가되는 소스 RF 전력 범위는 약 50kHz 내지 13.56MHz 사이의 주파수에서 약 0 와트 내지 약 2500 와트 사이이다. 또 다른 실시예에서, 유도성 코일 안테나(112)에 인가되는 소스 RF 전력 범위는 약 400와트와 같이 약 200 와트 내지 약 800 와트 사이이다.
프로세스 챔버(100)는 약 13.56MHz의 주파수에서 약 1500 와트 이하의 바이어스 전력(예를 들어, 비 바이어스 전력)을 발생시키기 위해 RF 신호를 생성할 수 있는 제 2(바이어싱) RF 소스(122)에 결합되는 기판 지지 페데스탈(116)(바이어싱 부재)을 포함할 수 있다. 바이어싱 소스(122)는 매칭 네트워크(123)를 통해 기판 지지 페데스탈(116)에 결합된다. 기판 지지 페데스탈(116)에 인가되는 바이어스 전력은 DC 또는 RF일 수 있다.
동작시, 기판(114)은 기판 지지 페데스탈(116) 상에 배치되며 기판(114)의 기계적 클램핑 또는 정전기 척킹과 같은 종래 기술에 의해 상기 기판 지지 페데스탈 상에서 유지된다. 가스 성분이 진입 포트(126)를 통해 가스 패널(138)로부터 프로세스 챔버(100)로 공급되어 가스 혼합물(150)을 형성한다. 가스 혼합물(150)로부터 형성된 플라즈마는 RF 소스(118, 122)로부터의 RF 전력을 각각 안테나(112) 및 기판 지지 페데스탈(116)에 인가함으로써 유지된다. 에칭 챔버(100) 내부의 압력은 챔버(100)와 진공 펌프(136) 사이에 장착된 트로틀 밸브(127)를 이용하여 제어된다. 챔버 벽(130) 표면에서의 온도는 챔버(100)의 벽(130)에 위치된 액체-함유 도관(미도시)을 이용하여 제어된다.
기판(114)의 온도는 지지 페데스탈(116)의 온도를 안정화시키고 도관(149)을 경유하여 소스(148)로부터의 열전달 가스를 페데스탈 표면 상의 그루브(미도시) 및 기판(114)의 후면에 의해 형성된 채널로 흘려보냄으로써 제어된다. 기판 지지 페데스탈(116)과 기판(114) 사이의 열전달을 용이하게 하는 열전달 가스로서 헬륨 가스가 이용될 수 있다. 에칭 프로세스 동안, 기판(114)은 DC 전력 소스(124)를 경유하여 기판 지지 페데스탈(116)내에 배치된 저항성 히터(125)에 의해 정상 상태 온도로 가열된다. 페데스탈(116)과 기판(114) 사이에 배치된 헬륨은 기판(114)의 균일한 가열을 조장한다. 돔(120)과 기판 지지 페데스탈(116)의 열적 제어를 이용 하여, 기판(114)은 약 100℃ 내지 약 500℃ 사이의 온도로 유지된다.
당업자들은 본 발명을 실행하는데 있어 다른 형태의 에칭 챔버가 이용될 수 있다는 것을 이해할 것이다. 예를 들어, 원격 플라즈마 소스를 갖는 챔버, 마이크로파 플라즈마 챔버, 전자 싸이클로트론 공명(ECR) 플라즈마 챔버 등이 본 발명을 실행하는데 이용될 수 있다.
중앙 처리 유닛(CPU)(144), 메모리(142), 및 CUP(114)용 지지회로(146)를 포함하는 제어기(140)가 에칭 프로세스의 제어가 용이하도록 DPS 에칭 프로세스 챔버(100)의 다양한 부품에 결합된다. 앞서 설명된 것처럼 챔버의 제어를 용이하게 하는 CPU(144)는 다양한 챔버 및 서브프로세서들을 제어하는 작업환경에서 사용될 수 있는 임의의 형태의 범용성 컴퓨터 프로세서 중 하나일 수 있다. 메모리(142)는 CPU(144)에 결합된다. 메모리(142) 또는 컴퓨터 판독가능 매체는 랜덤 액세스 메모리(RAM), 리드 온리 메모리(ROM), 플로피 디스크, 하드 디스크, 또는 임의의 다른 형태의 디지털 저장기, 로컬(local) 또는 리모트(remote)와 같이 쉽게 이용가능한 하나 이상의 메모리일 수 있다. 지지 회로(146)는 종래 방식의 프로세서를 지지하는 CPU(144)에 결합된다. 이들 회로는 캐시(cache), 전력 공급기, 클록 회로, 입출력 회로 및 서브시스템들을 포함한다. 본 명세서에서 개시되는 에칭 프로세스는 일반적으로 소프트웨어 루틴으로서 메모리(142)에 저장된다. 또한 소프트웨어 루틴은 CPU(144)에 의해 제어되는 하드웨어로부터 원격적으로 위치된 제 2 CPU(미도시)에 의해 저장 및/또는 실행될 수 있다.
도 2는 챔버(100) 또는 다른 적절한 프로세싱 챔버에서 실행될 수 있는 에칭 프로세스(200)의 일 실시예의 흐름도이다. 도 3A-3D는 프로세스(200)의 다양한 스테이지에 상응하는 복합 기판의 일부분의 단면도이다. 프로세스(200)는 도 3A-3D의 게이트 구조물을 형성하는 것에 대해 개시되었지만, 프로세스(200)는 다른 구조물을 에칭하는데도 바람직하게 이용될 수 있다.
프로세스(200)는 단계(200)에서 에칭 프로세스 챔버에 기판(114)을 이송(즉, 제공)함으로써 개시된다. 도 3A에 도시된 실시예에서, 기판(114)은 게이트 구조물을 제조하는데 적합한 막 스택(300)을 포함한다. 기판(114)은 반도체 기판, 실리콘 웨이퍼, 유리 기판 등 중 임의의 하나일 수 있다. 막 스택(300)을 포함하는 층들은 원자층 증착(ALD), 물리적 기상 증착(PVD), 화학적 기상 증착(CVD), 플라즈마 강화 CVD(PECVD) 등과 같이 하나 이상의 적절한 종래의 증착 기술을 이용하여 형성될 수 있다. 막 스택(300)은 모듈 제조자들 중에서, 캘리포니아 어플라이드 머티어리얼스사로부터 입수가능한 반도체 웨이퍼 프로세싱 시스템 및 CENTURA
Figure 112007015576016-PAT00002
, PRODUCER
Figure 112007015576016-PAT00003
, ENDURA
Figure 112007015576016-PAT00004
의 개별 프로세싱 시스템을 사용하여 증착될 수 있다. 일 실시예에서, 막 스택(300)은 게이트 전극층(314) 및 게이트 유전체층(302)을 포함한다. 게이트 전극층(314)의 적어도 일부분은 에칭 동안 노출된다. 도 3에 도시된 실시예에서, 게이트 전극층(314)의 부분들(318, 320)은 패터닝된 마스크(308)에 있는 하나 이상의 개구부를 통해 노출된다.
일 실시예에서, 게이트 전극층(314)은 폴리실리콘 물질(304)의 상부에 있는 금속 물질(306)의 스택을 포함한다. 금속 물질(306)은 텅스텐(W), 텅스텐 질화물(WN), 텅스텐 실리사이드(WSi), 텅스텐 폴리실리콘(W/poly), 텅스텐 합금, 탄탈 (Ta), 탄탈 질화물(TaN), 탄탈 실리콘 질화물(TaSiN), 티타늄 질화물(TiN), 이들 단독 또는 이들의 조합물로 이루어진 그룹에서 선택될 수 있다.
도 3A의 예시적 실시예에서, 마스크(308)는 하드 마스크, 포토레지스트 마스크 또는 이들의 조합물일 수 있다. 마스크(308)는 게이트 전극층(314) 및 게이트 유전체층(302) 모두를 예정된 피쳐로 에칭하기 위해 조밀 영역(320) 및 절연 영역(318)에 개구 부분을 형성하는 에칭 마스크로서 이용될 수 있다.
단계(204)에서, 제 1 가스 혼합물은 내부에 기판이 배치된 에칭 챔버로 공급되어 기판을 에칭한다. 에칭 동안, 기판(114) 상의 층(306)은 에칭되고 도 3B에 도시된 것처럼, 마스크(308)에 의해 형성된 트렌치를 남겨두고 부분들(318, 320)로부터 제거된다. 엔드포인트에 도달한 후, 층(306)의 적어도 일부가 기판에서 제거된다. 엔드포인트는 임의의 적절한 방법에 의해 결정될 수 있다. 예를 들어, 엔드포인트는 광학적 방출을 모니터링하거나, 예정된 시간 주기의 경과 또는 에칭될 층이 충분히 제거되었는지를 결정하는 다른 지시기에 의해 결정될 수 있다.
제 1 가스 혼합물은 금속을 함유하는 게이트 전극층을 에칭하는데 적합한 임의의 가스를 포함할 수 있다. 일 실시예에서, 제 1 가스 혼합물은, 제한되지는 않지만, 질소 가스(N2), 염소 가스(Cl2), 삼불화질소(NF3), 육불화황(SF6), CF4, CHF3, C4F8과 같은 탄소 및 불소 함유 가스 또는 아르곤(Ar), 헬륨(He) 등 중 적어도 하나를 수반하는 산소 가스 포함할 수 있다.
제 1 가스 혼합물이 에칭 챔버에 공급되는 동안 몇 가지 프로세스 파라미터 들이 조절된다. 일 실시예에서, 제 1 가스 혼합물의 존재시 챔버 압력이 조절된다. 일 실시예에서, 에칭 챔버의 프로세스 압력은 약 2mTorr 내지 약 100mTorr 사이, 예를 들어, 약 10mTorr로 조절된다. 제 1 프로세스 가스로부터 형성된 플라즈마를 유지하도록 RF 소스 전력이 인가된다. 예를 들어, 약 100와트 내지 약 1500 와트의 전력이 유도 결합된 안테나 소스에 인가되어 에칭 챔버 내부에 플라즈마를 유지한다. 제 1 가스 혼합물은 약 50sccm 내지 약 1000sccm 사이의 속도로 챔버에 유입될 수 있다. 기판 온도는 약 30℃ 내지 약 500℃ 사이로 유지된다.
에칭 동안, 에칭 챔버 내에 마스크처리되지 않은 영역을 에칭하는 동안 형성된 실리콘 및 탄소 함유 원소들의 부산물이 마스크층(308) 및 에칭된 층(306)의 측벽 또는 상부에 응축 및 축적될 수 있다. 재증착층(324)이 성장함에 따라, 트렌치(320)의 개구 부분(320)이 폐쇄되거나 좁아져, 에칭 프로세스의 신뢰성이 저하될 수 있다. 이에, 선택적 단계(205)에서 마스크층(308) 및 에칭된 층(306)의 상부 또는 측벽 상에 축적된 재증착층(324)을 에칭하기 위해 에칭 챔버로 세정 가스가 공급된다. 세정 가스는 재증착층(324)을 제거하여, 패터닝된 마스크의 예정된 개구를 재개구화한다.
세정 가스는 불소-함유 가스를 포함할 수 있다. 일 실시예에서, 세정 가스는 삼불화질소(NF3), 육불화황(SF6), 사불화메탄(CF4)을 포함한다. 또 다른 실시예에서, 세정 가스는 CHF3, C4F8 등을 포함하는 탄소 및 불소 함유 가스를 포함할 수 있다. 아르곤(Ar), 헬륨(He) 등과 같은 캐리어 가스가 세정 동안 에칭 챔버에 공 급되도록 이용될 수 있다.
도 3B를 참조로, 조밀 영역(310)의 부분들(320)은 표면 영역의 보다 큰 전체 개구부들로 인해 절연 영역(312)의 부분들(318)에 비해 표면 영역 당 적은 에칭 종(species)을 수용한다. 이들 2개 영역들 간의 표면 영역 당 반응물의 차는 패턴 밀도 차가 증가함에 따라 증가되어, 바람직하지 않은 마이크로로딩 효과를 증가시킨다. 마이크로로딩 효과는 고종횡비를 갖는 또는 상부에 조밀하게 패키징된 피쳐들이 형성된 기판을 에칭하는 동안 두드러진다. 비교적 많은 양의 에칭 종이 절연 영역(312)의 부분들(318)에 축적되어, 절연 부분(312)에 노출된 영역들(318)은 조밀 영역(310) 보다 빠른 속도로 에칭된다. 기판이 예정된 주기 동안 에칭된 후, 절연 영역(312)에 있는 층의 부분들(318)은 제거되는 반면 조밀 영역(310)에 있는 층의 부분들(320)은 상이한 에칭 속도로 인해 에칭되는 적어도 일부분이 남아있게 된다.
단계(206)에서, 도 3C에 도시된 것처럼, 기판 상에 산화층(322)이 증착될 수 있다. 일 실시예에서, 제 2 가스 또는 가스 혼합물은 산소-함유 가스를 포함하는 에칭 챔버에 공급된다. 산소-함유 가스는 SiO2와 같은 산화층(322)을 형성하기 위해 예를 들어 폴리실리콘층과 같은 노출된 하부층(304)의 부분들(318)과 반응한다. 상부에 형성되는 산화층(322)은 마스크층(308)에 의해 형성된 조밀 영역(310)에 있는 층(306)의 나머지 부분을 제거하는 동안 하부층(304) 공격을 보호하는 패시베이션층으로서의 역할을 한다. 조밀 영역(310)에 있는 게이트 전극층(306)의 부분들 (320)은 물질의 불활성 특성 및 산소 종과의 불충분한 접촉으로 인해, 하부 폴리실리콘층(304) 상에 노출된 부분들(318)로, 기판 표면의 일부를 선택적으로 산화시킴에 따라, 산화층을 형성하는데 덜 바람직하다. 이에, 산화층(322)은 하부층(304)이 노출되는 부분(318) 상에 실질적으로 선택적으로 형성되며 보호되지 않은 층(306)의 에칭될 부분(320)은 남겨두어 층(306)의 나머지 부분(320)을 제거하기 위한 추가 에칭이 이용될 수 있다.
본 명세서에 개시된 산화층은 다양한 방식으로 형성될 수 있다. 일 실시예에서, 산화층은 폴리실리콘 표면과 반응하도록, 적어도 예를 들어, O2, N2O, NO, CO, CO2 등의 산소-함유 가스를 에칭 챔버에 공급함으로써 인시튜로 형성될 수 있다. 또 다른 실시예에서, 폴리실리콘층(304)은 상부에 산화층이 형성되도록 적어도 산소 가스 또는 산소-함유 가스를 함유하는 환경에 노출된다(버퍼 챔버 또는 이송 챔버로 기판 이송). 또 다른 실시예에서, 기판은 기판의 표면 상에 산화층이 형성되도록 적어도 산소 가스 또는 산소-함유 가스를 제공하는 또 다른 프로세스 챔버 또는 또 다른 툴로 이송될 수 있다.
산소-함유 가스가 에칭 챔버로 공급되는 동안 몇 가지 프로세스 파라미터가 조절된다. 일 실시예에서, 에칭 챔버 내부에 산소-함유 가스의 존재시 챔버 압력이 조절된다. 예시적인 일 실시예에서, 에칭 챔버내의 산소-함유 가스의 압력은 약 2mTorr 내지 약 150mTorr 사이, 예를 들어, 약 10mTorr 내지 약 100mTorr 사이로 조절된다. 기판 상의 층(304)의 적어도 일부가 산화되도록 제 2 가스로 형성된 플라즈마를 유지하도록 RF 소스 전력이 인가된다. 예를 들어, 약 200 와트 내지 약 1500 와트의 전력이 유도 결합된 안테나 소스에 인가되어 에칭 챔버 내부에 플라즈마가 유지된다. 산소-함유 가스는 약 50sccm 내지 약 2000sccm 사이의 속도로 유입된다.
단계(208)에서, 도 3D에 도시된 것처럼, 프로세스 챔버 내부의 층(306)의 나머지 부분(320)을 추가로 에칭하기 위해 제 3 가스 혼합물이 프로세스 챔버에 공급된다. 일 실시예에서, 에칭 프로세스는 조밀 영역(310)에 있는 층(306)의 나머지 부분(320)이 제거될 때 종료된다. 또 다른 실시예에서, 에칭 프로세스는 하부층(304)의 부분(316)(실선으로 도시)으로 오버에칭에 의해 종료될 수 있다. 또 다른 실시예에서, 에칭 프로세스는 하부층(304)의 노출된 평면 표면이 제거되고 마스크(308)의 패터닝된 피쳐가 도 3E에 도시된 것처럼, 막 스택(300)으로 성공적으로 전달된 후 종료될 수 있다. 선택적 실시예에서, 단계(205, 206, 208)는 도 2에 도시된 루프(210)로 표시된 것처럼, 반복적으로 수행되어 부분들(320)이 완전히 제거되어, 게이트 유전체층(302)이 노출될 때까지 조밀 영역(310)의 층(306)의 부분들(320)을 제거한다.
제 3 가스 혼합물은 기판 상의 층의 나머지 부분을 에칭하는 임의의 적절한 가스 혼합물일 수 있다. 일 실시예에서, 제 3 가스 혼합물은 앞서 개시된 단계(202)에서의 제 1 가스 혼합물과 동일할 수 있다. 또 다른 실시예에서, 제 3 가스 혼합물은 실리콘층을 에칭하는데 이용되는 임의의 적합한 가스일 수 있다. 또 다 른 실시예에서, 제 3 가스 혼합물은 Cl2, HCl, HBr, CF4, CHF3, NF3, SF6, O2, N2, He 또는 Ar과 같은 가스로 이루어진 그룹에서 선택될 수 있다.
또한, 프로세스 파라미터는 제 3 가스 혼합물이 에칭 챔버로 공급되는 동안 조절될 수 있다. 일 실시예에서, 에칭 챔버에 있는 프로세스 가스는 약 2mTorr 내지 약 100mTorr 사이, 예를 들어 약 4mTorr로 조절된다. 기판 상의 층(304)의 적어도 일부를 에칭하기 위해 제 1 가스 혼합물로부터 형성된 플라즈마를 유지하도록 RF 소스 전력이 인가될 수 있다. 예를 들어, 에칭 챔버의 내부의 플라즈마를 유지하기 위해 유도 결합된 안테나 소스에 약 150 와트 내지 약 1500 와트의 전력이 인가될 수 있다. 제 3 가스 혼합물은 약 50sccm 내지 약 1000sccm 사이의 속도로 유입될 수 있다. 기판 온도는 약 20℃ 내지 약 80℃ 범위의 온도에서 유지된다.
본 명세서에서 개시되는 기판 에칭 방법은 상이한 막 층들 및 구조물들을 갖는 기판을 에칭하는데 이용될 수 있다. 도 4A-4G에 도시된 또 다른 예시적인 실시예에서, 기판은 도 2의 방법(200)의 또 다른 실시예를 이용하여 에칭된다. 도 4A-4G는 복합 기판을 에칭하기 위해 프로세스(200)에 해당하는 복합 기판의 일부분의 개략적 단면도이다. 프로세스(200)는 도 4A-4G의 게이트 구조물을 형성하는 것에 대해 도시되었지만, 프로세스(200)는 다른 구조물을 에칭하는데도 바람직하게 이용될 수 있다.
방법(200)은 단계(202)에서 시작되며, 기판이 제공되고 에칭 프로세스 챔버로 이송된다. 도 4A에 도시된 기판(114)은 상부에 높은-k 유전체층이 배치된 층을 포함한다. 일 실시예에서, 기판(114)은 상부에 구조물, 예를 들어 게이트가 형성되는 막 스택(410)을 포함한다. 막 스택(410)은 높은 유전상수 물질층(402)(높은-k 물질은 4.0 이상의 유전상수를 가짐)이 개재되는 적어도 하나 이상의 층(404, 406)을 포함한다. 막 스택(410)은 유전체층(414), 예를 들어 게이트 유전체층 상에 또는 기판(114) 바로 위에 배치될 수 있다. 마스크(408), 예를 들어, 하드 마스크, 포토레지스트 마스크, 또는 이들의 조합물이 피쳐를 에칭하기 위한 막 스택(410)의 에칭 마스크 노출 부분들(412)로서 이용된다. 기판(114)은 임의의 반도체 기판, 실리콘 웨이퍼, 유리 기판 등일 수 있다. 개재되는 유전체층(402)은 기판 상에 구조물을 형성하는데 이용되는 임의의 적절한 유전체층일 수 있다. 제한되지는 않지만, 적절한 유전체층의 예로는, 산화물층, 질소층, 산화물 및 질소의 복합층, 질소층이 개재되는 적어도 하나 이상의 산화물층 등이 포함된다.
도 4에 도시된 실시예에서, 높은-k 물질층(402)은 4.0 이상의 유전상수를 갖는 물질을 포함하며, 예를 들어, 하프늄 이산화물(HfO2), 지르코늄 이산화물(ZrO2), 하프늄 실리콘 산화물(HfSiO2), 지르코늄 실리콘 산화물(ZrSiO2), 탄탈 이산화물(TaO2), 알루미늄 산화물, 알루미늄 도핑 하프늄 이산화물, 비스무스 스트론튬 티타늄(BST), 및 플래티늄 지르코늄 티타늄(PZT) 등이 포함된다.
높은-k 물질층(402) 상의 층(406)은 하나 이상의 층을 포함할 수 있다. 일 실시예에서, 층(406)은 텅스텐(W), 텅스텐 실리사이드(WSi), 텅스텐 폴리실리콘(W/poly), 텅스텐 합금, 탄탈(Ta), 탄탈 질화물(TaN), 탄탈 실리콘 질화물(TaSiN), 및 티타늄 질화물(TiN) 등을 포함하는 게이트 전극용 금속 물질을 포함한다. 선택적으로, 층(406)은 폴리실리콘층이거나 폴리실리콘층을 포함할 수 있다. 층(404), 예를 들어, 폴리실리콘층 또는 산화물층은 스택(410)으로부터 원하는 구조물이 제조될 경우 높은-k 물질층(402) 아래에 선택적으로 배치된다.
단계(204)에서, 제 1 가스 혼합물은 도 4B에 도시된 것처럼, 막 스택(410)을 에칭하도록 에칭 챔버에 공급된다. 단계(204)에서, 층(406)의 부분들(412)은 스택(410)에 트렌치를 형성하기 위해 마스크(408)에 의해 형성된 개구부를 통해 에칭된다.
일 실시예에서, 제 1 가스 혼합물은 할로겐-함유 가스를 포함하며 산소-함유 가스는 포함하지 않는다. 할로겐-함유 가스는, 제한되지 않지만, 염소가스(Cl2), 염화 붕소(BCl3), 및 염화 수소(HCl) 등을 포함하는 염소 함유 가스일 수 있다. 선택적으로, 염소가스(Cl2) 및 염화 붕소(BCl3) 모두가 제 1 가스 혼합물에 포함될 수 있다. 할로겐형 가스(Cl2, BCl3, 또는 둘다)는 층(406)으로부터 금속(예를 들어, 하프늄, 지르코늄 등)을 효율적으로 제거할 수 있도록 선택된다.
또 다른 실시예에서, 단계(204)에 이용되는 제 1 가스 혼합물은 산소-함유 가스를 이용하는 또는 이용하지 않는 환원제를 더 포함할 수 있다. 적절한 환원제로는, 제한되지는 않지만, 일산화 탄소(CO), 산소 가스(O2), 메탄(CH4), 에탄(C2H6), 에틸렌(C2H4) 및 이들의 조합을 포함한다. 선택적 실시예에서, 탄화수소(예를 들 어, 메탄)이 에칭 프로세스 동안 생성되는 부산물과 조합되는 중합화(polymerizing) 가스로서의 역할을 하도록 선택된다. 메탄은 높은-k 유전체 물질(예를 들어, HfO2 또는 HfSiO2) 대 실리콘 물질에 대한 높은 에칭 선택도가 얻어지도록, 실리콘 물질의 에칭을 제압하는데 이용된다. 부가적으로, 제 1 가스 혼합물은 헬륨(He), 아르곤(Ar), 질소(N2)와 같이, 하나 이상의 추가 가스를 더 포함할 수 있다.
제 1 가스 혼합물이 에칭 챔버에 공급되는 동안 프로세스 파라미터가 조절될 수 있다. 일 실시예에서, 에칭 챔버 내부에 제 1 가스 혼합물의 존재시 챔버 압력은 약 2mTorr 내지 약 100mTorr 사이, 예를 들어, 약 10mTorr로 조절된다. 약 0 내지 약 800 와트 사이의 전력으로 기판 지지 페데스탈에 기판 바이어스 전력이 인가될 수 있다. 층(406)의 적어도 일부분이 에칭되도록 제 1 프로세스 가스로부터 형성된 플라즈마를 유지하기 위해 RF 소스 전력이 인가될 수 있다. 예를 들어, 0와트 내지 약 3000와트의 전력이 유도 결합된 안테나 소스에 인가되어 에칭 챔버 내부에 플라즈마를 유지할 수 있다. 기판 온도는 약 30℃ 내지 약 500℃의 온도 범위에서 유지된다.
선택적 단계(205)에서, 에칭 단계(204) 동안 증착되는 재증착층(426)을 에칭하기 위해 세정 가스가 공급될 수 있다. 재증착층(426)은 에칭 챔버 내에서, 실리콘 및 탄소 함유 원소들의 부산물이 방출되는 마스크되지 않은 부분을 에칭하는 동안 형성된다. 부산물은 마스크층(408) 및 에칭된 층(406)의 측벽 및 상부에 응축 및 축적되어 도 4B에 도시된 것처럼 재증착층(426)을 형성한다. 재증착층(426)이 성장함에 따라, 트렌치의 개구 부분(412)은 좁아지고/좁아지거나 밀폐되어, 트렌치 에칭 프로세스의 종료를 방해할 수 있다. 이에, 폴리머 축적물을 제거하기 위해 재증착층(426)을 에칭하도록 에칭 챔버에 세정 가스가 공급되어, 최소선폭 및/또는 트렌치 측벽 프로파일/각도에 악영향을 미치지 않고 에칭이 지속되도록 패터닝된 마스크가 재개구화된다.
세정 가스는 불소-함유 가스를 포함할 수 있다. 일 실시예에서, 세정 가스는 삼불화질소(NF3), 육불화황(SF6), 사불화메탄(CF4)와 같은 적어도 하나의 불소-함유 가스를 포함한다. 또 다른 실시예에서, 세정 가스는 CHF3, C4F8 등을 포함하는 타소 및 불소 함유 가스를 포함한다. 아르곤(Ar), 헬륨(He) 등의 불활성 가스가 세정 가스에 부가적으로 제공될 수 있다.
종래의 프로세스에서, 고종횡비를 갖는 에칭된 층의 불충분한 측벽 패시베이션이 에칭 프로세스 동안 관찰된다. 충분한 측벽 패시베이션이 없다면, 측방 및 수직 에칭이 동시적으로 발생하여, 에칭 프로세스의 결과로서 피쳐의 예정된 치수에서 큰 변화 또는 피쳐 모서리, 예를 들어 둥근 모서리의 부식이 야기될 수 있다. 이러한 변화는 최소선폭(CD) 바이어스로 간주된다.
CD 바이어스를 방지하기 위해, 단계(206)에서 산화층(408)이 증착된다. 산화층(408)은 도 4C에 도시된 것처럼, 기판 상에 에칭된 층(406)의 측벽(422) 상에 산화층(418)이 형성되도록 에칭 챔버속으로 산소-함유 가스를 함유한 제 2 가스 혼 합물을 인가함으로써 형성될 수 있다. 일 실시예에서, 층(406)의 노출된 측벽(422)은 프로세스 챔버에 공급된 산소 가스와 반응하여 SiO2층으로서 산화층(418)을 형성한다. 산화층(418)은 하기의 에칭 단계에서 층(406)의 측벽(422)을 측방 공격으로부터 보호하는 패시베이션층으로서 기능한다.
산화층(418)은 다양한 방식으로 형성될 수 있다. 일 실시예에서, 산화층(418)은 기판과 반응하도록 적어도 산소-함유 가스, 예를 들어, O2, N2O, NO, CO 및 CO2를 에칭 챔버에 공급함으로써 인시튜로 형성될 수 있다. 또 다른 실시예에서, 에칭된 층(406)은 그 상부에 산화층이 형성되도록 산소 가스 및/또는 산소-함유 가스를 포함하는 환경에 노출될 수 있다. 또 다른 실시예에서, 산화층은 기판을 버퍼 챔버 또는 이송 챔버로 전달함으로써 툴의 진공 환경 외부의 대기 조건에 노출함으로써 툴들 사이에서 이송하는 동안 형성된다.
단계(208)에서, 제 3 가스 혼합물이 프로세스 챔버에 공급되어 도 4D에 도시된 것처럼 높은-k 물질층(402)이 에칭된다. 일 실시예에서, 단계(204) 이후 남아있는 층(406)의 일부분은 층(402)과 함께 에칭된다. 단계(208)에서의 에칭 프로세스는 실질적으로 수직적이다. 일 실시예에서, 단계(208)에서 에칭 프로세스는 종료될 수 있지만 높은-k 물질(402)은 완전히 제거된다. 또 다른 실시예에서, 에칭 프로세스는 높은-k 물질층(402) 아래에 배치된 하부 층(404)의 일부분(424)이 제거되도록 기판을 오버에칭하는 단계를 포함한다.
재증착층(426)은 단계(208)의 순차적인 에칭 프로세스 동안 재증착될 수 있 으며, 산화층(418)은 에칭 프로세스 동안 소모될 수 있다. 이에, 단계들(205, 206, 208)은 층(402)이 점차적으로 에칭되도록 선택적으로 반복하여 수행될 수 있다. 재증착층(426)의 제거 및 산화층(418)의 증착을 반복하는 점차적인 에칭은 트렌치 수직상태를 개선하며 패터닝된 마스크를 재개구화함으로써 마스크의 트렌치 CD 전사를 강화시키며 층(402)의 피쳐를 에칭하는 동안 산화층을 유지한다.
선택적 실시예에서, 도 4E에 도시된 것처럼, 순차적인 에칭 프로세스 동안, 층을 측벽 에칭으로부터 보다 더 보호하기 위해 제 2 가스 혼합물을 에칭 챔버에 다시 제공함으로써 제 1 산화층(418)이 소모된 이후, 에칭된 층(4006, 402)의 측벽(422)에 제 2 산화층(420)이 인가될 수 있다.
제 2 산화층(420)의 선택적인 증착에 이어, 도 4F에 도시된 것처럼, 층(404)이 에칭되도록 프로세스 챔버에 제 3 가스 혼합물이 공급될 수 있다. 제 3 가스 혼합물 가스는 층(404)을 제거하기 위해 사용되는 임의의 적절한 가스일 수 있다. 일 실시예에서, 제 3 가스 혼합물은 단계(204)에서의 제 1 가스 혼합물과 동일할 수 있다. 또 다른 실시예에서, 제 3 가스 혼합물은 HBr, Cl2, HCl, CF4, CHF3, NF3, SF6, N2, O2, He, Ar 등으로 이루어진 그룹에서 선택될 수 있다.
층(404)을 에칭하는 동안 프로세스 파라미터가 조절될 수 있다. 예를 들어, 에칭 챔버의 프로세스 압력은 약 20mTorr와 같이 약 2mTorr 내지 약 100mTorr 사이로 조절될 수 있다. 제 1 프로세스 가스로부터 형성된 플라즈마를 유지하기 위해 RF 소스 전력이 인가될 수 있다. 예를 들어, 약 100와트 내지 약 800 와트의 전력 이 유도 결합된 안테나 소스에 인가되어, 에칭 챔버 내부의 플라즈마를 유지할 수 있다. 제 3 가스 혼합물은 약 50sccm 내지 약 1000sccm 사이의 속도로 챔버에 유입될 수 있다. 기판 온도는 약 20℃ 내지 약 500℃의 온도 범위에서 유지된다.
마스크층(408)은 도 4G에 도시된 것처럼, 막 스택(410)이 에칭된 후에 제거될 수 있다. 선택적 실시예에서, 단계들(2205, 206, 208)은 도 2에 도시된 루프(210)로 표시된 것처럼, 에칭된 피쳐의 측벽을 보호하는 산화층을 유지하고 패터닝된 마스크를 재개구화하는 동안 층(404)을 점차적으로 에칭하기 위해 반복하여 수행될 수 있다.
상기 개시된 방법은 상이한 막 층들을 갖는 기판을 에칭하기 위해 및/또는 상이한 구조물을 형성하기 위해 이용될 수 있다. 도 5A-5E에 도시된 또 다른 예시적인 실시예에서, 기판(114)은 도 2의 방법(200)의 또 다른 실시예를 사용하여 에칭된다.
도 5A-5E는 얕은 트렌치 절연(STI) 구조물을 에칭하기 위한 프로세스(200)에 대응하는 기판 일부분의 개략적 단면도이다. 프로세스(200)는 도 5A-5E에 STI 구조물의 형성에 대해 개시하고 있지만, 프로세스(200)는 서로 다른 구조물에 바람직하게 이용될 수 있다.
방법(200)은 단계(202)에서 시작되며, 기판은 에칭 프로세스 챔버로 이송된다. 도 5A에 도시된 것처럼, 기판(114) 상부에는 층(500)이 증착된다. 일 실시예에서, 층(500)은 STI 구조물을 제조하는데 적합하다. 층(500)은 실리콘막, 예를 들어, 블랭킷 베어(blanket bare) 실리콘막일 수 있다. 층(500)이 제공되지 않는 실시예에서, 층(500)에서 수행되는 것으로 개시된 프로세스는 선택적으로 기판(114) 상에서 수행될 수 있다. 기판(114)은 실리콘 웨이퍼, 유리 기판 등과 같은 임의의 반도체 기판일 수 있다.
마스크(502)는 하드 마스크, 포토레지스트 마스크 또는 이들의 조합물일 수 있다. 마스크(502)는 층(500)의 부분(504)을 노출하는 개구부들을 갖는 에칭 마스크로서 사용된다. 층(500)을 갖는 또는 갖지 않는 기판(114)은 피쳐가 형성되도록 노출된 부분들(504)로부터 물질을 제거하기 위해 개구부들을 통해 에칭될 수 있다.
단계(204)에서, 제 1 가스 혼합물이 에칭 챔버에 공급되어 층(500)이 에칭된다. 단계(204)에서, 층(500)의 부분(504)은 도 5B에 도시된 것처럼 마스크(502)에의해 형성된 개구부를 통해 에칭되어 막 층(500)에 트렌치가 형성된다.
일 실시예에서, 제 1 가스 혼합물은 할로겐-함유 가스를 포함한다. 할로겐-함유 가스는, 제한되지는 않지만, 브롬화 수소(HBr), 브롬 가스(Br2) 등 중 적어도 하나를 포함하는 브롬 함유 가스일 수 있으며, 적어도 하나의 불소-함유 가스를 수반할 수 있다. 일 실시예에서, 제 1 가스 혼합물은 브롬 가스(Br2) 및 삼불화질소(NF3)를 포함한다. 또 다른 실시예에서, 단계(204)에서 사용되는 제 1 가스 혼합물은 실리콘 함유 가스를 더 포함할 수 있다. 적절한 실리콘 함유 가스는 테트라플루오로실란(SiF4) 가스일 수 있다.
단계(204) 동안 프로세스 파라미터가 조절될 수 있다. 일 실시예에서, 에칭 챔버 내부에 제 1 가스 혼합물의 존재시 챔버 압력은 약 2mTorr 내지 약 100mTorr 사이, 예를 들어 약 10mTorr로 조절된다. 기판 바이어스 전력은 약 0 내지 약 300 와트 사이의 전력으로 기판 지지 페데스탈에 인가될 수 있다. 층(406)의 적어도 일부분을 에칭하기 위해 제 1 프로세스 가스로부터 형성된 플라즈마를 유지하기 위해 RF 소스 전력이 인가될 수 있다. 예를 들어, 약 200 와트 내지 약 3000 와트의 전력이 유도 결합된 안테나 소스에 인가되어, 에칭 챔버 내부에 플라즈마를 유지할 수 있다. 기판 온도는 약 30℃ 내지 약 500℃의 온도 범위에서 유지된다.
선택적 단계(205)에서, 에칭 단계(204) 동안 증착된 재증착층(506)(도 5B에 도시됨)은 챔버에 세정 가스를 제공함으로써 제거될 수 있다. 세정 가스는 패터닝된 마스크의 재개구화를 위해 마스크(502)의 상부 또는 측벽 및 에칭된 층(500)상에 축적된 재증착층(506)을 에칭한다.
본 발명에 사용되는 세정 가스는 적어도 불소-함유 가스를 포함한다. 일 실시예에서, 세정 가스는 삼불화질소(NF3), 육불화황(SF6), CF4 등과 같은, 적어도 불소-함유 가스를 포함한다. 또 다른 실시예에서, 세정 가스는 CHF3, C4F8 등을 포함하는 탄소 및 불소 함유 가스를 포함한다. 세정 가스는 아르곤(Ar), 헬륨(He) 등과 같은 불활성 가스를 포함할 수 있다.
앞서 개시된 것처럼, 고종횡비를 갖는 에칭된 층의 불충분한 측벽 패시베이션은 에칭 프로세스 동안 관찰될 수 있다. 측벽의 충분한 보호를 제공하기 위해, 단계(206)에서 산화층(508)이 증착된다. 산화층(508)은, 도 5C에 도시된 것처럼, 기판 상의 에칭된 층(500)의 측벽(510) 상에 산화층(508)을 형성하기 위해 에칭 챔 버로 산소-함유 가스를 갖는 제 2 가스 혼합물을 공급함으로써 인가될 수 있다. 일 실시예에서, 층(500)의 노출된 측벽(510)은 프로세스 챔버에 공급된 산소 가스와 반응하여 SiO2층의 산화층(508)이 형성된다. 산화층(508)은 수반되는 에칭 단계들에서 층(500)의 측벽(510)의 측방 공격을 보호하는 패시베이션층으로서의 역할을 한다.
산화층(508)은 다양한 방식으로 형성될 수 있다. 일 실시예에서, 산화층(508)은 기판과 반응하도록 에칭 챔버 속으로, O2, N2O, NO, CO, CO2 등과 같은, 적어도 산소-함유 가스를 에칭 챔버에 공급함으로써 인시튜로 형성될 수 있다. 또 다른 실시예에서, 에칭된 층(500)은 그 상부에 산화층이 형성되도록 적어도 산소 가스 및/또는 산소-함유 가스를 함유하는 환경에 (즉, 버퍼 챔버 또는 이송 챔버로 기판을 이송함으로써) 노출될 수 있다. 또 다른 실시예에서, 산화층은 툴의 진공 환경 밖의 대기 조건에 노출됨으로써 툴들 사이에서 이송과정 동안 형성된다.
단계(208)에서, 제 3 가스 혼합물은 도 5D에 도시된 것처럼, 마스크(502)에 의해 보호되지 않은 에칭된 층(500)의 나머지 부분(504)을 에칭하기 위해 프로세스 챔버로 공급된다. 에칭 프로세스는 실제적으로 수직적이다. 제 3 가스 혼합물은 층(500)을 제거하기 위해 사용되는 임의의 적절한 가스일 수 있다. 일 실시예에서, 제 3 가스 혼합물은 단계(204)에서의 제 1 가스 혼합물과 동일할 수 있다. 일 실시예에서, 단계(208)에서 에칭 프로세스는 중단될 수 있지만, 층(500)은 완전히 제거된다.
재증착층(506)은 단계(208)의 순차적 에칭 프로세스 동안 재증착될 수 있으며, 산화층(508)은 에칭 프로세스 동안 소모될 수 있다. 이에, 단계들(205, 206, 208)은 도 2에 도시된 루프(210)로 표시된 것처럼, 층(500)을 점차적으로 에칭하기 위해 선택적으로 반복적으로 수행될 수 있다. 재증착층(506)의 제거 및/또는 산화층(508)의 증착을 반복하는 점차적 에칭은, 패터닝된 마스크의 재개구화 및 정확한 CD 전사를 조장하면서 층(500)에 피쳐를 에칭하는 동안 산화층 유지에 의해 트렌치 수직상태를 개선한다. 마스크층은 도 5E에 도시된 것처럼, 원하는 피쳐로 층(500)이 에칭된 후에 제거될 수 있다.
제 3 가스 혼합물은 층(500)을 제거하는데 이용되는 임의의 적절한 가스일 수 있다. 일 실시예에서, 제 3 가스 혼합물은 단계(204)에서의 제 1 가스 혼합물과 동일할 수 있다.
도 6은 에칭 프로세스(600)의 또 다른 실시예의 흐름도이다. 도 7A-7D는 고정횡비를 갖는 기판을 에칭하는 프로세스(600)에 대응하는 기판 일부의 개략적 단면도이다. 프로세스(600)는 도 7A-7D의 고종횡비 구조물을 형성하는 것에 관한 것이지만, 프로세스(600)는 서로 다른 구조물에도 바람직하게 이용될 수 있다.
프로세스(600)는 단계(602)에서 기판(114)을 에칭 프로세스 챔버로 이송함으로써 시작된다. 도 7A에 도시된 일 실시예에서, 기판(114)은 고종횡비 구조물을 제조하는데 적합한 층(700)을 갖는다. 층(700)은 유전체 물질, 실리콘 물질, 금속, 금속 질화물, 금속 합금과 같은 임의의 물질 또는 다른 도전성 물질일 수 있다. 기판(114)은 반도체 기판, 실리콘 웨이퍼, 유리 기판 등 중 임의의 하나일 수 있다. 층(700)을 포함하는 층은 원자층 증착(ALD), 물리적 기상 증착(PVD), 화학적 기상 증착(CVD), 플라즈마 강화 CVD(PECVD) 등과 같이 하나 이상의 적절한 종래의 증착 기술을 이용하여 형성될 수 있다.
예를 들어, 하드마스크, 포토레지스트 마스크 또는 이들의 조합물인 마스크(702)는 층(700)의 부분들(704)을 노출하는 에칭 마스크로서 이용될 수 있다. 층(700)의 노출된 부분들(704)은 고종횡비 트렌치와 같은 피쳐를 형성하기 위해 마스크(702)의 개구부들을 통해 에칭될 수 있다.
단계(604)에서, 도 7B에 도시된 것처럼, 층(700)을 에칭하기 위해 제 1 가스 혼합물이 에칭 챔버에 공급된다. 단계(604)에서, 층(700)의 부분(704)은 막 층(700)에 트렌치를 형성하기 위해 마스크(702)에 의해 형성된 개구부들을 통해 에칭된다.
단계(606)에서, 에칭 단계(604) 동안 생성된 재증착층(706)을 에칭하기 위해 세정 가스가 이용될 수 있다. 마스크 층(702) 또는 에칭된 층(700)이 단계(604) 동안 공격될 때 에칭 챔버내에 실리콘 및 탄소 함유 원소들과 같은 반응물을 방출한다. 반응물들은 마스크 층(702) 및 에칭된 층(700)의 측벽 및/또는 상부에 응축되고 축적되어, 도 7B에 도시된 것처럼 재증착층(706)을 형성할 수 있다. 재증착층(706)이 축적됨에 따라, 트렌치의 개구 부분(704)은 좁아지고/좁아지거나 폐쇄되어, 에칭 프로세스의 신뢰성이 저하될 수 있다. 이에, 패터닝된 마스크의 재개구화를 위해 폴리머 재증착층(706)을 에칭하기 위해 세정 가스가 에칭 챔버에 공급된다.
세정 가스는 적어도 하나의 불소-함유 가스를 포함할 수 있다. 일 실시예에서, 세정 가스는 삼불화질소(NF3), 육불화황(SF6), 사불화메탄(CF4) 등과 같은, 적어도 불소-함유 가스를 포함한다. 또 다른 실시예에서, 세정 가스는 CHF3, C4H8 등을 포함하는 탄소 및 불소 함유 가스를 포함한다. 아르곤(Ar), 헬륨(He) 등의 불활성 가스가 세정 가스에 포함될 수 있다.
단계(608)에서, 제 2 가스 혼합물은 도 7C에 도시된 것처럼, 마스크(702)에 의해 보호되지 않은 에칭된 층(700)의 나머지 부분(704)을 에칭하기 위해 프로세스 챔버에 공급된다. 에칭 프로세스는 실질적으로 수직적이다. 제 2 가스 혼합물은 층(700)을 제거하는데 이용되는 임의의 적절한 가스일 수 있다. 일 실시예에서, 제 2 가스 혼합물은 단계(604)에서의 제 1 가스 혼합물과 동일할 수 있다. 일 실시예에서, 단계(608)의 에칭 프로세스는 종료될 수 있으나 층(700)은 완전히 제거된다.
재증착층(706)이 단계(608)의 순차적 에칭 프로세스 동안 재증착될 수 있다. 이에, 단계들(606, 608)은 도 6에 도시된 루프(610)에 의해 표시된 것처럼, 층(700)을 선택적으로 반복하여 에칭할 수 있다. 재증착층(706)의 반복적으로 제거하는 점진적 에칭은 정확한 CD 전사를 제공하면서 층(700)에 피쳐를 에칭하는 동안 패터닝된 마스크의 재개구화에 의한 고종횡비 에칭 동안 수직상태를 개선한다. 마스크층(702)은 도 7D에 도시된 것처럼 층(700)이 원하는 피쳐로 에칭된 이후에 선택적으로 제거될 수 있다.
따라서, 본 발명은 기판을 에칭하는 개선된 방법을 제공한다. 상기 방법은 에칭 동안 발생되는 재증착층의 제거 및/또는 보호 산화층의 선택적 형성에 의해 에칭 동안 프로파일 및 치수 제어를 용이하게 한다.
지금까지 본 발명의 실시예들에 관해 설명하였으며, 하기에 첨부되는 본 발명의 기본 사상 및 범주를 이탈하지 않고 본 발명의 다른 실시예들을 구현할 수 있다.

Claims (22)

  1. 기판 상에 층을 고종횡비로 이방성 에칭하는 방법으로서,
    (a) 상부에 층이 배치된 기판을 에칭 챔버에 배치하는 단계;
    (b) 상기 에칭 챔버에서 상기 기판 상의 층의 적어도 일부분을 에칭하는 단계;
    (c) 상기 에칭된 층 상에 산화층을 형성하는 단계; 및
    (d) 상기 에칭 챔버에서 상기 산화층에 의해 보호되지 않은 에칭된 층의 노출된 부분을 에칭하는 단계
    를 포함하는, 이방성 에칭 방법.
  2. 제 1 항에 있어서,
    (b) 단계 동안 형성된 재증착층을 불소-함유 가스를 사용하여 에칭하는 단계를 더 포함하는 것을 특징으로 하는 이방성 에칭 방법.
  3. 제 1 항에 있어서,
    상기 층의 적어도 일부분을 에칭하는 단계는, 상기 층을 점차적으로 에칭하기 위해 (c)-(d) 단계를 반복하는 단계를 더 포함하는 것을 특징으로 하는 이방성 에칭 방법.
  4. 제 1 항에 있어서,
    상기 층 상에 증착된 패터닝된 마스크층을 주기적으로 재개구화하는 단계를 더 포함하는 것을 특징으로 하는 이방성 에칭 방법.
  5. 제 2 항에 있어서,
    상기 불소-함유 가스는 삼불화질소(NF3), 육불화황(SF6) 또는 사불화메탄 가스(CF4), CHF3, 및 C4F8 중 적어도 하나를 포함하는 것을 특징으로 하는 이방성 에칭 방법.
  6. 제 1 항에 있어서,
    상기 산화층을 형성하는 단계는, 상기 에칭된 층에 형성된 측벽 상에 산화층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 이방성 에칭 방법.
  7. 제 1 항에 있어서,
    상기 산화층을 형성하는 단계는, 높은 패턴 밀도를 가지는 제 2 그룹의 피쳐들 위에 낮은 패턴 밀도를 갖는 제 1 그룹의 피쳐들에 우선적으로 산화층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 이방성 에칭 방법.
  8. 제 1 항에 있어서,
    상기 산화층을 형성하는 단계는, 상기 에칭 챔버에 산소-함유 가스를 공급하는 단계를 더 포함하는 것을 특징으로 하는 이방성 에칭 방법.
  9. 제 1 항에 있어서,
    상기 산화층을 형성하는 단계는, 상기 기판을 산소-함유 환경에 노출하는 단계를 더 포함하는 것을 특징으로 하는 이방성 에칭 방법.
  10. 기판 상의 층을 고종횡비로 이방성 에칭하는 방법으로서,
    (a) 제 1 층 및 제 2 층을 포함하는 막 스택을 가지는 기판을 에칭 챔버에 배치하는 단계;
    (b) 상기 에칭 챔버에서 상기 제 1 층 및 제 2 층이 노출되도록 상기 막 스택을 에칭하는 단계;
    (c) 상기 제 1 층 상에 산화층을 형성하는 단계; 및
    (d) 상기 에칭 챔버에서 상기 제 2 층을 에칭하는 단계
    를 포함하는, 이방성 에칭 방법.
  11. 제 10 항에 있어서,
    상기 제 1 층 및 제 2 층이 노출되도록 상기 막 스택을 에칭하는 단계는,
    상기 제 1 층을 에칭하는 단계;
    상기 제 1 층 상에 산화층을 형성하는 단계; 및
    상기 제 2 층이 노출되도록 상기 제 1 층을 에칭하는 단계
    를 더 포함하는 것을 특징으로 하는 이방성 에칭 방법.
  12. 제 10 항에 있어서,
    상기 제 1 층 및 제 2 층이 노출되도록 상기 막 스택을 에칭하는 단계는,
    상기 챔버로 불소-함유 가스를 유입하는 단계; 및
    상기 제 1 층을 에칭하는 동안 형성된 재증착층을 에칭하는 단계
    를 더 포함하는 것을 특징으로 하는 이방성 에칭 방법.
  13. 제 10 항에 있어서,
    상기 제 2 층을 점차적으로 에칭하기 위해 (c)-(d) 단계를 반복하는 단계를 더 포함하는 것을 특징으로 하는 이방성 에칭 방법.
  14. 제 12 항에 있어서
    패터닝된 마스크층에 형성된 개구부를 유지하기 위해 상기 재증착층을 주기적으로 제거하는 단계를 더 포함하는 것을 특징으로 하는 이방성 에칭 방법.
  15. 제 12 항에 있어서,
    상기 재증착층을 에칭하는 단계는, 상기 재증착층을 불소-함유 가스로 에칭하는 단계를 더 포함하는 것을 특징으로 하는 이방성 에칭 방법.
  16. 제 10 항에 있어서,
    상기 제 2 층은 높은-k 물질인 것을 특징으로 하는 이방성 에칭 방법.
  17. 제 16 항에 있어서,
    상기 높은-k 물질은, 하프늄 이산화물, 지르코늄 이산화물, 하프늄 실리콘 산화물, 지르코늄 실리콘 산화물, 탄탈 이산화물, 알루미늄 산화물, 알루미늄 도핑 하프늄 이산화물, 및 이들의 조합물로 이루어진 그룹에서 선택된 물질인 것을 특징으로 하는 이방성 에칭 방법.
  18. 제 10 항에 있어서,
    상기 제 1 층은 폴리실리콘층인 것을 특징으로 하는 이방성 에칭 방법.
  19. 제 10 항에 있어서,
    상기 산화층을 형성하는 단계는, 높은 패턴 밀도의 영역 위의 낮은 패턴 밀도 영역에 우선적으로 상기 산화층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 이방성 에칭 방법.
  20. 제 10 항에 있어서,
    상기 산화층은 상기 제 1 층의 측벽 상에 형성되는 것을 특징으로 하는 이방 성 에칭 방법.
  21. 제 10 항에 있어서,
    상기 산화층을 형성하는 단계는, 상기 제 2 층의 상부에 상기 산화층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 이방성 에칭 방법.
  22. 제 14 항에 있어서,
    상기 제 2 층은 산화물층, 질소층, 산화물 및 질소의 복합층, 질소층이 개재되는 적어도 하나 이상의 산화물층을 포함하는 유전체층인 것을 특징으로 하는 이방성 에칭 방법.
KR1020070017755A 2006-02-27 2007-02-22 고종횡비 분야용 이방성 피쳐를 형성하는 에칭 방법 KR100892797B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/363,834 US7368394B2 (en) 2006-02-27 2006-02-27 Etch methods to form anisotropic features for high aspect ratio applications
US11/363,834 2006-02-27

Publications (2)

Publication Number Publication Date
KR20070089058A true KR20070089058A (ko) 2007-08-30
KR100892797B1 KR100892797B1 (ko) 2009-04-10

Family

ID=38443019

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070017755A KR100892797B1 (ko) 2006-02-27 2007-02-22 고종횡비 분야용 이방성 피쳐를 형성하는 에칭 방법

Country Status (5)

Country Link
US (2) US7368394B2 (ko)
JP (1) JP2007235136A (ko)
KR (1) KR100892797B1 (ko)
CN (1) CN101064244B (ko)
TW (1) TWI352387B (ko)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100914301B1 (ko) * 2008-03-27 2009-08-27 주식회사 하이닉스반도체 표면 거침도가 개선된 텅스텐층 형성 방법
KR20140134293A (ko) * 2012-03-15 2014-11-21 램 리써치 코포레이션 고속 가스 스위칭을 위해서 유용한 플라즈마 에칭 챔버용 챔버 필러 키트
KR20160132769A (ko) * 2015-05-11 2016-11-21 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
KR20170098721A (ko) * 2016-02-22 2017-08-30 도쿄엘렉트론가부시키가이샤 패터닝된 층의 주기적 에칭을 위한 방법
KR20180111504A (ko) * 2017-03-30 2018-10-11 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 방법, 기판 처리 장치 및 기록 매체
KR20190082970A (ko) * 2016-11-29 2019-07-10 램 리써치 코포레이션 유기 층 에칭시 수직 프로파일들을 생성하기 위한 방법

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7300878B1 (en) * 2006-05-25 2007-11-27 Texas Instruments Incorporated Gas switching during an etch process to modulate the characteristics of the etch
US7544521B1 (en) * 2006-09-11 2009-06-09 Lam Research Corporation Negative bias critical dimension trim
US8183161B2 (en) 2006-09-12 2012-05-22 Tokyo Electron Limited Method and system for dry etching a hafnium containing material
TWI435376B (zh) 2006-09-26 2014-04-21 Applied Materials Inc 用於缺陷鈍化之高k閘極堆疊的氟電漿處理
KR20080060017A (ko) * 2006-12-26 2008-07-01 주식회사 하이닉스반도체 반도체 소자의 제조방법
KR20080076173A (ko) * 2007-02-15 2008-08-20 삼성전자주식회사 금속 산화막 패턴 형성 방법 및 이를 이용한 반도체 소자의형성 방법
US20090246713A1 (en) * 2008-03-31 2009-10-01 Tokyo Electron Limited Oxygen-containing plasma flash process for reduced micro-loading effect and cd bias
US8298949B2 (en) * 2009-01-07 2012-10-30 Lam Research Corporation Profile and CD uniformity control by plasma oxidation treatment
JP5035300B2 (ja) * 2009-06-15 2012-09-26 株式会社デンソー 半導体装置の製造方法
US8901004B2 (en) * 2009-07-27 2014-12-02 Lam Research Corporation Plasma etch method to reduce micro-loading
JP2011187557A (ja) * 2010-03-05 2011-09-22 Toshiba Corp 半導体装置の製造方法
JP2011194629A (ja) * 2010-03-18 2011-10-06 Fujifilm Corp マスターモールドの製造方法およびモールド構造体の製造方法
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US8377632B2 (en) * 2011-05-29 2013-02-19 Nanya Technology Corp. Method of reducing microloading effect
CN103065959B (zh) * 2011-10-21 2015-12-09 上海华虹宏力半导体制造有限公司 一种减小硅刻蚀负载效应的方法
CN104272441A (zh) * 2012-03-27 2015-01-07 诺发系统公司 钨特征填充
JP5898549B2 (ja) 2012-03-29 2016-04-06 株式会社Screenホールディングス 基板処理方法および基板処理装置
JP5968130B2 (ja) * 2012-07-10 2016-08-10 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP2014036104A (ja) * 2012-08-08 2014-02-24 Tokyo Electron Ltd パターン形成方法及び固体撮像装置
US9082719B2 (en) 2012-10-19 2015-07-14 Infineon Technologies Ag Method for removing a dielectric layer from a bottom of a trench
US9142417B2 (en) * 2012-12-14 2015-09-22 Lam Research Corporation Etch process with pre-etch transient conditioning
JP6077354B2 (ja) * 2013-03-26 2017-02-08 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US8906810B2 (en) * 2013-05-07 2014-12-09 Lam Research Corporation Pulsed dielectric etch process for in-situ metal hard mask shape control to enable void-free metallization
JP6095528B2 (ja) * 2013-09-04 2017-03-15 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP6267953B2 (ja) * 2013-12-19 2018-01-24 東京エレクトロン株式会社 半導体装置の製造方法
US9653320B2 (en) * 2014-09-12 2017-05-16 Applied Materials, Inc. Methods for etching a hardmask layer for an interconnection structure for semiconductor applications
EP3067919A1 (en) * 2015-03-11 2016-09-14 IMEC vzw Method for forming vertical structures in a semiconductor target layer
KR20160116915A (ko) * 2015-03-31 2016-10-10 삼성전자주식회사 반도체 소자 제조 방법
CN107437581B (zh) * 2016-05-25 2020-10-09 上海磁宇信息科技有限公司 一种以氧化钽为硬掩模的磁性隧道结的制备方法
TWI729457B (zh) 2016-06-14 2021-06-01 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
TWI719262B (zh) 2016-11-03 2021-02-21 美商應用材料股份有限公司 用於圖案化之薄膜的沉積與處理
JP2020501344A (ja) 2016-11-08 2020-01-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated パターニング用途のためのボトムアップ柱状体の形状制御
US10770349B2 (en) 2017-02-22 2020-09-08 Applied Materials, Inc. Critical dimension control for self-aligned contact patterning
WO2018200212A1 (en) 2017-04-25 2018-11-01 Applied Materials, Inc. Selective deposition of tungsten for simplified process flow of tungsten oxide pillar formation
US10840186B2 (en) 2017-06-10 2020-11-17 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps
TW201906035A (zh) 2017-06-24 2019-02-01 美商微材料有限責任公司 生產完全自我對準的介層窗及觸點之方法
WO2019046402A1 (en) 2017-08-31 2019-03-07 Micromaterials Llc METHODS FOR GENERATING SELF-ALIGNED INTERCONNECTION HOLES
US10510602B2 (en) 2017-08-31 2019-12-17 Mirocmaterials LLC Methods of producing self-aligned vias
KR102227347B1 (ko) * 2017-09-05 2021-03-11 어플라이드 머티어리얼스, 인코포레이티드 3d 메모리 구조들에서의 고종횡비 홀 형성에 대한 상향식 접근법
WO2019050735A1 (en) 2017-09-06 2019-03-14 Micromaterials Llc METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES
JP2019106538A (ja) 2017-12-07 2019-06-27 マイクロマテリアルズ エルエルシー 制御可能な金属およびバリアライナー凹部のための方法
EP3499557A1 (en) 2017-12-15 2019-06-19 Micromaterials LLC Selectively etched self-aligned via processes
KR20190104902A (ko) 2018-03-02 2019-09-11 마이크로머티어리얼즈 엘엘씨 금속 산화물들을 제거하기 위한 방법들
US10790191B2 (en) 2018-05-08 2020-09-29 Micromaterials Llc Selective removal process to create high aspect ratio fully self-aligned via
TW202011547A (zh) 2018-05-16 2020-03-16 美商微材料有限責任公司 用於產生完全自對準的通孔的方法
US10699953B2 (en) 2018-06-08 2020-06-30 Micromaterials Llc Method for creating a fully self-aligned via
CN109110726B (zh) * 2018-07-03 2021-06-29 北京大学 一种提高高深宽比钨合金刻蚀均匀性的方法
CN113166929A (zh) 2018-12-05 2021-07-23 朗姆研究公司 无空隙低应力填充
TW202105505A (zh) * 2019-02-22 2021-02-01 日商東京威力科創股份有限公司 電漿蝕刻製程
US11164938B2 (en) 2019-03-26 2021-11-02 Micromaterials Llc DRAM capacitor module
CN112437973A (zh) * 2019-06-26 2021-03-02 株式会社日立高新技术 等离子处理方法
US11177137B2 (en) * 2020-01-17 2021-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer etching process and methods thereof
TWI759754B (zh) * 2020-06-03 2022-04-01 台灣奈米碳素股份有限公司 製作半導體裝置的溝槽結構的乾式蝕刻製程
CN116157900A (zh) * 2021-07-14 2023-05-23 株式会社日立高新技术 等离子处理方法

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5071714A (en) 1989-04-17 1991-12-10 International Business Machines Corporation Multilayered intermetallic connection for semiconductor devices
US5188979A (en) 1991-08-26 1993-02-23 Motorola Inc. Method for forming a nitride layer using preheated ammonia
US5264716A (en) * 1992-01-09 1993-11-23 International Business Machines Corporation Diffused buried plate trench dram cell array
US5337207A (en) 1992-12-21 1994-08-09 Motorola High-permittivity dielectric capacitor for use in a semiconductor device and process for making the same
US5356833A (en) 1993-04-05 1994-10-18 Motorola, Inc. Process for forming an intermetallic member on a semiconductor substrate
US5563343A (en) * 1993-05-26 1996-10-08 Cornell Research Foundation, Inc. Microelectromechanical lateral accelerometer
US5354417A (en) * 1993-10-13 1994-10-11 Applied Materials, Inc. Etching MoSi2 using SF6, HBr and O2
JP2924723B2 (ja) 1995-08-16 1999-07-26 日本電気株式会社 ドライエッチング方法
US6148072A (en) 1997-01-03 2000-11-14 Advis, Inc Methods and systems for initiating video communication
US5925918A (en) * 1997-07-30 1999-07-20 Micron, Technology, Inc. Gate stack with improved sidewall integrity
US6001706A (en) * 1997-12-08 1999-12-14 Chartered Semiconductor Manufacturing, Ltd. Method for making improved shallow trench isolation for semiconductor integrated circuits
KR200180937Y1 (ko) * 1998-04-08 2000-05-15 이충곤 자동차용 고무부쉬
US6190988B1 (en) * 1998-05-28 2001-02-20 International Business Machines Corporation Method for a controlled bottle trench for a dram storage node
US6242350B1 (en) 1999-03-18 2001-06-05 Taiwan Semiconductor Manufacturing Company Post gate etch cleaning process for self-aligned gate mosfets
US6348686B1 (en) * 1999-07-14 2002-02-19 Hubbell Incorporated Adapter for positioning a lens
US6270568B1 (en) 1999-07-15 2001-08-07 Motorola, Inc. Method for fabricating a semiconductor structure with reduced leakage current density
US6319730B1 (en) 1999-07-15 2001-11-20 Motorola, Inc. Method of fabricating a semiconductor structure including a metal oxide interface
US6328905B1 (en) 1999-08-12 2001-12-11 Advanced Micro Devices, Inc. Residue removal by CO2 water rinse in conjunction with post metal etch plasma strip
US6274500B1 (en) 1999-10-12 2001-08-14 Chartered Semiconductor Manufacturing Ltd. Single wafer in-situ dry clean and seasoning for plasma etching process
US6479395B1 (en) 1999-11-02 2002-11-12 Alien Technology Corporation Methods for forming openings in a substrate and apparatuses with these openings and methods for creating assemblies with openings
GB0000901D0 (en) 2000-01-14 2000-03-08 Isis Innovation Antiparasitic agent
US6300202B1 (en) 2000-05-18 2001-10-09 Motorola Inc. Selective removal of a metal oxide dielectric
US6284666B1 (en) * 2000-05-31 2001-09-04 International Business Machines Corporation Method of reducing RIE lag for deep trench silicon etching
US6444512B1 (en) 2000-06-12 2002-09-03 Motorola, Inc. Dual metal gate transistors for CMOS process
US6297095B1 (en) 2000-06-16 2001-10-02 Motorola, Inc. Memory device that includes passivated nanoclusters and method for manufacture
US6692903B2 (en) * 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
US6326261B1 (en) 2001-01-05 2001-12-04 United Microelectronics Corp. Method of fabricating a deep trench capacitor
US6348386B1 (en) 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US6563160B2 (en) 2001-08-09 2003-05-13 International Business Machines Corporation High dielectric constant materials forming components of DRAM such as deep-trench capacitors and gate dielectric (insulators) for support circuits
US6534376B2 (en) 2001-08-15 2003-03-18 Infineon Technologies Ag Process flow for sacrificial collar scheme with vertical nitride mask
US6528386B1 (en) 2001-12-20 2003-03-04 Texas Instruments Incorporated Protection of tungsten alignment mark for FeRAM processing
US6897155B2 (en) 2002-08-14 2005-05-24 Applied Materials, Inc. Method for etching high-aspect-ratio features
KR100728173B1 (ko) * 2003-03-07 2007-06-13 앰버웨이브 시스템즈 코포레이션 쉘로우 트렌치 분리법
US7009237B2 (en) * 2004-05-06 2006-03-07 International Business Machines Corporation Out of the box vertical transistor for eDRAM on SOI
DE102004031741B4 (de) * 2004-06-30 2010-04-01 Qimonda Ag Verfahren zur Herstellung einer Kontaktanordnung für Feldeffekttransistorstrukturen mit Gateelektroden mit einer Metalllage und Verwendung des Verfahrens zur Herstellung von Feldeffekttransistoranordnungen in einem Zellenfeld
US20060017043A1 (en) * 2004-07-23 2006-01-26 Dingjun Wu Method for enhancing fluorine utilization

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100914301B1 (ko) * 2008-03-27 2009-08-27 주식회사 하이닉스반도체 표면 거침도가 개선된 텅스텐층 형성 방법
KR20140134293A (ko) * 2012-03-15 2014-11-21 램 리써치 코포레이션 고속 가스 스위칭을 위해서 유용한 플라즈마 에칭 챔버용 챔버 필러 키트
KR20160132769A (ko) * 2015-05-11 2016-11-21 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
KR20170098721A (ko) * 2016-02-22 2017-08-30 도쿄엘렉트론가부시키가이샤 패터닝된 층의 주기적 에칭을 위한 방법
US10366902B2 (en) 2016-02-22 2019-07-30 Tokyo Electron Limited Methods for cyclic etching of a patterned layer
US10971373B2 (en) 2016-02-22 2021-04-06 Tokyo Electron Limited Methods for cyclic etching of a patterned layer
KR20190082970A (ko) * 2016-11-29 2019-07-10 램 리써치 코포레이션 유기 층 에칭시 수직 프로파일들을 생성하기 위한 방법
KR20180111504A (ko) * 2017-03-30 2018-10-11 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 방법, 기판 처리 장치 및 기록 매체

Also Published As

Publication number Publication date
TW200737337A (en) 2007-10-01
CN101064244A (zh) 2007-10-31
US20070199922A1 (en) 2007-08-30
KR100892797B1 (ko) 2009-04-10
US7368394B2 (en) 2008-05-06
JP2007235136A (ja) 2007-09-13
TWI352387B (en) 2011-11-11
US20080057729A1 (en) 2008-03-06
CN101064244B (zh) 2010-09-01

Similar Documents

Publication Publication Date Title
KR100892797B1 (ko) 고종횡비 분야용 이방성 피쳐를 형성하는 에칭 방법
US20070202700A1 (en) Etch methods to form anisotropic features for high aspect ratio applications
US7368392B2 (en) Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
US6372655B2 (en) Two etchant etch method
US20090004875A1 (en) Methods of trimming amorphous carbon film for forming ultra thin structures on a substrate
US5716494A (en) Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
US6451705B1 (en) Self-aligned PECVD etch mask
KR101111924B1 (ko) 이중층 레지스트 플라즈마 에칭 방법
US20040018738A1 (en) Method for fabricating a notch gate structure of a field effect transistor
US20100330805A1 (en) Methods for forming high aspect ratio features on a substrate
JP2006066408A (ja) ドライエッチング方法
CN101131927A (zh) 增强等离子体蚀刻性能的方法
EP1350265A1 (en) Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
JP2009021584A (ja) 高k材料ゲート構造の高温エッチング方法
KR20040090931A (ko) 전계효과 트랜지스터의 게이트 구조를 제조하는 방법
JP7270740B2 (ja) 3dnand応用のためのメモリセルの製造
KR101224747B1 (ko) 감소된 에칭률 마이크로-로딩을 갖는 텅스텐 실리사이드에칭 공정
TW202226378A (zh) 選擇性各向異性金屬蝕刻
JPH06283477A (ja) 半導体装置の製造方法
JP2005136097A (ja) 半導体装置の製造方法
JP3570903B2 (ja) 半導体装置の製造方法
KR100777925B1 (ko) 금속 배선 형성 방법
KR20220124637A (ko) 선택적 배리어 금속 에칭
TW202338975A (zh) 使用類金屬或金屬之基於氟碳化合物之沉積的選擇性蝕刻

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee