TWI352387B - Etch methods to form anisotropic features for high - Google Patents

Etch methods to form anisotropic features for high Download PDF

Info

Publication number
TWI352387B
TWI352387B TW096105299A TW96105299A TWI352387B TW I352387 B TWI352387 B TW I352387B TW 096105299 A TW096105299 A TW 096105299A TW 96105299 A TW96105299 A TW 96105299A TW I352387 B TWI352387 B TW I352387B
Authority
TW
Taiwan
Prior art keywords
layer
etching
etch
substrate
chamber
Prior art date
Application number
TW096105299A
Other languages
English (en)
Other versions
TW200737337A (en
Inventor
Meihua Shen
Uwe Leucke
Guangxiang Jin
Xikun Wang
Wei Liu
Scott Williams
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200737337A publication Critical patent/TW200737337A/zh
Application granted granted Critical
Publication of TWI352387B publication Critical patent/TWI352387B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

1352387 i 九、發明說明: 【發8月所屬之技術領域】 的非等向性特徵的 趙製造領域中通過 向性特徵的方法。 本發明關於形成用於高深寬比應用 方法°具體地,本發明主要關於在半導 钱刻製程形成用於高深寬比應用的非等
【先前技術】 可靠地製造深次微米和 代大型積體電路(VLSI )和 趙器件的關鍵技術。但是, VLSI和ULSI技術中減小互 較小尺寸的特徵已經成爲下一 超大型積體電路(ULSI)半導 由於受到電路技術的限制’在 連線的尺寸已經對處理能力提 出了更多的要求。形成可靠的閘圖案對於成功形成 VLSI 和ULSI並進而提高電路密度以及單獨基材和晶片塊的質 量來說至關重要。
隨著特徵尺寸變得越來越小,深寬比或者特徵的冰度 以及特徵的寬度之間的比例已經穩步提高,從而要求製造 製程將材料蝕刻到深寬比爲約5 〇 : 1到約1 00 : 1或者更大 的特徵。通常,通過將介電層非等向性地蝕刻爲預定的深 度和寬度而製造深寬比約爲1〇: 1的特徵。但是,當形成 更高深寬比的特徵時,採用傳統側壁純化技術的非等向性 蝕刻已經很難實現,從而産生具有均勻間距和/或具有兩個 或者多個傾斜輪廓的特徵,因此失去了特徵的臨界尺寸 (critical dimension)。 而且,在蝕刻製程期間在特徵的頂部或者側壁産生的 1352387 i
鈍化層的重複沈積或者富集可能阻擋在罩幕中限 口。由於累積的重複沈積層缩減或者密封了罩幕的 或蝕刻特徵的開口 ,阻擋了反應劑進入該開口 ,從 了可能獲得的深寬比。因此,不能充分蝕刻特徵將 法獲得所需深寬比的特徵。 蝕·刻具有高深寬比的特徵的另一問題在於存在 效應,該微負載效應爲在高低特徵密度區域之間蝕 變化的測量。由於低特徵密度區域(例如,隔離區 高特徵密度區域(例如,密集區域)相比具有更大 積開口而在單位表面積上接收更多的反應劑,從而 高的蝕刻速率。産生於蝕刻附產物的側壁鈍化由於 有更強鈍化的區域中產生了更多的副產物而導致在 表現出類似的特徵密度依賴性。在這兩個區域之間 面積的蝕刻劑和鈍化物的區別隨著特徵密度差異的 增加。如第8A圖所示,由於在高低特徵密度區域 不同的蝕刻速率和不同的副產物,通常研究發現在 期望和控制的垂直尺寸蝕刻並限定低特徵密度區域 同時,由於不充分.的側壁鈍化産生的橫向攻擊導致 密度區域8 04出現弓形和/或底切806。在另一製程 第8B圖所示,以更快的蝕刻速率蝕刻具有比高特 區域810更多鈍化的低特徵密度區域808,從而在 814的側壁上産生錐形頂部812。因此,與具有高深 高低特徵密度區域的不同蝕刻速率相關的不充分的 護通常導致不能保持蝕刻特徵的臨界尺寸並且産生 定的開 fl 口和 / 而限制 導致無 微負載 刻尺寸 域)與 的表面 產生更 在形成 該區域 單位表 增加而 中存在 以一定 802的 1¾特徵 中,如 徵密度 餘刻層 寬比的 側壁保 質量較 6 1352387
差的圖案轉移。 與具有高深寬比的蝕刻特徵相關的再一挑戰爲 多層形成並具有不同特徵密度的特徵的蝕刻速率。 可以根據特徵密度而以不同的速率蝕刻每一層。如 所示,低特徵密度區域902中的較快蝕刻速率經常 位於上蝕刻層906下部的層904産生過蝕刻,而在 徵區域908中的較低蝕刻速率防止了層910的部分 蝕刻。隨著特徵向更高的深寬比發展,在低和高特 區域中保持有效的蝕刻速率而同時既不底切上層又 刻下層已經逐漸變得難於控制。不能在基材上形成 特徵或者圖案會導致意想不到的缺陷,並且對隨後 步驟産生不利的影響,最終將降低或使産生的積體 構的性能失效。 因此,在該技術領域中需要一種用於蝕刻高深 徵的改進方法。 【發明内容】 本發明提供了一種用於形成用於高深寬比應用 非等向性特徵的方法。這裏所述的方法通過側壁鈍 理方案有助於促進特徵輪廓和尺寸控制。在一實 中,通過在蝕刻層側壁和/或底部選擇性形成氧化鈍 管理側壁鈍化物。在另一實施方式中,通過周期性 多的重複沈積層管理側壁鈍化物從而在其上保持平 勻的鈍化層。該平坦而均勻的鈍化物允許以在基材 控制由 這裏, 第9圖 導致對 密集特 被完全 徵密度 不過蝕 設計的 的製程 電路結 寬比特 領域的 化物管 施方式 化層來 清除過 坦而均 上的尚 1352387 和低特徵密度區域保持所需深度和臨界尺寸的垂直剖面的 方式蝕刻具有高深寬比的特徵,同時不産生缺陷和/或過蝕 刻下層。 在一實施方式中,該方法包括在蝕刻腔室中設置其上 具有層的基材,採用第一氣體混合物通過在罩幕中形成的 開口蝕刻該層以限定特徵的第一部分,採用第二氣體混合 物通過原位蝕刻在蝕刻期間形成的重複沈積層清潔開口, 並通過清潔後的開口蝕刻該層。
在另一實施方式中,該方法包括在蝕刻腔室中設置其 上具有層的基材,蝕刻基材上的至少部分層,在蝕刻層上 形成氧化層,並在蝕刻腔室中蝕刻沒有通過氧化層保護的 暴露部分蝕刻層。 在再一實施方式中,該方法包括在蝕刻腔室中設置具 有包括第一層和第二層的膜層疊的基材,在蝕刻腔室中蝕 刻膜層疊以暴露第一層和第二層,在第一層上形成氧化 層,並在蝕刻腔室中蝕刻第二層。
在又一實施方式中,該方法包括在蝕刻腔室中設置具 有包括第一層和第二層的膜層疊的基材,在蝕刻腔室中採 用第一氣體混合物蝕刻膜層疊以暴露第一層和第二層,採 用第二氣體混合物蝕刻在蝕刻期間形成的重複沈積層,並 通過將基材暴露在含有氧氣的環境中在第一層上形成氧化 層,並蝕刻沒有被氧化層保護的第二層。 【實施方式】
c S 1352387 本發明主要關於用於通過蝕刻製程形成用於高深寬比 應用的非等向性特徵的方法。在一實施方式中,該方法包 括電漿蝕刻在具有高深寬比的特徵的頂部和/或側壁上沈 積的重複沈積材料。在另一實施方式中,該方法包括在基 材表面的蝕刻區域的部分上形成保護性氧化層。可以在一 個或者集成在集束型工具中的多個腔室中執行該蝕刻製 程。
可以在任意電漿蝕刻腔室中執行這裏所述的蝕刻製 程,例如,HART蝕刻反應器、HART TS蝕刻反應器、去 耦合電漿源(DPS)、DPS-II或者DPS PLUS或者CENTURA® 蝕刻系統的DPS DT蝕刻反應器,所有這些產品均由位於 California 的 Santa Clara 的 Applied Material 公司出售。 也可以採用來自其他製造商的電槳蝕刻腔室。所述DPS反 應器採用13.56 MHz感應電漿源産生並保持高密度電漿並 且採用13.56 MHz偏壓功率源對晶圓施加偏壓。電漿的去 耦合特性和偏壓源允許對離子密度和離子能量進行獨立控 制。該DPS反應器通過改變源/偏壓功率、氣歷、蝕刻氣 體化學成分並採用終點檢測系統確定處理終點而提供較寬 的製程視窗。 第1圖示出了蝕刻製程腔室100 —實施方式的示意 圖。腔室100包括支撐介電圓頂形頂板(以下稱之爲圓頂 120)的導電腔室壁130。其他腔室可以具有其他類型的頂 板(例如平面頂板)。壁130與接地134電連接》 至少一條電感線圈天線段112通過匹配網路119與射 9 Ϊ352387 頻(RF)源118耦合。天線段112設置在圓頂120的外部 並用於在該腔室内維持由製程氣體形成的電漿》在一實施 方式中,施加給感應線圈天線112的源RF功率處於約〇 瓦到約2500瓦的範圍之間,頻率處於約50 KHz到約13.56 MHz之間。在另一實施方式中’施加給感應線圈天線112 的源RF功率處於約200瓦到約800瓦的範圍之間,例如 約400瓦。
製程腔室100還包括與第二(偏壓)RF源122耦合的 基材支撐座116(偏壓元件),該第二(偏壓)rf源122 通常能夠提供用於在大約13.56 MHz頻率下産生約15〇〇 瓦或者更少(例如,無偏壓功率)的偏壓功率的RF信號。 該偏壓源122通過匹配網路123與基材支撐座116耗合。 施加給基材支撐座116的偏壓功率可以爲DC或者RF。
在操作t ’基材支撐座116上設置基材114並通過傳 統技術在其上保持’所述傳統技術諸如靜電吸附或者機械 固定基材114。通過入口 126從氣路板138向製程腔室1〇〇 提供氣體成分從而形成氣體混合物150»通過分別從rf 源118和122向天線112和基材支'撐座116施加的rf功 率而在製程腔室100中保持由混合物15〇形成的電聚。採 用位於製程腔室1〇〇和真空果136之間的節流閥127控制 蝕刻腔室100内部的氣壓。採用位於腔室丨〇〇的壁13〇中 的含液體導管(未示出)控制壁130的表面溫度。 通過穩定基材支撐座116的溫度並通過導管149將熱 傳輸氣體從源148流向由基材114的背部和位於底座表面 10 1352387
上的溝槽(未示出)形成的通道而控制基材丨14的显度。 可以採用氦氣作爲熱傳輸氣體以便於在基材支稽·座丨丨6和 基材114之間的熱傳輸。在蝕刻製程期間,通過設置在基 材支撑座116中的電阻式加熱器125經由DC功率源124 將基材114加熱至穩態溫度。設置在基材支掠座116和基 材114之間的氦氣有助於對基材114進行均勻加熱。採用 對於圓頂120和基材支撐座116的熱控制,將基材114維 持在約1 0 0攝氏度和約5 0 0攝氏度之間的溫度。 熟悉本領域的技術人員應該理解,可以採用其他形式 的蝕刻腔室實施本發明。例如,可以採用具有遠端電聚源 的腔室、微波電楽·腔室、電子迴旋共振(electron cyclotron resonance,ECR)電漿腔室等類似腔室實施本發明。
控制器140與DPS蝕刻製程腔室100的各個元件連接 以便於控制蝕刻製程,其中該控制器140包括中央處理單 元(CPU) 144、記憶體142和用於CPU 144的支援電路 146。爲了便於控制如上所述的腔室,該CPU 144可以是 任意形式的通用電腦處理器,在用於控制各種腔室以及子 處理器的工業設定中可以採用該處理器。記憶艎142與 CPU 144連接。記憶體142或者電腦可讀介質可以爲一個 或者多個容易訪問的記憶體,諸如隨機存取記憶體 (RAM )、唯讀記憶體(ROM )、軟碟、硬碟或者其他任意 形式的數位記憶體、本地或者遠端記憶體。支援電路 146 以傳統方式與CPU 144連接以支援該處理器。這些電路包 括高速緩衝記憶體、功率源、時鐘電路、輸入/輪出電路和 1352387 子系统等。通常在記憶體142中將這襄所述的钱刻製程存 儲爲軟體應用程式。還可以通過第二CPU (未示出)存健 和/或執行該軟體程式,其中該cpu設置爲遠離通過cpu 144控制的硬體。
第2圖所示爲在腔室1〇〇或者在其他適用的處理腔室 中實施的蝕刻製程200的一實施方式的流程圖。第3a_3D 圖所示爲對應於製程200各個階段的複合基材部分的截面 示意圖。儘管在第3A-3D圖中示出用於形成閘結構的製程 200,但是可以採用該製程200蝕刻其他結構。
製程2 00開始於步驟2 02,在該步驟將基材114傳輸 (提供)到蝕刻製程腔室中。在第3A圖所示的實施方式 中,基材114具有適用於製造閘結構的膜疊層3〇〇。基材 114可以是任意形式的半導體基材、矽晶圓、玻璃基材等。 可以採用一種或者多種傳統沈積技術形成包括膜層疊300 的層,所述沈積技術諸如原子層沈積(ALD)、物理氣相沈 積(PVD)、化學氣相沈積(CVD)、電漿增強CVD( PECVD) 等。採用各種由 CENTURA®、PRODUCER®、ENDURA®和 其他半導體晶圓處理系統構成的處理模組沈積膜層疊 300 ’所述處理模組由位於California的 Santa Clara的 Applied Material公司以及其他模組製造商出售。在一實施 方式中,膜層疊300包括閘極層314和閘介電層302。暴 露至少部分閘極層314以進行蝕刻。在圖3所示的實施方 式中’通過圖案化罩幕3〇8中的一個或者多個開口而暴露 閘極層314的部分318和320。 12 1352387 在一實施方式中,閘極層ή 吧拽續3 1 4可以包括位於多晶矽 料3〇4頂部上的金屬材料3〇6的 竹 的層疊。金屬材料3 06可 選自鎮(W)、氮化鎮(WN")、ία, 竭、)矽化鎢(WSi)、鎢/多晶 (W/p〇ly)、鎢合金、组(Ta)、甚,. ; 氮化鈕(TaN )、氮矽化 (TaSiN)、氮化鈦(TiN)、單體或者其組合。
在第3A圖所示的典型實施方式中,罩幕3〇8可以爲 硬罩幕、光阻罩幕或者其組合。罩幕3〇8可以用做餘刻罩 幕以在密集區Μ 320以及隔離區域318中形成用於將閉極 層314和閘介電層302蝕刻爲預定的特徵的開口部分。 在步驟204,向蝕刻腔室提供第一氣體混合物以蝕刻 位於其中的基材114。如第3Β圖所示,在蝕刻期間,蝕刻 基材114上的層306並使其從部分318、320上去除,從而 剩餘通過罩幕308限定的溝槽。在達到終點以後,在基材 上已經去除了至少部分層306。可以通過任意適用的方法 確定該終點。例如,通過監控光學散射、到達預定時間周
材 以 矽 纽 期或者通過另一用於確定等待蝕刻的層已經充分去除的指 示器而確定終點。 第一氣體混合物可以包括適用於蝕刻含金屬的閘極詹 的任意氣體。在一實施方式中,第一氣體混合物可以包括 但不限於與如下氣體至少其中之一結合的氧氣,其中所述 氣體爲氮氣(Ν2 )、氣氣Ch )、三氟化氮(NF3 )、六氟化 硫(SF6)、含碳和氟的氣體,諸如CF4、CHF3、C4F8或者 氬氣(Ar)、氦氣(He)等》 在向蝕刻腔室提供氣體混合物的同時調整幾個製槎參
13 1352387
數。在一實施方式中,在第一氣體混合物存在的情況下調 整腔室壓力。在一典型實施方式中,將蝕刻腔室的製程壓 力調整爲約2 mTorr到約100 mTorr,例如約10 mTorr。可 以施加RF功率源從而保持由第一製程氣體形成的電漿。 例如,可以向電感耦合天線源施加約1 00瓦至約1 500瓦之 功率以保持蝕刻腔室内部的電漿。第一氣體混合物以約5 0 s c c m到約1 0 0 0 s c c m的速率流入腔室。基材溫度保持在約 3 0攝氏度到約5 0 0攝氏度之間》
在蝕刻期間,在蝕刻腔室内未遮蔽區域的蝕刻期間形 成的諸如含矽、含碳成分的副産物在罩幕層308以及蝕刻 層306的側壁或者頂部上聚集並累積,從而形成重複沈積 層3 24,如第3B圖所示。隨著沈積層3 24不斷生長,溝槽 的開口部分3 2 0可能會關閉或者變窄,從而破壞了蝕刻製 程。因此,向蝕刻腔室中提供清潔氣體的選擇性步驟205 用於蝕刻累積在罩幕層3 0 8以及蝕刻層3 0 6的側壁或者頂 部上的重複沈積層 3 24。該清潔氣體去除了重複沈積層 324,從而重新打開其預定的圖案化罩幕。 清潔氣體可以包括含氟氣體。在一實施方式中,該清 潔氣體包括三氟化氮(NF3 )、六氟化硫(SF6 )、四氟化碳 (CF4)。在另一實施方式中,該清潔氣體包括含碳和氟的 氣體,諸如 CHF3、C4F8等。還可以在清潔期間向蝕刻腔 室中提供諸如氬氣(Ar)、氦氣(He)等的載氣。 參照第3B圖,由於隔離區域312的表面區域存在較 大的開口 ,因此與隔離區域312的部分318相比,密集區
14 1352387
域310的部分320在單位表面積上接收較少的蝕刻物 在這兩個區域中單位面積上蝕刻劑的差異隨著圖案密 異的增加而增加,從而增強了不希望出現的微負載效 在蝕刻具有高深寬比或者在其上形成有密集擁擠的特 基材時該微負載效應普遍存在。在隔離區域312的部分 中累積了相對較多的蝕刻物質,從而產生較高的蝕 率,並且因此,在隔離區域312中暴露的部分318具 密集區域3 10更快的蝕刻速率。在蝕刻基材預定時間 以後,由於存在不同的蝕刻速率導致在密集區域310 層的部分320仍剩餘至少部分要蝕刻的區域的同時而 隔離區域312中的該層的部分318已經完全去除》 在步驟206,如第3C圖所示,在基材114上沈積 層 322。在一實施方式中,向蝕刻腔室施加包括含氧 的第二氣體或者氣體混合物。該含氧氣體與暴露的 3 0 4的部分3 1 8 (例如,多晶矽層)反應以形成諸如 的氧化層322。形成在其上的氧化層322用做鈍化層 在去除通過罩幕層308限定的密集區域310中的所 306的剩餘部分的同時保護下層304不受攻擊。由於 的不活躍特性以及與氧氣物質的不充分接觸,從而選 地氧化部分基材表面,導致在密集區域310中閘極層 的部分320形成不可能與在底部多晶矽層304上暴露 分318 —起形成氧化層,從而選擇性氧化基材表面 分。因此,在已經暴露的下層304的部分318上選擇 成氧化層322,該氧化層322將待蝕刻的層306的部分 質。 度差 應。 徵的 318 刻速 有比 周期 的該 位於 氧化 氣體 下層 Si02 從而 述層 材料 擇性 306 的部 的部 性形 320 15 叫387 叹·®·於未受保護的狀態,益提供進—步蝕刻以去除層306 的剩餘部分320。
可以通過各種方法形成這裏所述的氧化層.在一實施 方式中’可以通過向蝕刻腔室中提供至少一種含氧氣體以 與多晶矽表面反應而原位形成氧化層,所述含氧氣髅諸如 02、N20、NO、CO、C〇2等。在另一實施方式中,可以將 多晶矽層暴露於含有至少一種氧化氣體或者含氧氣體的環 境中(例如’將基材傳輸到緩衝腔室或者傳輪腔室)以在 其表面上形成氧化層。在再一實施方式中,可以將基材傳 輸到另一製程腔室或者提供至少氧化氣艎或者含氧氣趙的 另一工具中,從而在基材的表面上形成氧化層。 在向银刻腔室t提供含氧氣體的同時調整幾個製程參 數。在一實施方式中’調節蝕刻腔室内部存在含氧氣體狀 態時的腔室壓力。在一典型實施方式中,調節蝕刻腔室中 含氧氣體的壓力使其處於約2 mTorr到約1 50 mTorr之 間,例如,位於約10 mTorr到約100 mT〇rr之間。可以施
加RF功率源從而維持由第 上的層304的至少一部分。 二氣體形成的電漿以氧化基材 例如.,向電感耦合天線源施加 約200瓦到約1 500瓦的功率以維持蝕刻腔室内部的電聚。 同時以約50 sccm到約2000 sccm之間的流速引入含氧氣 體。 二氣體混合物從而 3〇6的剩餘部分 ’當已經完全去除 在步驟208,向製程腔室中施加第 進一步蝕刻該製程腔室内部的所述層 320’如第3D圖所示。在一實施方式中
16 1352387
密集區域310中所述層306的剩餘部分320時终止該蝕刻 製程。在另一實施方式中,通過過蝕刻進入下層304的部 分 316(如虛線所示)而終止蝕刻製程。在再一實施方式 中,在已經去除下層304的暴露平面並已經成功地將罩幕 308的圖案化圖形轉移到膜疊層300後終止該蝕刻製程, 如第3E圖所示。在可選實施方式中,如在第2圖申通過 迴圈210所示,可以重複執行步驟205、206和208以逐漸 去除密集區域310 t所述層306的部分320,直到完全去 除部分320,從而暴露閘極層302。 第三氣體混合物可以爲任意適用於蝕刻基材上層的剩 餘部分的氣體混合物。在一實施方式中,第三氣體混合物 可以與如上所述的步驟202中的第一氣體混合物相同。在 另一實施方式中,第三氣體混合物可以爲適用於蝕刻矽層 的任意氣體。在再一實施方式中,第三氣體混合物可以選 自由諸如 Cl2、HC1、HBr、CF4、CHF3、NF3、SF6、〇2、 N2、He或者Ar等構成的組。
而且,在向蝕刻腔室中提供第三氣體混合物的同時調 整製程參數。在一實施方式中,調筇蝕刻腔室中的製程壓 力使其處於約2 m T 〇 r r到約1 0 0 m T 〇 r r之間,例如位於約4 mTorr。可以施加RF功率源從而維持由第一製程氣體形成 的電漿以蝕刻基材上的層3 04的至少一部分。例如,向電 感耦合天線源施加約1 5 0瓦到約1 5 0 0瓦的功率以維持蝕刻 腔室内部的電衆。同時以約5 0 s c c m到約1 0 0 0 s c c m之間 的流速引入第三氣體混合物。基材溫度保持在約2 0攝氏度 17 Γ V 1 1352387
到約8 0攝氏度的温度範圍内。 可以採用這裏所述的蝕刻基材的方法蝕刻具有不 層和結構的基材。如第4A-4G圖所示’在另一實施方式 通過採用第2圖所示方法20 0的另一實施方式蝕刻基 第4 A-4G圖爲對應於用於蝕刻複合基材的製程200的 基材的部分截面示意圖。儘管在第4A-4G圖中示出了 蝕刻閘結構的製程200,但是該製程200還可以有益 於蝕刻其他結構。 方法200開始於步驟202,在該步驟提供基材並 傳輸到蝕刻製程腔室中。如第4Α圖所示,基材114 包含位於其上的高Κ介電層的層。在一實施方式中, 114包括膜疊層410,在該膜疊層上要形成諸如閘的結 膜疊層410包括插入有高介電常數材料層402 (具有 4.0的介電常數的高 Κ介電材料)的至少一層或者 404、406。在諸如閘介電層的介電層414上或者直接 材114上設置膜疊層410。可以採用諸如硬罩幕、光 幕或者其.组合.的罩幕408作爲暴露膜疊層410的部分 的蝕刻罩幕從而蝕刻其上的特徵。基材11 4可以是任 式的半導體基材、矽晶圓、玻璃基材等。可以想到, 插入的介電層402可以是適用於在基材上形成結構的 介電層。適用的介電層實施例包括但不限於氧化層、 層、氧化與氮化之混合層、插入有氮化層的至少一種 多種氧化層等。 在第4圖所示的實施方式中,高Κ材料層402可 同膜 中, 材。 複合 用於 地用 將其 含有 基材 構。 大於 多層 在基 阻罩 412 意形 所述 任意 氮化 或者 以包 18 ^52387 括介電常數大於 4的材料,其實施例包括二氧化姶 (Hf〇2 )、二氧化鍅(Zr〇2 )、銓矽酸鹽(HfSi〇2 )、鍅矽 暖鹽(ZrSi02 )、二氧化鉅(Ta02 )、氧化鋁、掺雜鋁的二 氧化給、鈦酸鏍鋇(BST )以及鈦酸鍩酸鉛(PZT )等。
高K材料402上部的層406可以包括一層或者多層。 在一實施方式中,層406包括用於閘極的金屬材料,包括 鎮(W)、矽化鎢(WSi)、鎢多晶矽(W/多晶)、鎢合金、 & ( Ta)、氣化 ( TaN )、氣 # 化组(TaSiN )、氣化欽(TiN ) 等°可選地,層4 06還可以是或者包括多晶矽層。根據需 要’對於由疊層410構成的結構,可將諸如多晶矽層或者 氧化層的層404選擇性設置在高K材料層402以下。 在步驟204,如第4B圖所示,向蝕刻腔室中提供第一 氣體混合物從而钱刻膜疊層410。在步驟2〇4,通過由罩幕 408限定的開口而蝕刻層406的部分412,以在疊層410 中形成溝道。
在一實施方式中,該第一氣體混合物包括含邊素的氣 體並且不包括含氧氣的氣體。含南素的氣體可以爲含氣氣 體’其包括但不限於氣氣(eh)、三氣化蝴(bCi3)、氣化 氫(HC1)等至少其中之一。可選地,在第一氣體混合物 可以既包括氣氣(cu)又包括三氣化堋(Bcl3)。選擇自 素氣趙的類型(例如Ch、BCh或者二者都有)從而有效 地從層406尹去除金屬(例如,給、錯等)。 在另-實施方式中,在步驟204中採用的第一氣體混 合物還可以包括具有含氧氣體或者沒有含氧氣體的還原 19
1352387 劑。適用的還原劑包括但不限 氧化碳(co)、氧氣(〇2)、甲貌(
乙炔(C2H4 )及其组合物等。A 仕一替代 碳氫化合物(例如曱烷)作爲輿 Θ丹餓刻製 物結合的聚合氣體。曱烷用於抑制發 得高K介電材料(二氧化銓或者 高姓刻選擇比。此外,第一氣體混合物 者多種附加氣體,諸如氦氣(He)、厂 ’ 氧扇 等β 在向姓刻腔室中提供第一氣體混合 參數。在一實施方式中,調節蝕刻腔室 合物時的腔室壓力使其處於約2 mTQQ 間’例如位於約1 〇 m T 〇 r r。以約〇瓦到 圍向基材支撑底座施加基材偏麼功率。 而維持由第一製程氣體形成的電漿以钱 部分。例如’向電感耦合天線源施加約( 功率以維持蝕刻腔室内部的電漿。基材 攝氏度到約5 00攝氏度的溫度範圍内。 在選擇性步驟205,提供清潔氣體 2 04期間沈積的重複沈積唐426。在钱刻 積層426可能由蝕刻期間暴露的釋放副 的副產物爲諸如含珍和含竣的成分。如 副產物在罩幕層408以及蝕刻層406的 集並累積,從而形成重複沈積層426。 •合物氣體’諸如一 Ο、乙烷(C2H6 )、 '實施方式中,選擇 程期間産生的副產 料的蚀刻’從而獲 .鹽)對於矽材料的 還可以包括一種或 L (Ar)、氮氣(N2) 物的同時調整製程 中存在第一氣體混 到約1 〇 0 m T 〇 r r之 約800瓦的功率範 施加RF功率源從 刻層406的至少一 )瓦到約3000瓦的 •溫度保持在約3 0 以蝕刻在蝕刻步驟 腔室中所述重複沈 産物形成,該釋放 第4B圖所示,該 側壁或者頂部上聚 隨著沈積層426不 20 1352387
斷生長,溝槽的開口部分412可能會變窄和/或密到 破壞了蝕刻製程的終止。因此,向蝕刻腔室中提供 體以蝕刻重複沈積層4 2 6從而消除聚合物累積的情 樣重新打開圖案化的罩幕以在不對臨界尺寸和/或 壁輪廓/角度産生不利影響的情況下繼續蝕刻。 清潔氣體包括含氟氣體。在一實施方式中,該 體包括諸如三氟化氮(NF3 )、六氟化硫(SF6 )、四 (CF4)等的至少一種含氟氣體。在另一實施方式 清潔氣體包括含碳和氟的氣體,諸如CHF3、C4F8 清潔氣體中還可以提供諸如氬氣(Ar)、氦氣(He 惰性氣體。 在傳統製程中,在蝕刻製程期間會發現具有高 的钮刻層的不充分的側壁純化。沒有足夠的側壁純 蝕刻製程之後,橫向以及垂直蝕刻可能會同時發生 導致特徵的預定尺寸發生很大變化或者腐蝕特徵的 例如形成圓形拐角。所述變化被稱之爲臨界尺寸( dimension, CD )偏差。 爲了防止臨界尺寸偏差,在步驟206沈積氧化, 如第4C圖所示,通過向蝕刻腔室提供包括含氧氣 二氣體混合物而施加氧化層418以在基材的蝕刻層 側壁上形成氧化層418。在一實施方式中,層406 側壁422與提供給製程腔室的含氧氣體反應以形成 41 8作爲Si02層。氧化層418用做鈍化層從而在隨 刻步驟中保護所述層406的側壁422不受橫向攻擊 ,從而 清潔氣 況,這 溝槽側 清潔氣 氟化碳 中,該 等。在 )等的 深寬比 化,在 ,從而 拐角, critical f 418» 體的第 406的 的暴露 氧化層 後的蝕
!: S 21 丄352387 可以通過各種方法形成氧化層418◎在—實施方式 中,可以通過向蝕刻腔室中提供至 " 材及庙裡言乳既體以與基 何夂應而原位形成氧化層418,所 Ν2〇、1迷言虱軋體諸如爲〇2、 、c〇、C02等。在另一實施方式中, 層盈咖 、丁 】U將独刻 增4〇6暴路於氧氣或者含氧氣 氧化居h 環境中以在其表面上形成 層。在再一實施方式中,可 腔室戋者值⑯ 乂通過將基材傳輪到缓衝 的大氣戸格& 黍露在工具的真空環境以外 氣環境中以在工具之間傳輪期間形成氧化層。 在步驟208,向製程腔室中旖 進一 +斜41一 加第—氣體混合物從而 進步敍刻尚K材料層4〇2,如第4D圖所示 方式中,在1¾刻層4G2的同時+跑 在-實施 偏的部W…08二:刻在步驟2°4後剩餘的層 1刀在㈣208蝕刻製程基 方式中,在已經完全去除高在—實施 疋全去除问Κ材料4〇2的同時 驟208的蝕刻製程。在另一實 、,。束步 貝拖方式中’蝕刻製 蝕刻基材以去除位於高κ材料層4〇2 匕° 分424。 增402下部的下層404的部 在步驟m的隨後姓刻製程期間可能重複沈積重複沈 積層426,並且在㈣製程期間可能消耗氧㈣418 此可以選擇性迴圈執行步驟2〇5、2〇6和2〇8以逐漸钱刻 層402。通過重複去除重複沈積層426以及沈積氧化層川 的逐步蝕刻改進了溝槽的垂直性並通過在層4〇2的特徵蝕 刻期間重複打開圖案化的罩幕並保持氧化層而提高了罩 對於溝槽的CD傳輸。 在替代實施方式中,如第4E圖所示, 〜1 -人向钱 22 1352387 刻腔室提供第二氣體混合物在消耗完第一氧化層41 8後在 蝕刻層406、402的側壁422上塗敷第二氧化層420,從而 防止在隨後的蝕刻製程期間對該層進行橫向蝕刻。
在選擇性沈積第二氧化層420以後,如第4F圖所示, 向製程腔室中提供第三氣體混合物以蝕刻層 404。該第三 氣體混合物可以是用於去除層4 04的任意適用的氣體。在 一實施方式中,第三氣體混合物可以與步驟204中的第一 氣鱧混合物相同。在另一實施方式中,第三氣體混合物可 以選自由 HBr、Cl2、HC1、CF4、CHFs、NF3、SF6、N2、 〇2、He、Ar等組成的組。 在蝕刻層404期間可以調整製程參數。例如,調節蝕 刻腔室的腔室壓力使其處於約2 mTorr到约1 00 mTorr之 間,例如位於約20 mTorr。施加rf功率源從而維持由第 一製程氣體形成的電漿。例如,向電感耦合天線源施加約 1 〇〇瓦到約800瓦的功率以維持蝕刻腔室内部的電漿。以 約50 seem到約1000 seem之間的速率向腔室中引入第三
氣體混合物。基材溫度保持在2 0攝氏度到5 〇 〇攝氏度的溫 度範圍内。 如第4G圖所示,在已經蝕刻掉膜疊層41〇以後去除 罩幕層408。在替代實施方式中,重複執行步驟2〇5、206 和208以在重複打開圖案化的罩幕並保持保護蝕刻的特徵 側壁的氧化層的同時逐漸姓刻層4〇4,如第2圖中的迴圈 2 1 0所示。 可以採用如上所述的方法蝕刻具有不同膜層的基材和 23 1352387 /或形成不同結構。在如第5 A-5E圖的再一典型實施方式 中,通過採用圓2所示的方法2 00的另一實施方式蝕刻基 材 1 14。 第5A-5E圖所示爲對應於用於蝕刻窄溝隔離(shallow trench isolation,STI)結構的製程200的基材的部分截面 示意圖。儘管在第5A-5E圖中示出用於形成STI結構的製 程2 00,但是該製程200還可以有益地用於蝕刻其他結構。
方法200開始於步驟202,在該步驟中將基材傳輸到 蝕刻製程腔室中。如第5A圖所示,基材114含有包含位 於其上的層500。在一實施方式中,層500適於製造STI 結構。層500可以是矽薄膜,例如空白裸矽薄膜。在不存 在層500的實施方式中,在層500上執行的所述製程可替 代爲在基材114上執行的製程。基材114可以是任意形式 的半導體基材,諸如矽晶圓、玻璃基材等。
罩幕5 02可以是硬罩幕、光阻罩幕或者其組合。用作 钱刻罩幕的罩幕502具有暴露層500的部分504的開口。 通過該開口可以蝕刻具有或者沒有層5〇〇的基材114以從 暴露的部分504去除材料從而形成特徵。 在步驟204,向蝕刻腔室中提供第一氣體混合物從而 姓刻層500。在步驟204,如第5B圊所示,通過罩幕502 限定的開口蝕刻層500的部分504以在膜層500中形成溝 槽。 在—實施方式中,該第一氣體混合物包括含鹵素的氣 想°含齒素的氣體可以爲含溴氣體,其包括但不限於溴化 24 1352387
氫(HBr )、溴氣(Βγ2 )等至少其中之一,並且可 少一種含氟氣體。在一實施方式中,在第一氣體 以包括溴氣(Βγ2)和三氟化氮(NF3)。在另一實施 用在步驟2 04中的第一氣體混合物還可以包括含 一種適用的含矽氣體爲四氟化矽(SiF4)氣體。 在步驟204期間可以調整製程參數。在一實 中,調節蝕刻腔室内部存在第一氣體混合物狀態 力使其處於約2 m T 〇 r r到約1 0 0 m T 〇 r r之間,例 10 mTorr。以約0瓦到約300瓦的功率範圍向基 座施加基材偏壓功率。可以施加RF功率源從而 一製程氣體形成的電漿以蝕刻層 406的至少一 如,向電感耦合天線源施加約200瓦到約3000瓦 維持蝕刻腔室内部的電漿。基材溫度維持在約3 0 約5 00攝氏度之間的溫度。 在選擇性步驟205,通過向腔室中提供清潔 除在蝕刻步驟2 0 4期間沈積的重複沈積層5 0 6(如 所示)。清潔氣體蝕刻在罩幕502以及蝕刻層500 者側面累積的重複沈積層5 0 6從而重新打開圖; 幕。 這裏所用到的清潔氣體包括含氟氣體。在一 中,該清潔氣體包括三氟化氮(NF3 )、六氟化硫 四氟化碳(CF4)等含氟氣體。在另一實施方式 潔氣體包括含碳和氟的氣體,諸如CHF3、C4F8 氣體還可以包括諸如氬氣(Ar)、氦氣(He)等 結合至 合物可 式中, 氣體。 施方式 腔室壓 位於約 支撐底 持由第 分。例 功率以 氏度到 體以去 ;5B圖 頂部或 化的罩 施方式 SF6)、 ,該清 。清潔 惰性氣 25 C S > 1352387
體。 如上所述,在蝕刻製程期間可以發現具有高深寬 蝕刻層的不充足的側壁鈍化。爲了提供充分的側壁保 在步靜206沈積氧化層508。如第5C圖所示,通過向 腔室提供包括含氧氣體的第二氣體混合物而施加氧 508以在基材的蝕刻層500的側壁510上形成氧化層 在一實施方式中,層500的暴露側壁510與提供給製 室的含氧氣體反應以形成諸如Si 02的氧化層508。氧 508用做鈍化層從而在隨後的蝕刻步驟中保護所述層 的側壁5 1 0不受橫向攻擊。 可以通過各種方法形成氧化層 508。在一實施 中,可以通過向蝕刻腔室中提供至少一種含氧氣體與 反應而原位形成氧化層 508,所述含氧氣體諸如爲 N20、NO、CO、C02等。在另一實施方式中,可以將 層500暴露於含有氧氣和/或含氧氣體的環境中(例如 將基材傳輸到缓衝腔室或者傳輸腔室中)以在其表面 氧化層。在再一實施方式中,可以通過將基材暴露在 的真空環境以外的大氣環境中而在工具之間傳輸期間 氧化層。 在步驟208,向製程腔室中施加第三氣體混合物 刻通過罩幕502暴露的蝕刻層500的剩餘部分504, 5D圖所示。蝕刻製程基本垂直。第三氣體混合物氣體 爲與步驟204中的第一氣體混合物相同的物質。在一 方式中,當已經完全去除層500時終止步驟208的蝕 比的 護, 蝕刻 化層 508° 程腔 化層 500 方式 基材 0 2、 蝕刻 通過 形成 工具 形成 以蝕 如第 可以 實施 刻製 (S ) 26 1352387
化物、金屬合金和其他導艚妊* 他等瓶材料◊基材114可以 種半導體基材’諸如妙基材、沾* 令丞材、玻璃基材等《可以 的傳統沈稍技術形成包衽思 〇枯滑700的思.备沭沈豬 程。 在步驟208的隨後蝕刻製程期間可能重複沈積 積層506,並且在蝕刻製程期間會消耗氧化層5〇8。 可以選擇性迴圏執行步騨205、206和208以逐漸 5 00,如第2圖的迴圈210所示。重複去除重複沈彩 和/和沈積氧化層508的逐漸蝕刻製程通過在姓刻層 的特徵期間而重複打開圖案化罩幕並保持氧化層, 溝槽的垂直性並提高了精確的CD傳輪。如第5ES 在將層500蝕刻爲所需的特徵以後去除罩幕層。 第二氣體混合物可以疋用於去除層5〇〇的任意 體。在一實施方式中,第三氣體混合物可以與步驟 的第一氣體混合物相同。 第6圖所示爲蚀刻製程600的另一實施方式 圓。第7A-7D圖所示爲對應於用於蝕刻具有高深寬 的基材的部分截面示意圖。儘管在第7A 7D圖中示 於形成两深寬比結構的製程6〇〇,但是該製程6〇〇 有益地用於蚀刻其他結構。 製程600開始於步驟602,在該步驟將基材1 到蝕刻製程腔室中。在第7A圖所示的一個實施方 基材114具有適用於製造高深寬比結構的層7〇〇。 可以爲任意材料,諸如介電材料、矽材料' 金屬、 重複沈 因此, 蝕刻層 ί 層 506 500中 改進了 丨所示, 適用氣 204中 的流程 比結構 出了用 還可以 14傳輸 式中, 層700 金屬氮 任意一 用適合 術諸如 27 1352387 原子層沈積(ALD )、物理氣相沈積(PVD )、化學氣相沈 積(CVD)、電漿增強CVD(PECVD)等。 諸如硬罩幕、光阻罩幕或者其組合的罩幕7 02可以用 做暴露層700的部分704的蝕刻罩幕。通過罩幕702中的 開口蝕刻層700的暴露部分704以形成特徵,諸如高深寬 比溝槽。
在步驟604,如第7B圖所示,向蝕刻腔室提供第一氣 體混合物以蝕刻層700。在步驟604,通過由罩幕702限定 的開口蝕刻層700的部分704以在膜層700中形成溝槽。
在步驟606,可以採用清潔氣體蝕刻在蝕刻步驟 604 期間產生的重複沈積層706。罩幕層702或者蝕刻層700 在步驟604期間受到攻擊時會在蝕刻腔室中釋放諸如含矽 或者含碳成分的反應物。該反應物在罩幕層702以及蝕刻 層700的側壁和/或頂部上聚集並累積,從而形成重複沈積 層706,如第7B圖所示。隨著沈積層706的累積,溝槽的 開口部分704可能會關閉和/或變窄,從而破壞了蝕刻製 程。因此,向蝕刻腔室中择供清潔氣體以蝕刻聚合物重複 沈積層706,從而重新打開圖案化的罩幕。 清潔氣體包括至少一種含氟氣體。在一實施方式中, 該清潔氣體包括至少含氟氣體,諸如三氟化氮(NF3)、六 氟化硫(SF6 )、四氟化碳(CF4 )等。在另一實施方式中, 該清潔氣體包括含碳和氟的氣體,諸如CHF3、C4F8等。 在清潔氣體中還可以包含諸如氬氣(Ar)、氦氣(He)等 的惰性氣體。 28 1352387 在步驟608,如第7C圖所示,向製程腔室中提供第二 氣體混合物以蝕刻未由罩幕7 0 2保護的蝕刻層7 0 0的剩餘 部分704。蝕刻製程基本垂直。第二氣體混合物可以是用 於去除層7 00的任意適用氣體。在一實施方式中,第二氣 體混合物可以與步驟604中的第一氣體混合物相同。在一 實施方式中,當已經完全去除層700時終止步驟608的蝕 刻製程。
在步驟608的隨後蝕刻製程期間可能重複沈積重複沈 積層706。因此,可以選擇性迴圈執行步驟606和608以 迴圈蝕刻層700,如第6圖的迴圈610所示。重複去除重 複沈積層706的逐漸蝕刻製程通過在蝕刻層700的特徵期 間重新打開圖案化的罩幕而改進了溝道的垂直性並提供了 精確的CD傳輸。如第7D圖所示,在將層700蝕刻爲所需 特徵以後可根據需要去除罩幕層702。
因此,本發明提供了一種用於蝕刻基材的改進方法。 該方法的優勢在於通過選擇性形成保護氧化層和/或去除 在蝕刻期間産生的重複沈積層而在蝕刻期間方便了輪廓以 及尺寸控制。 儘管上述指出了本發明的實施方式,但是在不脫離本 發明的範圍的情況下可以想到本發明的其他以及進一步的 實施方式,並且通過如下申請專利範圍限定本發明的範圍。 【圖式簡單說明】 結合附圖,通過考慮如前詳細描述可以更容易地理解 29 1352387 本發明的技術,其中: 第1圖所示爲根據本發明的實施方式在執行蝕刻處理 中所採用的電漿處理裝置的示意圖; 第2圖所示爲表示結合本發明一實施方式的方法的製 程流程圖; 第3A-3E圖爲表示具有密集區域和隔離區域的複合结 構的部分截面圖;
第4A-4G圖所示爲具有含至少一種高K材料層的部分 複合結構的戴面圖; 第5A-5E圖所示爲具有窄溝隔離(STI )結構的基材 的部分截面圖; 第 6圖所示爲結合本發明另一實施方式的方法流程 園, 第7A-7D圖所示爲具有要形成的高深寬比結構的部分 基材的截面圖;
第8A-8B圖所示爲具有通過較差尺寸控制蝕刻的高深 寬比的習用技術特徵的截面圖; 第9圖所示爲在多層申具有高深寬比的特徵的習用技 術實施方式的截面圖。 爲了便於理解,盡可能地,採用相同的附圖標號表示 共用於附圖的相同元件。應該認識到,在沒有進一步敍述 的情況下,一個實施方式的元件和特徵可以有益地結合到 其他實施方式中。 但是,應該注意到,附圖僅描述了本發明的典型實施 30 (S ) 1352387 方式,因此附圖並非是對本發明的限制,本發明承認其他 等效的實施方式。 【主要元件符號說明】
100 製程腔室 112 電感線圈天線 114 基材 116 基材支撐座 118 RF 源 119 匹配網路 120 圓頂 122 RF 源 123 匹配網路 124 匹配網路 125 電阻式加熱器 126 入口
130 壁 134 接地 136 真空泵 138 氣路板 140 控制器 142 記憶體 144 CPU 31 (S > 1352387 146 支援電路 148 源 149 導管 150 氣體混合物 200 製程 202 放置沉積至少有一層之基材至蝕刻腔室中 204 提供第一氣體混合物以蝕刻基材上層之至少一部分
205 選擇性地,提供一清潔氣體以蝕刻由蝕刻製程產生的 重複沉積層 2 06 提供第二氣體混合物以在經蝕刻之層的一部分上形 成氧化層 208 提供第三氣體混合物以蝕刻未被氧化層保護之層 210 迴圈 300 膜疊層 302 閘介電層 304 多晶矽
3 0 6 金屬材料 308 圖案化罩幕 3 10 密集區域 312 隔離區域 3 14 閘極層 3 16 部分 3 18 部分 320 部分 32
':S 1352387 322 氧化層 324 重複沉積層 4 02 介電層 404 層
406 層 408 罩幕層 410 膜疊層 412 暴露部分 414 介電層 418 氧化層 420 第二氧化層 422 側壁 424 部分 426 重複沉積層 500 層 502 罩幕 504 部分 5 06 重複沉積層 508 氧化層 5 10 側壁 600 製程 602 放置沉積至少有一層之基材至蝕刻腔室中 604 提供第一氣體混合物以蝕刻未被圖案化罩幕保護之 層的至少一部分 33 1352387 606 提供.一清潔氣體以蝕刻由蝕刻製程產生的重複沉積 層 608 提供第二氣體混合物以蝕刻未被圖案化罩幕保護之 層的剩餘部分 610 迴圈 700 層 702 罩幕 704 暴露部分
7 06 重複沉積層 802 低特徵密度區域 804 高特徵密度區域 806 底切 808 低特徵密度區域 810 高特徵密度區域 812 錐形頂部 814 蝕刻層
902 低特徵密度區域 904 層 906 上蝕刻層 908 密集特徵區域 910 層
34

Claims (1)

1352387 第叫號崧3為⑼年巧修正 ~ 、 I年月曰修正替換頁 十、申請專利範圍: 100· 5-· Μϊ- 1.一種用於非等向性蝕刻基材上的一層的方法,其中 該層具有高深寬比,該方法包括: (a)放置其上設置有一層的基材於一蝕刻腔室中; (b )在所述蝕刻腔室中蝕刻基材上的層的至少一部 分; * (c )在所述經蝕刻層上形成一氧化層;
(d)相對於具有高圖案密度的第二組特徵,優先在具 有低圖案密度的第一組特徵中形成所述氧化層;以及 (e )在所述蝕刻腔室中蝕刻未受到所述氧化層保護的 經蝕刻層的暴露部分。 2.如申請專利範圍第1項所述的方法,還包括: 採用一含氟氣體蝕刻在步驟(b )期間形成的一重複沈 積層。
3.如申請專利範圍第1項所述的方法,其中蝕刻所述 層的至少一部分的步驟還包括: 重複步驟(c ) - ( e )以逐步蝕刻所述層。 4·如申請專利範圍第1項所述的方法,還包括: 周期地重新打開設置在所述層上的一圖案化罩幕。 5·如申請專利範圍第2項所述的方法,其中所述含氟 35 1352387 1'月曰修正替換頁 100. 5Λ^β-^ 氣體包括三氣化氮、六氟化硫、四氟化碳、chf3和 c4f8 至少其中之一。 6·如申請專利範圍第1項所述的方法,其中所述形成 氧化層的步驟還包括: 在所述經蝕刻層的側壁上形成所述氧化層。
氧 7·如申請專利範圍第1項所述的方法 化層的步驟還包括: 提供一含氧氣體至所述蝕刻腔室中。 其中所述形成 8·如申請專利範圍第1項所述的方法,其中所述形成 氧化層的步驟還包括: 將所述基材暴露於一含氧環境中。 9· 一種用於非等向性蝕刻基材上的一層的方法,其中 該層具有高深寬比,該方法包括:
(a) 放置具有一膜疊層的基材於一蝕刻腔室中,該膜 疊層包括第一層和第二層; (b) 在所述蝕刻腔室中蝕刻所述膜疊層以暴露第一層 和第二層; (c) 在所述第一層上形成一氧化層; (d) 相對於具有高圖案密度的區域,優先在具有低圖 案密度的區域中形成所述氧化層;以及 36 1352387 (e)在所述蝕刻腔室中蝕刻所述第二層。 10·如申請專利範圍第9項所述的方法,其中所述ϋ 刻所述膜疊層以暴露第一層和第二層的步驟還包括: 钱刻所述第一層; 在所述第一層上形成一氧化層;以及 姓刻所述第一詹以暴露所述第二層。 φ 11.如申請專利範圍第9項所述的方法,其中所述蝕 刻所述膜疊層以暴露第一層和第二層的步驟還包括: 流入一含氟氣體至所述腔室中;以及 - 蝕刻在蝕刻所述第一層期間形成的一重複沈積層。 12.如申請專利範圍第9項所述的方法,還包括: 重複步驟(c ) - ( e )以逐步蝕刻所述第二層。
13.如申請專利範圍第11項所述的方法,還包括: 周期性去除所述重複沈積層,以保持在一圖案化罩幕 層中限定的開口。 14.如申請專利範圍第11項所述的方法,其中所述蝕 刻重複沈積層的步驟還包括: 通過一含氟氣體钱刻所述重複沈積層。 37 1352387 15.如申請專利範圍第9項所述的方法,其中所述第 二層爲高K材料。 16·如申請專利範圍第15項所述的方法,其中所述高 K材料係選自以下所構成之組之材料:二氧化銓、二氧化 锆、铪矽酸鹽、锆矽酸鹽、二氧化鈕、氧化鋁、摻雜鋁的 二氧化铪及其組合。 φ 17.如申請專利範圍第9項所述的方法,其中所述第 一層爲多晶矽層。 ' 18·如申請專利範圍第9項所述的方法,其中在所述 . 第一層的側壁上形成所述氧化層。 19. 如申請專利範圍第9項所述的方法,其中所述形 成氧化層的步驟還包括: 在所述第二層的頂部上形成所述氧化層。
20. 如申請專利範圍第13項所述的方法,其中所述第 二層爲一介電層,該介電層包括氧化層、氮化層、氧化與 氣化之混合層、以及插入有氮化層的至少一種或者多種氧 化層。 38
TW096105299A 2006-02-27 2007-02-13 Etch methods to form anisotropic features for high TWI352387B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/363,834 US7368394B2 (en) 2006-02-27 2006-02-27 Etch methods to form anisotropic features for high aspect ratio applications

Publications (2)

Publication Number Publication Date
TW200737337A TW200737337A (en) 2007-10-01
TWI352387B true TWI352387B (en) 2011-11-11

Family

ID=38443019

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096105299A TWI352387B (en) 2006-02-27 2007-02-13 Etch methods to form anisotropic features for high

Country Status (5)

Country Link
US (2) US7368394B2 (zh)
JP (1) JP2007235136A (zh)
KR (1) KR100892797B1 (zh)
CN (1) CN101064244B (zh)
TW (1) TWI352387B (zh)

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7300878B1 (en) * 2006-05-25 2007-11-27 Texas Instruments Incorporated Gas switching during an etch process to modulate the characteristics of the etch
US7544521B1 (en) * 2006-09-11 2009-06-09 Lam Research Corporation Negative bias critical dimension trim
US8183161B2 (en) * 2006-09-12 2012-05-22 Tokyo Electron Limited Method and system for dry etching a hafnium containing material
JP5590886B2 (ja) 2006-09-26 2014-09-17 アプライド マテリアルズ インコーポレイテッド 欠陥パシベーションのための高kゲート積層構造に対するフッ素プラズマ処理
KR20080060017A (ko) * 2006-12-26 2008-07-01 주식회사 하이닉스반도체 반도체 소자의 제조방법
KR20080076173A (ko) * 2007-02-15 2008-08-20 삼성전자주식회사 금속 산화막 패턴 형성 방법 및 이를 이용한 반도체 소자의형성 방법
KR100914301B1 (ko) * 2008-03-27 2009-08-27 주식회사 하이닉스반도체 표면 거침도가 개선된 텅스텐층 형성 방법
US20090246713A1 (en) * 2008-03-31 2009-10-01 Tokyo Electron Limited Oxygen-containing plasma flash process for reduced micro-loading effect and cd bias
US8298949B2 (en) * 2009-01-07 2012-10-30 Lam Research Corporation Profile and CD uniformity control by plasma oxidation treatment
JP5035300B2 (ja) * 2009-06-15 2012-09-26 株式会社デンソー 半導体装置の製造方法
US8901004B2 (en) * 2009-07-27 2014-12-02 Lam Research Corporation Plasma etch method to reduce micro-loading
JP2011187557A (ja) * 2010-03-05 2011-09-22 Toshiba Corp 半導体装置の製造方法
JP2011194629A (ja) * 2010-03-18 2011-10-06 Fujifilm Corp マスターモールドの製造方法およびモールド構造体の製造方法
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US8377632B2 (en) * 2011-05-29 2013-02-19 Nanya Technology Corp. Method of reducing microloading effect
CN103065959B (zh) * 2011-10-21 2015-12-09 上海华虹宏力半导体制造有限公司 一种减小硅刻蚀负载效应的方法
US9679751B2 (en) * 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
KR102064627B1 (ko) * 2012-03-27 2020-01-09 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진
JP5898549B2 (ja) 2012-03-29 2016-04-06 株式会社Screenホールディングス 基板処理方法および基板処理装置
JP5968130B2 (ja) * 2012-07-10 2016-08-10 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP2014036104A (ja) * 2012-08-08 2014-02-24 Tokyo Electron Ltd パターン形成方法及び固体撮像装置
US9082719B2 (en) * 2012-10-19 2015-07-14 Infineon Technologies Ag Method for removing a dielectric layer from a bottom of a trench
US9142417B2 (en) 2012-12-14 2015-09-22 Lam Research Corporation Etch process with pre-etch transient conditioning
JP6077354B2 (ja) * 2013-03-26 2017-02-08 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US8906810B2 (en) * 2013-05-07 2014-12-09 Lam Research Corporation Pulsed dielectric etch process for in-situ metal hard mask shape control to enable void-free metallization
JP6095528B2 (ja) * 2013-09-04 2017-03-15 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP6267953B2 (ja) * 2013-12-19 2018-01-24 東京エレクトロン株式会社 半導体装置の製造方法
US9653320B2 (en) * 2014-09-12 2017-05-16 Applied Materials, Inc. Methods for etching a hardmask layer for an interconnection structure for semiconductor applications
EP3067919A1 (en) * 2015-03-11 2016-09-14 IMEC vzw Method for forming vertical structures in a semiconductor target layer
KR20160116915A (ko) * 2015-03-31 2016-10-10 삼성전자주식회사 반도체 소자 제조 방법
JP6502160B2 (ja) * 2015-05-11 2019-04-17 東京エレクトロン株式会社 被処理体を処理する方法
TWI734201B (zh) 2016-02-22 2021-07-21 日商東京威力科創股份有限公司 圖案化層之循環式蝕刻的方法
CN107437581B (zh) * 2016-05-25 2020-10-09 上海磁宇信息科技有限公司 一种以氧化钽为硬掩模的磁性隧道结的制备方法
TWI729457B (zh) 2016-06-14 2021-06-01 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
TWI719262B (zh) 2016-11-03 2021-02-21 美商應用材料股份有限公司 用於圖案化之薄膜的沉積與處理
TWI687978B (zh) 2016-11-08 2020-03-11 美商應用材料股份有限公司 用於圖案化應用之由下而上的柱體之幾何控制
US10546756B2 (en) * 2016-11-29 2020-01-28 Lam Research Corporation Method for generating vertical profiles in organic layer etches
WO2018156710A1 (en) 2017-02-22 2018-08-30 Applied Materials, Inc. Critical dimension control for self-aligned contact patterning
JP6586433B2 (ja) * 2017-03-30 2019-10-02 株式会社Kokusai Electric 基板処理方法、基板処理装置、プログラム
WO2018200212A1 (en) 2017-04-25 2018-11-01 Applied Materials, Inc. Selective deposition of tungsten for simplified process flow of tungsten oxide pillar formation
US10840186B2 (en) 2017-06-10 2020-11-17 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps
TW201906035A (zh) 2017-06-24 2019-02-01 美商微材料有限責任公司 生產完全自我對準的介層窗及觸點之方法
WO2019046399A1 (en) 2017-08-31 2019-03-07 Micromaterials Llc METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES
US10573555B2 (en) 2017-08-31 2020-02-25 Micromaterials Llc Methods of producing self-aligned grown via
KR102227347B1 (ko) * 2017-09-05 2021-03-11 어플라이드 머티어리얼스, 인코포레이티드 3d 메모리 구조들에서의 고종횡비 홀 형성에 대한 상향식 접근법
WO2019050735A1 (en) 2017-09-06 2019-03-14 Micromaterials Llc METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES
JP2019106538A (ja) 2017-12-07 2019-06-27 マイクロマテリアルズ エルエルシー 制御可能な金属およびバリアライナー凹部のための方法
EP3499557A1 (en) 2017-12-15 2019-06-19 Micromaterials LLC Selectively etched self-aligned via processes
TW201939628A (zh) 2018-03-02 2019-10-01 美商微材料有限責任公司 移除金屬氧化物的方法
US10790191B2 (en) 2018-05-08 2020-09-29 Micromaterials Llc Selective removal process to create high aspect ratio fully self-aligned via
TW202011547A (zh) 2018-05-16 2020-03-16 美商微材料有限責任公司 用於產生完全自對準的通孔的方法
US10699953B2 (en) 2018-06-08 2020-06-30 Micromaterials Llc Method for creating a fully self-aligned via
CN109110726B (zh) * 2018-07-03 2021-06-29 北京大学 一种提高高深宽比钨合金刻蚀均匀性的方法
WO2020118100A1 (en) 2018-12-05 2020-06-11 Lam Research Corporation Void free low stress fill
TW202105505A (zh) * 2019-02-22 2021-02-01 日商東京威力科創股份有限公司 電漿蝕刻製程
US11164938B2 (en) 2019-03-26 2021-11-02 Micromaterials Llc DRAM capacitor module
SG11202111277UA (en) 2019-04-11 2021-11-29 Lam Res Corp High step coverage tungsten deposition
WO2020100339A1 (ja) * 2019-06-26 2020-05-22 株式会社日立ハイテク プラズマ処理方法
US11177137B2 (en) * 2020-01-17 2021-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer etching process and methods thereof
TWI759754B (zh) * 2020-06-03 2022-04-01 台灣奈米碳素股份有限公司 製作半導體裝置的溝槽結構的乾式蝕刻製程
JP7320136B2 (ja) * 2021-07-14 2023-08-02 株式会社日立ハイテク プラズマ処理方法

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5071714A (en) * 1989-04-17 1991-12-10 International Business Machines Corporation Multilayered intermetallic connection for semiconductor devices
US5188979A (en) * 1991-08-26 1993-02-23 Motorola Inc. Method for forming a nitride layer using preheated ammonia
US5264716A (en) * 1992-01-09 1993-11-23 International Business Machines Corporation Diffused buried plate trench dram cell array
US5337207A (en) * 1992-12-21 1994-08-09 Motorola High-permittivity dielectric capacitor for use in a semiconductor device and process for making the same
US5356833A (en) * 1993-04-05 1994-10-18 Motorola, Inc. Process for forming an intermetallic member on a semiconductor substrate
US5563343A (en) * 1993-05-26 1996-10-08 Cornell Research Foundation, Inc. Microelectromechanical lateral accelerometer
US5354417A (en) * 1993-10-13 1994-10-11 Applied Materials, Inc. Etching MoSi2 using SF6, HBr and O2
JP2924723B2 (ja) * 1995-08-16 1999-07-26 日本電気株式会社 ドライエッチング方法
US6148072A (en) * 1997-01-03 2000-11-14 Advis, Inc Methods and systems for initiating video communication
US5925918A (en) * 1997-07-30 1999-07-20 Micron, Technology, Inc. Gate stack with improved sidewall integrity
US6001706A (en) * 1997-12-08 1999-12-14 Chartered Semiconductor Manufacturing, Ltd. Method for making improved shallow trench isolation for semiconductor integrated circuits
KR200180937Y1 (ko) * 1998-04-08 2000-05-15 이충곤 자동차용 고무부쉬
US6190988B1 (en) * 1998-05-28 2001-02-20 International Business Machines Corporation Method for a controlled bottle trench for a dram storage node
US6242350B1 (en) * 1999-03-18 2001-06-05 Taiwan Semiconductor Manufacturing Company Post gate etch cleaning process for self-aligned gate mosfets
US6348686B1 (en) * 1999-07-14 2002-02-19 Hubbell Incorporated Adapter for positioning a lens
US6319730B1 (en) * 1999-07-15 2001-11-20 Motorola, Inc. Method of fabricating a semiconductor structure including a metal oxide interface
US6270568B1 (en) * 1999-07-15 2001-08-07 Motorola, Inc. Method for fabricating a semiconductor structure with reduced leakage current density
US6328905B1 (en) * 1999-08-12 2001-12-11 Advanced Micro Devices, Inc. Residue removal by CO2 water rinse in conjunction with post metal etch plasma strip
US6274500B1 (en) * 1999-10-12 2001-08-14 Chartered Semiconductor Manufacturing Ltd. Single wafer in-situ dry clean and seasoning for plasma etching process
US6479395B1 (en) * 1999-11-02 2002-11-12 Alien Technology Corporation Methods for forming openings in a substrate and apparatuses with these openings and methods for creating assemblies with openings
GB0000901D0 (en) 2000-01-14 2000-03-08 Isis Innovation Antiparasitic agent
US6300202B1 (en) * 2000-05-18 2001-10-09 Motorola Inc. Selective removal of a metal oxide dielectric
US6284666B1 (en) * 2000-05-31 2001-09-04 International Business Machines Corporation Method of reducing RIE lag for deep trench silicon etching
US6444512B1 (en) 2000-06-12 2002-09-03 Motorola, Inc. Dual metal gate transistors for CMOS process
US6297095B1 (en) * 2000-06-16 2001-10-02 Motorola, Inc. Memory device that includes passivated nanoclusters and method for manufacture
US6692903B2 (en) * 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
US6326261B1 (en) * 2001-01-05 2001-12-04 United Microelectronics Corp. Method of fabricating a deep trench capacitor
US6348386B1 (en) * 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US6563160B2 (en) * 2001-08-09 2003-05-13 International Business Machines Corporation High dielectric constant materials forming components of DRAM such as deep-trench capacitors and gate dielectric (insulators) for support circuits
US6534376B2 (en) * 2001-08-15 2003-03-18 Infineon Technologies Ag Process flow for sacrificial collar scheme with vertical nitride mask
US6528386B1 (en) * 2001-12-20 2003-03-04 Texas Instruments Incorporated Protection of tungsten alignment mark for FeRAM processing
US6897155B2 (en) * 2002-08-14 2005-05-24 Applied Materials, Inc. Method for etching high-aspect-ratio features
JP4585510B2 (ja) * 2003-03-07 2010-11-24 台湾積體電路製造股▲ふん▼有限公司 シャロートレンチアイソレーションプロセス
US7009237B2 (en) * 2004-05-06 2006-03-07 International Business Machines Corporation Out of the box vertical transistor for eDRAM on SOI
DE102004031741B4 (de) * 2004-06-30 2010-04-01 Qimonda Ag Verfahren zur Herstellung einer Kontaktanordnung für Feldeffekttransistorstrukturen mit Gateelektroden mit einer Metalllage und Verwendung des Verfahrens zur Herstellung von Feldeffekttransistoranordnungen in einem Zellenfeld
US20060017043A1 (en) * 2004-07-23 2006-01-26 Dingjun Wu Method for enhancing fluorine utilization

Also Published As

Publication number Publication date
US20070199922A1 (en) 2007-08-30
US20080057729A1 (en) 2008-03-06
CN101064244A (zh) 2007-10-31
KR20070089058A (ko) 2007-08-30
US7368394B2 (en) 2008-05-06
KR100892797B1 (ko) 2009-04-10
CN101064244B (zh) 2010-09-01
JP2007235136A (ja) 2007-09-13
TW200737337A (en) 2007-10-01

Similar Documents

Publication Publication Date Title
TWI352387B (en) Etch methods to form anisotropic features for high
CN110235228B (zh) 用于高深宽比结构的移除方法
US20070202700A1 (en) Etch methods to form anisotropic features for high aspect ratio applications
KR102513424B1 (ko) 스페이서 및 하드마스크 애플리케이션을 위한 실란 및 알킬실란 종으로부터의 보란 매개 탈수소화 프로세스
TWI524423B (zh) 蝕刻及灰化期間低k材料之側壁保護
TWI631616B (zh) 利用循環蝕刻製程對蝕刻停止層進行蝕刻的方法
JP5122106B2 (ja) 炭素含有膜エッチング方法及びこれを利用した半導体素子の製造方法
KR101476435B1 (ko) 다중-레이어 레지스트 플라즈마 에치 방법
US20090004875A1 (en) Methods of trimming amorphous carbon film for forming ultra thin structures on a substrate
JP2007507091A (ja) マスキング方法
TW200908144A (en) Methods for high temperature etching a high-k material gate structure
JP2008505497A (ja) 二層レジストプラズマエッチングの方法
TW201239973A (en) Method for contact clean
JP2004336029A (ja) 電界効果トランジスタのゲート構造の製造方法
TW202226378A (zh) 選擇性各向異性金屬蝕刻
TW200947560A (en) Methods for adjusting critical dimension uniformity in an etch process
JP2021515394A (ja) 空隙を形成するためのシステム及び方法
US6277759B1 (en) Plasma etching methods
US10937659B2 (en) Method of anisotropically etching adjacent lines with multi-color selectivity
TW202213459A (zh) 以氧脈衝蝕刻結構的方法
JPH06283477A (ja) 半導体装置の製造方法
US20080203056A1 (en) Methods for etching high aspect ratio features
JP2023552977A (ja) 半導体パターニングアプリケーションのための酸化スズおよび炭化スズ材料
KR20220124637A (ko) 선택적 배리어 금속 에칭
JP2002261077A (ja) ドライエッチング方法