CN110235228B - 用于高深宽比结构的移除方法 - Google Patents
用于高深宽比结构的移除方法 Download PDFInfo
- Publication number
- CN110235228B CN110235228B CN201780069648.6A CN201780069648A CN110235228B CN 110235228 B CN110235228 B CN 110235228B CN 201780069648 A CN201780069648 A CN 201780069648A CN 110235228 B CN110235228 B CN 110235228B
- Authority
- CN
- China
- Prior art keywords
- region
- plasma
- substrate
- relative humidity
- containing precursor
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000000034 method Methods 0.000 title claims abstract description 183
- 239000000758 substrate Substances 0.000 claims abstract description 126
- 239000002243 precursor Substances 0.000 claims abstract description 103
- 238000012545 processing Methods 0.000 claims abstract description 103
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims abstract description 76
- 239000011737 fluorine Substances 0.000 claims abstract description 76
- 229910052731 fluorine Inorganic materials 0.000 claims abstract description 76
- 230000001965 increasing effect Effects 0.000 claims abstract description 55
- 238000005530 etching Methods 0.000 claims abstract description 38
- 239000001257 hydrogen Substances 0.000 claims abstract description 31
- 229910052739 hydrogen Inorganic materials 0.000 claims abstract description 31
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims abstract description 30
- 239000004065 semiconductor Substances 0.000 claims abstract description 23
- 238000004140 cleaning Methods 0.000 claims abstract description 13
- 230000008569 process Effects 0.000 claims description 56
- 229910052760 oxygen Inorganic materials 0.000 claims description 35
- 239000001301 oxygen Substances 0.000 claims description 35
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 34
- 239000000463 material Substances 0.000 description 65
- 239000007789 gas Substances 0.000 description 33
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 23
- 150000002500 ions Chemical class 0.000 description 21
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 19
- 238000005516 engineering process Methods 0.000 description 19
- 229910052710 silicon Inorganic materials 0.000 description 19
- 239000010703 silicon Substances 0.000 description 19
- 239000010410 layer Substances 0.000 description 18
- 239000012530 fluid Substances 0.000 description 16
- 229910052799 carbon Inorganic materials 0.000 description 13
- 238000000151 deposition Methods 0.000 description 9
- 230000008021 deposition Effects 0.000 description 8
- 238000001312 dry etching Methods 0.000 description 8
- 238000010348 incorporation Methods 0.000 description 8
- 239000003112 inhibitor Substances 0.000 description 8
- 235000012431 wafers Nutrition 0.000 description 8
- 230000007423 decrease Effects 0.000 description 7
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 6
- 230000008901 benefit Effects 0.000 description 6
- 229910052814 silicon oxide Inorganic materials 0.000 description 6
- 238000001039 wet etching Methods 0.000 description 6
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 5
- 239000012535 impurity Substances 0.000 description 5
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 description 5
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 5
- 239000011148 porous material Substances 0.000 description 5
- 239000002253 acid Substances 0.000 description 4
- 229910003460 diamond Inorganic materials 0.000 description 4
- 239000010432 diamond Substances 0.000 description 4
- 230000000694 effects Effects 0.000 description 4
- 239000000203 mixture Substances 0.000 description 4
- 238000001020 plasma etching Methods 0.000 description 4
- 150000003254 radicals Chemical class 0.000 description 4
- 239000007921 spray Substances 0.000 description 4
- 239000012159 carrier gas Substances 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 239000003989 dielectric material Substances 0.000 description 3
- 238000009826 distribution Methods 0.000 description 3
- 238000011065 in-situ storage Methods 0.000 description 3
- 239000007788 liquid Substances 0.000 description 3
- 230000007935 neutral effect Effects 0.000 description 3
- 238000012546 transfer Methods 0.000 description 3
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 2
- 238000000137 annealing Methods 0.000 description 2
- 238000000231 atomic layer deposition Methods 0.000 description 2
- 238000006243 chemical reaction Methods 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 238000009833 condensation Methods 0.000 description 2
- 230000005494 condensation Effects 0.000 description 2
- 238000001816 cooling Methods 0.000 description 2
- 238000001723 curing Methods 0.000 description 2
- 239000003085 diluting agent Substances 0.000 description 2
- 230000009977 dual effect Effects 0.000 description 2
- 230000005284 excitation Effects 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 229920002120 photoresistant polymer Polymers 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- BLIQUJLAJXRXSG-UHFFFAOYSA-N 1-benzyl-3-(trifluoromethyl)pyrrolidin-1-ium-3-carboxylate Chemical compound C1C(C(=O)O)(C(F)(F)F)CCN1CC1=CC=CC=C1 BLIQUJLAJXRXSG-UHFFFAOYSA-N 0.000 description 1
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- 229910004205 SiNX Inorganic materials 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 150000007513 acids Chemical class 0.000 description 1
- 230000003213 activating effect Effects 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000003486 chemical etching Methods 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000001035 drying Methods 0.000 description 1
- 238000010981 drying operation Methods 0.000 description 1
- 230000008030 elimination Effects 0.000 description 1
- 238000003379 elimination reaction Methods 0.000 description 1
- 238000007710 freezing Methods 0.000 description 1
- 230000008014 freezing Effects 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 229930195733 hydrocarbon Natural products 0.000 description 1
- 150000002430 hydrocarbons Chemical class 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 229910000040 hydrogen fluoride Inorganic materials 0.000 description 1
- 230000001939 inductive effect Effects 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 238000005040 ion trap Methods 0.000 description 1
- 230000005012 migration Effects 0.000 description 1
- 238000013508 migration Methods 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 230000000149 penetrating effect Effects 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical group [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- ABTOQLMXBSRXSM-UHFFFAOYSA-N silicon tetrafluoride Chemical compound F[Si](F)(F)F ABTOQLMXBSRXSM-UHFFFAOYSA-N 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32357—Generation remote from the workpiece, e.g. down-stream
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32136—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B08—CLEANING
- B08B—CLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
- B08B7/00—Cleaning by methods not provided for in a single other subclass or a single group in this subclass
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32853—Hygiene
- H01J37/32862—In situ cleaning of vessels and/or internal parts
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Analytical Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Public Health (AREA)
- Epidemiology (AREA)
- Health & Medical Sciences (AREA)
- Inorganic Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Cleaning Or Drying Semiconductors (AREA)
- Solid-Sorbent Or Filter-Aiding Compositions (AREA)
Abstract
示例性清洁或蚀刻方法可包括:使含氟前驱物流进半导体处理腔室的远程等离子体区域。方法可包括:在所述远程等离子体区域内形成等离子体,以生成所述含氟前驱物的等离子体流出物。所述方法还可包括:使所述等离子体流出物流进所述半导体处理腔室的处理区域。基板可定位在所述处理区域内,并且所述基板可包括被暴露的氧化物的区域。方法还可包括:将含氢前驱物提供至所述处理区域。所述方法可进一步包括:移除所述被暴露的氧化物的至少一部分,同时将所述处理区域内的相对湿度维持在低于约50%。在移除之后,所述方法可包括,将所述处理区域内的所述相对湿度增加至大于50%或为约50%。所述方法可进一步包括:移除额外量的所述被暴露的氧化物。
Description
技术领域
本技术涉及半导体工艺与设备。更具体地说,本技术涉及清洁或蚀刻高深宽比结构。
背景技术
集成电路是通过在基板表面上产生复杂的图案化材料层的工艺而实现的。在基板上产生图案化的材料需要用于移除被暴露的材料的受控方法。化学蚀刻用在各种目的上,包括将光阻中的图案转移到下层的层、使层变薄或使已存在于表面上的特征的侧向尺寸变薄。通常,期望一种蚀刻一种材料蚀刻得比另一种材料要快的蚀刻工艺,这有助于例如图案转移工艺。此类蚀刻工艺被称作是对第一材料有选择性。材料、电路、和工艺的多样化的结果是,已开发对各种材料有选择性的蚀刻工艺。
蚀刻工艺可根据所述工艺中所用的材料而分为湿法或干法。湿法HF蚀刻相对于其他介电质和材料优先移除氧化硅。然而,湿法工艺可能难以贯穿某些受限的沟槽,且还可能有时会使剩余的材料变形。在基板处理区域内形成的原位等离子体中产生的干法蚀刻可贯穿更为受限的沟槽,且呈现出精细剩余结构的较少变形。然而,原位等离子体可能在所述原位等离子体放电时产生电弧而损坏基板。
因此,需要可用于产生高质量元件和结构的改进的系统和方法。本技术解决了这些和其他需求。
发明内容
示例性的清洁、移除、和蚀刻方法可包括:使含氟前驱物流进半导体处理腔室的远程等离子体区域。所述方法可包括:在所述远程等离子体区域内形成等离子体,以生成所述含氟前驱物的等离子体流出物。所述方法还可包括:使所述等等离子体流出物流进所述半导体处理腔室的处理区域。基板可定位在所述处理区域内,且所述基板可包括被暴露的氧化物的区域。所述方法还可包括:提供含氢前驱物至所述处理区域。所述方法可进一步包括:移除所述被暴露的氧化物的至少一部分,同时将所述处理区域内的相对湿度维持在低于约50%。在移除之后,所述方法可包括:将所述处理区域内的所述相对湿度增加至大于50%或为约50%。所述方法可进一步包括:移除额外量的所述被暴露的氧化物。
示例性方法还可包括:继续使所述等离子体流出物流进所述处理区域,同时增加所述处理区域内的相对湿度。可减少所述等离子体流出物的流速,同时增加所述处理区域内的相对湿度。在实施例中,可减少所述基板的温度,同时增加所述处理区域内的相对湿度。例如,所述温度可减少至少约5℃。在实施例中,可增加所述处理腔室内的压力,同时增加所述处理区域内的相对湿度。例如,所述压力可增加至少约1托。在一些实施例中,可将所述相对湿度增加至超过约65%。在已执行包括移除额外量的被暴露的氧化物的根据本技术的方法后,所述基板中氟浓度可低于5%或为约5%。类似地,所述基板中氧浓度可低于8%或为约8%。在一些实施例中,在将所述含氢前驱物提供至所述处理区域时,所述含氢前驱物可绕过所述远程等离子体区域。一些实施例中,所述处理区域于所述移除操作期间可维持无等离子体。此外,在示例性方法期间可递增地(incrementally)增加所述相对湿度,且可以以每增量小于20%或为约20%来递增地增加所述相对湿度。
本技术还涵盖清洁方法。所述方法可包括:使含氟前驱物流进半导体处理腔室的远程等离子体区域,同时在所述远程等离子体区域内形成等离子体,从而生成所述含氟前驱物的等离子体流出物。所述方法可包括:使所述等等离子体流出物流进所述半导体处理腔室的处理区域。所述处理区域可容纳或含有基板,所述基板可包括高深宽比特征,所述特征具有被暴露的氧化物的区域。在使所述等等离子体流出物流进所述处理区域的同时,所述方法可包括:提供含氢前驱物至所述处理区域。所述方法可进一步包括:移除所述被暴露的氧化物的至少一部分,同时将所述处理区域内的相对湿度维持在大于50%或为约50%。所述方法可进一步包括:在移除所述被暴露的氧化物的至少一部分之后,增加所述含氟前驱物的流速,同时将所述处理区域内的所述相对湿度维持在大于50%或为约50%。所述方法还可包括:移除额外量的所述被暴露的氧化物。
在实施例中,移除额外量的所述被暴露的氧化物可将氧的浓度降低至少约5%。在示例性方法中,所述含氟前驱物的流速可增加至少约2sccm。在实施例中,在移除操作前,氧化物的被暴露的区域的厚度可小于2nm或为约2nm。此外,在一些实施例中,所述高深宽比特征的临界尺寸可减少小于1%或减少约1%。
本技术还涵盖移除方法。所述方法可包括:使含氟前驱物流进半导体处理腔室的远程等离子体区域,同时在所述远程等离子体区域内形成等离子体,从而生成所述含氟前驱物的等离子体流出物。所述方法可包括:使所述等离子体流出物流进所述半导体处理腔室的处理区域。所述处理区域可容纳基板,所述基板可具有一个或多个高深宽比特征,所述一个或多个高深宽比特征具有被暴露的氧化物的区域。在使所述等离子体流出物流进所述处理区域的同时,所述方法可包括:将含氢前驱物提供至所述处理区域。所述方法可包括:继续使所述等离子体流出物和所述含氢前驱物流进所述处理区域达至少约200秒。所述方法还可包括:移除所述被暴露的氧化物的至少一部分,同时将所述处理区域内的相对湿度维持在大于50%或为约50%。在一些实施例中,所述移除操作可将所述基板内的氧的表面水平(surface-level)浓度减少至少约3%。
此技术可提供胜于常规系统和技术的许多优点。例如,所述工艺可允许高深宽比特征被蚀刻而不产生图案崩塌。此外,所述工艺可以在限制基板的表面污染水平的同时允许执行材料移除。结合下文的叙述和附图更详细地描述这些和其他实施例以及许多它们的优点和特征。
附图简单说明
通过参考说明书的其余部分以及附图,可进一步理解所公开的技术的本质与优点。
图1示出了根据本技术的实施例的示例性处理系统的一个实施例的俯视平面图。
图2A示出了根据本技术的实施例的示例性处理腔室的示意性截面图。
图2B示出了根据本技术的实施例的在图2A中所示的处理腔室的一部分的详细视图。
图3示出了根据本技术的实施例的示例性喷头的仰视平面图。
图4示出了根据本技术的实施例的方法中的示例性操作。
图5A至图5C示出了根据本技术的实施例的正被处理的基板的截面图。
图6示出了根据本技术的实施例的说明与相对湿度相关的元素的表面浓度的图表。
图7示出了根据本技术的实施例的方法中的示例性操作。
图8示出了根据本技术的实施例的说明与前驱物流速相关的元素的表面浓度的图表。
图9示出了根据本技术的实施例的方法中的示例性操作。
图10示出了本技术的实施例的说明与所经过的时间相关的元素的表面浓度的图表。
所述附图中的多个附图被包括作为示意图。应理解,附图只是为了说明,而非视为等比例,除非特定陈述所述附图为等比例。此外,作为示意图,所述附图供以帮助理解,并且可不包括相比于实际表示的所有方面或信息,并且为了说明的目的可包括额外或夸张的材料。
附图中,类似的部件和/或特征可具有相同的附图标记。进一步地,相同类型的各种部件可由下述方式区别:附图标记后跟随着区分类似部件的字母。若在说明书中仅使用第一附图标记,则描述适用于具有相同第一元件符号的类似部件中的任何一个,而不管字母如何。
具体实施方式
经稀释的酸可用在许多不同的半导体工艺中,以清洁基板并从这些基板移除材料。例如,经稀释的氢氟酸可以是针对氧化硅的有效蚀刻剂,并且可用于从硅表面移除氧化硅。在完成蚀刻或清洁操作后,可从晶片或基板表面干燥所述酸。使用经稀释的氢氟酸(“DHF”)可称为“湿法”蚀刻,并且所述稀释剂通常是水。水具有相对高的表面张力,所述表面张力可作用在与水接触的表面上。
在尺寸上持续缩小的元件图案化和特征可包括在基板上蚀刻或形成的精细特征。例如,许多处理操作可影响或形成基板中的沟槽、孔、或其他特征、或是基板上的材料。深宽比被定义为高度对宽度的比,所述深宽比在器件中可能是非常高的,并且可在5、10、20、50、100或更大的量级上。这些特征中的许多特征可不仅具有高深宽比而且还具有在几个纳米的尺度上的被缩小的尺寸,例如以使得基板上的临界尺寸——通常是这些特征的宽度或尺寸——可以是小于10nm、小于5nm、小于3nm、小于2nm、小于1nm、或甚至更小。例如,两个沟槽之间的任何特定的柱或壁的宽度可为仅几个纳米。此材料越薄,则施加在结构整体上的冲击应力越大。此外,构成所述结构的材料(若所述材料是基板材料、介电质、光阻等)还可能影响在材料上所施加的压力或应力的效果。
当精细的、高深宽比的特征被清洁、蚀刻、或处理时可能出现问题,因为流体可能呈现远远高于所述特征所能应付的表面张力的表面张力。在具有多个特征、层、或材料的设计中,甚至是少量的特征变形或崩塌都可能引发通过所生产的元件的短路,所述短路导致所述元件无法运作。例如,尽管当DHF用作为高深宽比特征上的蚀刻剂时DHF可在低深宽比结构上良好工作,但是当蚀刻操作结束并且干燥或移除DHF时,在干燥期间施加在特征上的表面张力可能引发图案崩塌。随着元件特征持续缩小,由于湿法蚀刻对高深宽比特征的影响,所述湿法蚀刻可能不再足够。用于在清洁操作中移除流体的一种有前景的技术是通过用超临界流体进行干燥操作。尽管这些技术可提供更干燥的且不太容易图案崩塌的表面,但制备量、硬件要求、以及所涉及的操作数量可能减少整体基板处理的效率。
本技术通过执行干法蚀刻工艺来克服这些问题,所述干法蚀刻工艺提供适当的选择性以用于相对于硅来移除氧化硅,同时维持高深宽比结构。所述技术利用等离子体增强前驱物(所述等离子体增强前驱物可包括含氟前驱物)以从硅表面移除氧化硅的暴露区域。通过利用非流体材料,可将对基板特征的影响最小化。针对蚀刻的术语“干”可用于意指液态水可不用于所述操作中,而与湿法蚀刻(在湿法蚀刻中水可用作诸如DHF之类的稀释剂或成分)不同。
尽管其余的公开内容例行地标识利用所公开的技术的特定蚀刻工艺,但容易理解的是所述系统和方法同样适用于可以在所述的腔室中发生的沉积和清洁工艺。因此,不应将本技术视为限制成单独地与蚀刻工艺或腔室使用。此外,尽管将示例性腔室描述为提供本技术的基础,但应理解本技术可应用于几乎任何可允许所述操作的半导体处理腔室。
图1示出了根据实施例的沉积、蚀刻、烘烤、和固化腔室的处理系统100的一个实施例的俯视平面图。在图中,一对前开式标准仓(FOUP)102供应各种尺寸的基板,所述基板由自动机械臂104接收并放置到低压保持区域106中,之后将所述基板放置到基板处理腔室108a-f之一,所述基板处理腔室108a-f定位在级联部分109a-c中。第二机械臂110可用于将基板晶片从保持区域106传输到基板处理腔室108a-f和往回输送。每一个基板处理腔室108a-f可装配成执行数个基板处理操作,所述数个基板处理操作包括本文所述的干法蚀刻工艺,此外还有循环层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洁、脱气、取向、和其他基板工艺。
基板处理腔室108a-f可包括一个或多个系统部件用于沉积、退火、固化、和/或蚀刻基板晶片上的介电膜。在一个配置中,两对处理腔室(例如108c-d和108e-f)可用于在基板上沉积介电材料,并且第三对处理腔室(例如108a-b)可用于蚀刻所沉积的介电质。在另一个配置中,所有三对腔室(例如108a-f)可配置成蚀刻基板上的介电膜。所述工艺中的任何一个或多个工艺可在与不同实施例中所示的制造系统分开的(一个或多个)腔室中进行。将理解,系统100构想了用于介电膜的沉积腔室、蚀刻腔室、退火腔室、和固化腔室的额外配置。
图2A示出了示例性工艺腔室系统200的截面图,在示例性工艺腔室200内有分隔的等离子体产生区域。在膜蚀刻(例如,氮化钛、氮化钽、钨、硅、多晶硅、氧化硅、氮化硅、氮氧化硅、碳氧化硅等)期间,工艺气体可穿过气体入口组件205流进第一等离子体区域215。可任选地将远程等离子体系统(RPS)201包括在系统中,并且所述远程等离子体系统201可对随后行进穿过气体入口组件205的第一气体进行处理。所述入口组件205可包括两个或多个不同的气体供应通道,其中第二通道(未图示)可绕过RPS 201(如果被包括的话)。
根据多个实施例,冷却板203、面板217、离子抑制件223、喷头225、以及基板支撑件265(基板支撑件265具有被配置在所述基板支撑件265上的基板255)被示出并其中的每个可被包括。底座265可具有热交换通道,热交换流体流动通过所述热交换通道以控制基板的温度,底座265可操作以在处理操作期间加热和/或冷却基板或晶片。还可利用嵌入式电阻加热器元件来电阻加热底座265的晶片支撑盘,以获得相对高的温度(所述温度诸如从高达100℃或为约100℃至超过1100℃或为约1100℃),所述晶片支撑盘可包括铝、陶瓷、或上述材料的组合。
面板217可为金字塔形、锥形、或具有从窄的顶部部分扩张成宽的底部部分的另一种类似结构。另外面板217可如图所示那样地平坦并且包括用于分配工艺气体的多个贯穿通道。取决于RPS 201的用途,等离子体产生气体和/或被等离子体激发的物质可通过如图2B中所示的面板217中的多个孔以用于更均匀地传递至第一等离子体区域215中。
示例性配置可包括:使气体入口组件205通到气体供应区域258中,所述气体供应区域258由面板217与第一等离子体区域215隔开,使得气体/物质流过面板217中的孔进入第一等离子体区域215。可选择结构和操作性特征,以防止等离子体从第一等离子体区域215显著回流而回到供应区域258、气体入口组件205、和流体供应系统210中。面板217(或腔室的导电顶部部分)和喷头225被示出为具有位于特征之间的绝缘环220,其允许相对于喷头225和/或离子抑制件223来将AC电位施加至面板217。所述绝缘环220可定位在面板217与喷头225和/或离子抑制件223之间,使得电容耦合的等离子体(CCP)能够在第一等离子体区域中形成。另外,挡板(未图示)可位于第一等离子体区域215中,或不然则是与气体入口组件205耦接,以影响通过气体入口组件205进入区域的流体的流动。
离子抑制件223可包括板或其他几何形状,所述板或其他几何形状界定贯穿结构的多个孔径,所述孔径被配置成:抑制离子带电的物质迁移出第一等离子体区域215,同时允许未带电的中性或自由基物质通过所述离子抑制件223进入所述抑制件与所述喷头之间的活化气体输送区域。在实施例中,所述离子抑制件223可包括穿孔板(perforatedplate),所述穿孔板具有各种孔径的配置。这些未带电的物质可包括高反应性物质,是用较低反应性的载气传输所述高反应性物质通过孔径。如上文所记叙,可减少离子物质通过所述孔的迁移,并且在一些实例中所述迁移可被完全地抑制。控制通过离子抑制件223的离子物质的量可有利地增加对与下方晶片基板形成接触的气体混合物的控制,这进而可增加对气体混合物的沉积特性和/或蚀刻特性的控制。例如,对气体混合物的离子浓度的调整可显著改变其蚀刻选择性,例如SiNx∶SiOx蚀刻比、Si∶SiOx蚀刻比等。在其中执行沉积的替代性实施例中,还能够针对介电材料改变共形对可流动(conformal-to-flowable)类型沉积的平衡。
离子抑制件223中的多个孔径可配置成控制活化气体(即离子物质、自由基物质、和/或中性物质)通过离子抑制件223。例如,可控制所述孔的深宽比(或孔直径比长度)和/或所述孔的几何形状使得减少活化气体中通过离子抑制件223的离子带电物质的流动。离子抑制件223中的孔可包括锥形部分以及圆柱形部分,所述锥形部分面向等离子体激发区域215,所述圆柱形部分面向喷头225。所述圆柱形部分的形状和尺寸可被设计成控制离子物质通向喷头225的流动。也可将可调整的电偏压施加至离子抑制件223来作为控制通过抑制件的离子物质的流动的额外手段。
离子抑制件223可充当减少或消除从等离子体产生区域行进至基板的离子带电物质的量。未带电的中性和自由基物质仍可通过离子抑制件中的开口而与基板反应。应注意,在实施例中,可不执行完全消除环绕基板的反应区域中的离子带电物质。在某些实例中,希望离子物质抵达基板,以执行蚀刻和/或沉积工艺。在这些实例中,所述离子抑制件可有助于将反应区域中的离子物质的浓度控制在有助于工艺的水平。
喷头225结合离子抑制件223可允许等离子体存在于第一等离子体区域215中,以避免在基板处理区域233中直接激发气体,同时仍使受激发的物质从腔室等离子体区域215行进至基板处理区域233中。以此方式,所述腔室可配置成防止等离子体接触被蚀刻的基板255。此举可有利地保护基板上图案化的各种复杂的结构和膜,若所述结构和膜直接接触所产生的等离子体则可能受到损坏、移位、或否则是翘曲。此外,当允许等离子体接触基板或抵达基板水平时,氧化物物质蚀刻的速率可能增加。因此,若材料的被暴露的区域是氧化物,则此材料可能通过维持等离子体远离所述基板而进一步被保护。
所述处理系统可进一步包括电源240,所述电源240电耦接所述处理腔室,以将功率提供至面板217、离子抑制件223、喷头225、和/或底座265,以在第一等离子体区域215或处理区域233中产生等离子体。取决于所执行的工艺,所述电源可配置成将可调整的量的功率输送至腔室。此类配置可允许可调的等离子体用在正被执行的工艺中。不同于远程等离子体单元(所述远程等离子体单元经常具有开或关的功能性),可调的等离子体可配置成将特定量的功率递送给等离子体区域215。这进而可允许产生特定的等离子体特性,使得前驱物可以以特定方式解离,以增强这些前驱物所产生的蚀刻分布(etching profile)。
可在喷头225上方的腔室等离子体区域215中或是在喷头225下方的基板处理区域233中点燃等离子体。等离子体可存在于腔室等离子体区域215中,以从诸如含氟前驱物或其他前驱物之类的流入物产生自由基前驱物。可将通常在射频(RF)范围内的AC电压施加在处理腔室的导电顶部部分(诸如面板217)与喷头225和/或离子抑制件223之间,以在沉积期间在腔室等离子体区域215中点燃等离子体。RF电源可生成13.56MHz的高RF频率,但也可单独生成其他频率或结合13.56MHz的频率生成其他频率。
图2B示出影响通过面板217的处理气体分布的特征的详细视图253。如图2A和图2B中所示,面板217、冷却板203、和气体入口组件205交错而界定气体供应区域258,工艺气体可从气体入口205输送至所述气体供应区域258。所述气体可填充气体供应区域258并通过面板217中的孔径259流至第一等离子体区域215。孔径259可配置成以基本上单一方向的方式来引导流动,使得工艺气体可流进处理区域233,但可部分地或完全地防止工艺处理气体在横越面板217之后回流至气体供应区域258。
诸如喷头225之类的用在处理腔室部分200中的气体分配组件可称作双通道喷头(DCSH),并且于图3中所描述的实施例中进行另外的详细描述。双通道喷头可提供蚀刻工艺,所述蚀刻工艺允许在处理区域233外分离蚀刻剂,从而提供所述蚀刻剂在被输送至处理区域中之前彼此之间和与腔室部件之间的交互作用受到限制。
喷头225可包括上板214以及下板216。所述板可彼此耦接,从而在所述板之间界定容积218。耦接这些板可以是为了提供穿过上板和下板的第一流体通道219以及穿过下板216的第二流体通道221。所形成的通道可配置成提供单独经由第二流体通道221从容积218通过下板216的流体进出,并且第一流体通道219可与在所述板与第二流体通道221之间的容积218流体隔离。可穿过气体分配组件225的一侧流体进出所述容积218。
图3是根据实施例的与处理腔室一并使用的喷头325的仰视图。喷头325可对应图2A图中所示的喷头225。贯穿孔365(示出第一流体通道219的视角)可具有多种形状和配置,以控制并影响穿过喷头225的前驱物的流动。小孔375(示出第二流体通道221的视角)可基本上均匀分布在喷头表面之上,甚至是分布在贯穿孔365之间,并且相较于其他组装型态可有助于在前驱物离开喷头时提供更为均匀的前驱物混合。
上文论述的腔室可用在执行包括了蚀刻方法的示例性方法。转至图4,图4示出根据本技术的实施例的方法400的示例性操作。在所述方法的第一操作之前,可以以一种或多种方式处理基板,之后再将所述基板放置在腔室的处理区域内,在所述处理区域中可执行方法400。例如,沟槽、孔、或其他特征可形成在基板中,所述基板可以包括硅基板。在一些实施例中,孔可由反应性离子蚀刻来形成,所述反应性离子蚀刻可利用氧化物硬掩模。反应性离子蚀刻可产生深的高深宽比结构,但可能在所形成的结构内留下残余物,所述残余物可能包括碳、氧、或其他材料。可执行灰化操作,虽然氧化物残余材料可能仍留在所形成的结构中。这些操作中的一些或全部可在先前描述的腔室或系统工具中执行,或可在相同的系统工具上的不同腔室中执行,所述系统工具可包括其中执行方法400的操作的腔室。
方法400可包括:在操作405处,将含氟前驱物流进半导体处理腔室的远程等离子体区域中。示例性腔室可为先前描述的腔室200,所述腔室200可包括RPS单元201或第一等离子体区域215中的一者或两者。这些区域中的任何一个或两个可以是用在操作405中的远程等离子体区域。在操作410处,等离子体可在所述远程等离子体区域内生成,其可生成含氟前驱物的等离子体流出物。在操作415处,所述等离子体流出物可流至腔室的处理区域。所述等离子体流出物可在处理区域中与基板相互作用,所述基板可包括形成为穿过半导体基板的沟槽或其他特征,所述半导体基板可包括硅、锗、或任何其他基板或可理解的元素的组合。
所述基板可包括被暴露的氧的区域,所述被暴露的氧可来自一个或多个源。例如,所述氧可为在已形成沟槽或其他特征之后留下来的氧硬掩模。所述氧化物还可为已由基板暴露至空气而形成的氧化物的层或包括所述氧化物的层。例如,当基板暴露至空气或某些其他氧源时,氧化物薄层可于基板上形成。在操作420处,可将含氢前驱物连同等离子体流出物提供至处理区域。在操作425处,所述等离子体流出物和含氢前驱物可与被暴露的氧化物相互作用以移除被暴露的氧化物的至少一部分。在移除期间,处理区域内的相对湿度可维持在低于50%或为约50%。
在已于操作425处移除所述氧化物的一部分之后,在操作430处,处理区域内的相对湿度可增加至大于50%或为约50%。在一些实施例中,在增加相对湿度的同时,所述等离子体流出物可继续流进处理区域中。随后可在操作435处移除额外量的被暴露的氧化物。通过在移除期间增加处理区域内的相对湿度,可移除额外量的氧化物,这可允许所述工艺有额外的益处,所述益处在下文中进一步描述。
用在所述方法中的前驱物可包括含氟前驱物或含氢前驱物。示例性含氟前驱物可为三氟化氮(NF3),所述三氟化氮可流进远程等离子体区域中,所述远程等离子体区域可与处理区域分开但与处理区域流体耦接。可结合三氟化氮使用氟的其他源,或用氟的其他源取代三氟化氮。通常,含氟前驱物可流进远程等离子体区域,并且所述含氟前驱物可包括至少一种前驱物,所述前驱物选自由下述项构成的群组:原子氟、双原子氟、三氟化氮、四氟化碳、氟化氢、二氟化氙、和各种其他用于半导体处理或可用于半导体处理的含氟前驱物。所述前驱物还可包括任何数目的载气,所述载气可包括氮气、氦气、氩气、或其他稀有、惰性、或有用的前驱物。
所述含氢前驱物可包括氢、碳氢化合物、水、过氧化氢、或本领域技术人员理解的可包括氢的其他材料。还可与第二前驱物一起包括诸如载气或惰性材料之类的额外前驱物。所述前驱物中的一个或多个可绕过远程等离子体区域并且流进处理腔室的额外区域。这些前驱物可在处理区域或腔室的某些其他区域中与等离子体流出物混合。例如,当含氟前驱物流过远程等离子体区域以产生含氟等离子体流出物时,所述含氢前驱物可绕过远程等离子体区域。所述含氢前驱物可通过腔室顶部的旁路(bypass)绕过远程等离子体区域,或是可诸如通过提供进出喷头内的容积的端口流进腔室的分开的区域,所述喷头诸如为图2的喷头225。含氢前驱物可随后流进处理区域,在所述处理区域处所述前驱物可随后与含氟等离子体流出物混合或相互作用。在实施例中,在移除操作期间所述等离子体处理区域可维持无等离子体。无等离子体意指在操作期间在处理区域内可不主动地形成等离子体,然而在所述操作期间可使用如先前所述的远程产生的等离子体流出物。
可参考图5A至图5C来进一步理解本技术的额外方面。图5示出根据本技术的实施例的被处理的基板的截面图。以图5A开始,示出其上可利用本技术的基板的截面图。例如,硅基板505可具有形成或界定于其表面内的一个或多个特征,诸如所示出的沟槽510。在本技术所涵盖的实施例中,沟槽510可由反应性离子蚀刻工艺形成,然而类似地也涵盖可形成沟槽与其他特征的其他的蚀刻工艺。沟槽510可为如先前所论述的高深宽比沟槽,且所述沟槽510的深宽比可为大于10、大于50、大于100、或在这些数值中的任何数值内或如可形成更深、更窄的沟槽的其他数值。
在其中已使用反应性离子蚀刻而形成沟槽510的示例性情况中,氧化物硬掩模515可形成于基板505的表面上。此外,残余材料520可形成于沟槽510内或留在所述沟槽510内,所述残余材料520可包括碳、氧、或来自蚀刻的其他杂质。可执行工艺的操作(诸如上文所述的工艺400的所选操作)以从硅基板505的表面移除被暴露的氧化物材料。例如,可将含氟前驱物的等离子体流出物以及含氢前驱物输送至处理区域,以至少部分地移除氧化物硬掩模515以及残余材料520。因为沟槽510以及任何其他特征可具有高深宽比,所以在多个实施例中可将处理区域内的相对湿度维持在低于约50%。通过将相对湿度维持在低于约50%,水滴可不沿着表面形成,所述水滴在被干燥或移除时可能会引发图案变形或崩塌。
在已移除氧化物硬掩模515以及残余材料520之后,可能会留下氧化物薄膜。如图5B中所示,氧化物材料525可存在于基板505上。例如,氧化物材料525可以是因暴露于空气而遍及硅表面存在的氧化物层,或者可以是氧化物硬掩模515的剩余部分。氧化物材料525可以是为氧化物薄层,所述氧化物薄层可小于10nm或为约10nm、小于8nm或为约8nm、小于6nm或为约6nm、小于5nm或为约5nm、小于4nm或为约4nm、小于3nm或为约3nm、小于2nm或为约2nm、小于1nm或为约1nm、或更小。诸如关于图4论述的干法蚀刻操作可能难以移除氧化物材料525。例如,剩余的氧化物材料525可能在硅基板505与上层的氧化物材料525之间的界面处稍微较非晶形。在硅基体与氧化硅结构之间可能共享最终的氧原子层。一些情况中,干法蚀刻操作可能无法劈裂这些接合,这可能允许残余的氧留在表面处,诸如具有氧化物材料525。
可包括关于图4所述的某些操作的干法蚀刻操作可增加基板表面水平处的氟浓度。当干法蚀刻无法从硅表面劈裂最终的氧层时,用于蚀刻剂中的氟可接合或连结所述氧化物材料525。湿法蚀刻在用于较低深宽比特征时可不在基板内保留如干法蚀刻那样的氟的量,但湿法蚀刻可能无法维持高深宽比特征,并且可能由于表面张力而引发图案崩塌。残余的氟可作为影响器件功能的杂质。例如,沟槽或特征可能例如在存储器器件的制造中形成。在所述单元形成前,可能寻求相对地或基本上纯净的基板表面。杂质可能增加来自器件的漏电流,这可能进而增加功率消耗,并由于增加刷新速率以维持存储器数据免于遗漏而造成电池寿命减少。
氧化物材料525可使基板内的表面水平浓度的氟增加。附接至氧化物材料525或所述氧化物525内所含的氟在所述基板的某些区域(诸如中央区域)可多达或大于8原子%。例如,用于将由于此杂质造成的漏电流减至最少的期望的氟水平可为低于3%或为约3%。这样的水平的氟结合可通过湿法蚀刻来产生,但当特征尺寸减少时,湿法蚀刻可能引发图案崩塌以及器件失效。但本技术可利用相对湿度和/或一个或多个其他条件(下文中论述)来将氟的表面水平浓度减少至低于其他干法蚀刻工艺。
如上文针对图4所述,可将处理腔室内的相对湿度增加至超过约50%。通过增加相对湿度,可从硅表面移除额外的氧材料,这可移除可能与氧相关的残余的氟。通过减少氟的原子百分比,可减少漏电流,且可改进器件性能。然而,发明人已另外确定,可以以一系列的操作来执行所述操作,所述一系列的操作先移除氧化物材料的体部分(bulk portion),之后增加相对湿度。如果一开始将相对湿度增加至超过50%,则可能发生某些问题。在足够高的相对湿度下,水滴可能形成在基板表面上,这随后可如上文所解释的那样引发图案崩塌或变形。甚至是单层的液态水都已显示出会产生图案变形或崩塌。
此外,在用干法蚀刻剂移除期间的氧化物硬掩模可能产生氟化硅。然而,利用水,可从所述氧化物硬掩模产生氟硅酸,这可能由于相对发粘的稠度而引发图案崩塌。因此,当正在移除氧化物硬掩模时,可在较低的相对湿度(例如,诸如约25%的相对湿度)下执行所述操作,以移除硬掩模材料的主要部分。在其他实施例中,可将所述相对湿度维持在低于50%或约50%、低于45%或约45%、低于40%或约40%、低于35%或约35%、低于30%或约30%、低于25%或约25%、低于20%或约20%、低于15%或约15%、低于10%或约10%、或更低。所述相对湿度还可维持在这些数值中的任何数值之间,或维持在被包括在这些范围内的任何更小的范围。
当已充分减少剩余氧化物材料(诸如减少至低于几纳米或更低的阈值)时,或是当蚀刻操作可能不移除额外氧化物材料时,可将相对湿度增加至超过约50%。增加的相对湿度可允许额外氧被移除,且允许可与所述氧化物相关联或附接的氟也被移除。在实施例中,可将所述相对湿度增加至超过50%或约50%,并还可将所述相对湿度增加至超过55%或约55%、超过60%或约60%、超过65%或约65%、超过70%或约70%、超过75%或约75%、超过80%或约80%、超过85%或约85%、超过90%或约90%、超过95%或约95%、或更高,然而在100%的相对湿度下可能存在液态水,这可能引发图案崩塌或变形。因此,所述相对湿度可维持在低于100%或为约100%、在其余所述的百分比中的任何百分比之间、或是在所述的范围内的任何较小的范围内。
如图5C中所示,所增加的相对湿度可允许额外氧化物材料525被从基板505移除,这可允许所结合的氟被移除。本技术可类似地用于移除可能存在于基板上的氧薄层或氧化物薄层(诸如因暴露空气所产生的氧薄层或氧化物薄层),而不需要用于移除所述硬掩模材料的操作。例如,可能已暴露至空气的基本上干净的基板可具有为了在额外处理之前进一步清洁所述基板所执行的方法400的某些操作,诸如以与图5B中所示的基板类似的基板来开始。
转至图6,图6示出根据本技术的实施例的说明与相对湿度相关的元素的表面浓度的图表。所述图表示出以不断增加的湿度来执行的方法400的所选操作。例如,基板(诸如硅基板)可具有氧化物材料的薄层,诸如图5B中所示的层525。含氢前驱物(诸如水蒸气)可与含氟前驱物的等离子体流出物一起被输送至半导体处理腔室的处理区域。图6示出菱形605,所述菱形605对应于硅基板内(诸如在基板表面处的残余氧化物材料内)的氟的浓度。图6还包括矩形610,所述矩形610对应于硅基板内(诸如在表面水平氧化物材料处)的氧的浓度。如图6中所示,移除操作可不完全移除氧化物材料。三角形615示出基板表面上的残余氧化物材料的真实厚度。如所示,所述厚度在硬掩模移除后可小于1nm,诸如约
图6还示出随着相对湿度增加至超过25%,所述氟浓度稍微减少,同时氧浓度以及氧化物厚度基本上维持。然而,随着相对湿度增加至超过约50%的相对湿度,发生逐步的改变,且氧浓度、氧化物厚度、以及氟浓度都减少。氟浓度可减少至低于约2%,这可提供充足的器件质量以及泄漏效应。因此,图6示出本技术如何利用增加的相对湿度来减少基板内的氟浓度并且同时维持表面上的特征。用于产生图6的器件在基板上维持临界尺寸,例如高深宽比特征基本上并未减少且在基板上未发生图案变形以及崩塌。在实施例中,基板的临界尺寸(诸如或包括高深宽比特征宽度)可在实施例中减少小于10%,且可减少小于8%或约8%、小于6%或约6%、小于5%或约5%、小于4%或约4%、小于3%或约3%、小于2%或约2%、小于1%或约1%、或可基本上或实质上由本技术所维持。
工艺的条件还可能影响方法400中执行的操作以及根据本技术的其他移除方法。在实施例中,方法400的操作中的每一个操作可在恒温期间执行,然而在一些实施例中,可在不同操作期间调整所述温度。例如,在实施例中,在方法400期间可将基板温度、底座温度、或腔室温度维持在低于50℃或约50℃。所述基板温度可维持在低于45℃或约45℃、低于40℃或约40℃、低于35℃或约35℃、低于30℃或约30℃、低于25℃或约25℃、低于20℃或约20℃、低于15℃或约15℃、低于10℃或约10℃、低于5℃或约5℃、低于0℃或约0℃、低于-5℃或约-5℃、或更低。然而,在一些实施例中,可将温度维持在超过0℃或约0℃以防止含氢前驱物(所述含氢前驱物可为水)冻结。所述温度还可维持在这些范围内的任何温度、这些范围所涵盖的较小范围、或在这些范围中的任何范围之间。
在一些实施例中,可在第一温度下执行第一移除操作425,并且可在第二温度下执行额外的移除操作435。所述温度中的任何一个或两个可在上述范围中的任何范围内。在实施例中,第二温度可以低于第一温度。例如,在相对湿度增加期间,基板温度可从第一温度降低至第二温度。通过降低基板温度,还可增加晶片水平处的相对湿度,而不添加基本上更多的水蒸气至处理腔室。随后可减少水滴在腔室部件上或在基板上形成的机会,这样可有助于减少或防止图案变形或崩塌。
例如,在实施例中,第一温度可大于10℃或为约10℃,并且第二温度可小于10℃或为约10℃。在一些实施例中,所述第一温度可在约10℃至约20℃之间、在约11℃至约18℃之间、在约12℃至约15℃之间、或在多个实施例中可为约12℃、约13℃、约14℃、或约15℃。此外,在实施例中,所述第二温度可在约0℃至约10℃之间、在约1℃至约8℃之间、在约2℃至约5℃之间、或在实施例中可为约2℃、约3℃、约4℃、或约5℃。第一温度与第二温度之间的温度减少量在实施例中可以是至少约2℃,并且可以是至少3℃或约3℃、至少4℃或约4℃、至少5℃或约5℃、至少6℃或约6℃、至少7℃或约7℃、至少8℃或约8℃、至少9℃或约9℃、至少10℃或约10℃、至少11℃或约11℃、至少12℃或约12℃、或更大。此外,温度减少量可以是小于15℃或约15℃、或在这些范围中的任何范围之间的或是在这些范围中的任何范围内的任何较小范围。
腔室内的压力也可影响所执行的操作,并且在实施例中所述腔室压力可维持在低于约50托、低于40托或约40托、低于30托或约30托、低于25托或约25托、低于20托或约20托、低于15托或约15托、低于10托或约10托、低于5托或约5托、低于1托或约1托、或更小。所述压力还可维持在这些范围内的任何压力、这些范围所涵盖的较小范围内、或这些范围中的任何范围之间。
在一些实施例中,可在第一压力下执行第一移除操作425,并且可在第二压力下执行额外的移除操作435。所述压力中的任何一个压力或两个压力可在上述的范围中的任何范围内。在实施例中,第二压力可高于第一压力。例如,在相对湿度增加期间,处理腔室内的压力可从第一压力增加至第二压力。通过增加腔室内的压力,还可增加晶片水平处的相对湿度,而不添加基本上更多的水蒸气至处理腔室。随后可减少水滴在腔室部件上或在基板上形成的机会,这又可有助于减少或防止图案变形或崩塌。
例如,在实施例中,第一压力可小于10托或为约10托,并且第二压力可大于10托或为约10托。在一些实施例中,第一压力可在约0托至约10托之间、在约3托至约9托之间、在约5托至约8托之间,或在实施例中可为约5托、约6托、约7托、或约8托。在实施例中,所述第二压力可在约10托至约20托之间、在约10托至约18托之间、在约11托至约15托之间,或在多个实施例中可为约11托、约12托、约13托、约14托、或约15托。在实施例中,第一压力与第二压力之间的压力增加量可为至少约1托,并且在实施例中可为至少2托或约2托、至少3托或约3托、至少4托或约4托、至少5托或约5托、至少6托或约6托、至少7托或约7托、至少8托或约8托、或更大。所述压力增加量在多个实施例中可小于10托或为约10托、或可以是在这些范围中的任何范围内的或是在这些范围中的任何范围之间的较小范围。
所述前驱物中的一个或多个前驱物的流速也可与其他处理条件一并调整。例如,可减少含氟前驱物的流速,同时增加处理区域内的相对湿度,然而在一些实施例中,可维持或增加所述流速。在方法400的操作中的的任何操作期间,所述含氟前驱物的流速可在约2sccm至约100sccm之间。此外,所述含氟前驱物的流速可以是至少2sccm或约2sccm、至少3sccm或约3sccm、至少4sccm或约4sccm、至少5sccm或约5sccm、至少6sccm或约6sccm、至少7sccm或约7sccm、至少8sccm或约8sccm、至少9sccm或约9sccm、至少10sccm或约10sccm、至少11sccm或约11sccm、至少12sccm或约12sccm、至少13sccm或约13sccm、至少14sccm或约14sccm、至少15sccm或约15sccm、至少16sccm或约16sccm、至少17sccm或约17sccm、至少18sccm或约18sccm、至少19sccm或约19sccm、至少20sccm或约20sccm、至少25sccm或约25sccm、至少30sccm或约30sccm、至少40sccm或约40sccm、至少50sccm或约50sccm、至少60sccm或约60sccm、至少80sccm或约80sccm、或更大。所述流速还可在这些所述流速中的任何所述流速之间,或可在这些数值中的任何数值所涵盖的较小范围内。
取决于所用的前驱物,可以使含氢前驱物以这些流速中的任何流速来流动,所述所用的前驱物可为任何数目的含氢前驱物。例如,若利用水蒸气,则水可以以至少1g/分钟或约1g/分钟的速率引入。所述水还可以以下述速率引入:至少2g/分钟或约2g/分种、至少3g/分钟或约3g/分钟、至少4g/分或约4g/分钟、至少5g/分钟或约5g/分钟、至少6g/分钟或约6g/分钟、至少7g/分钟或约7g/分钟、至少8g/分钟或约8g/分钟、至少9g/分钟或约9g/分钟、或更大,然而可以以低于约10g/分钟引入水,以减少部件以及基板上的水冷凝。可以以在这些所述流速中的任何流速之间、或是这些数值中的任何数值所涵盖的较小范围内的流速来引入水。
当完成方法400时,在实施例中,基板中的氟的浓度可为低于8%或约8%,并且可为低于7%或约7%、低于6%或约6%、低于5%或约5%、低于4%或约4%、低于3%或约3%、低于2%或约2%、低于1%或约1%、或更低。类似地,基板中的氧的浓度在多个实施例中可低于15%或约15%,并且在实施例中可为低于12%或约12%、低于10%或约10%、低于9%或约9%、低于8%或约8%、低于7%或约7%、低于6%或约6%、低于5%或约5%、低于4%或约4%、低于3%或约3%、低于2%或约2%、低于1%或约1%、或更低。
在一些实施例中,可以递增地(incrementally)执行相对湿度的增加。例如,在将前驱物输送至处理区域的同时,可将相对湿度增加特定百分比。可使相对湿度增加一个或多个增量(increment)增加相对湿度,所述一个或多个增量可为小于50%或约50%的相对湿度、小于40%或约40%的相对湿度、小于30%或约30%的相对湿度、小于20%或约20%的相对湿度、小于15%或约15%的相对湿度、小于10%或约10%的相对湿度、小于5%或约5%的相对湿度、或更小。还可以使所述相对湿度增加在这些数值中的任何数值之间或在这些范围中的任何数值所涵盖的较小范围内的增量。此外,在实施例中,可将相对湿度从起始的相对湿度逐渐增加至最终的相对湿度,此操作可执行一段时间以减少过剩的水凝结发生的机会,所述过剩的水凝结可能导致图案变形或崩塌。
转至图7,图7示出根据本技术的实施例的方法700中的示例性操作。方法700可包括先前所述的方法400的操作、条件、参数或结果中的一些或全部。例如,方法700可包括:在操作705处,将含氟前驱物流进半导体处理腔室的远程等离子体区域。可使所述前驱物流动并同时在所述远程等离子体区域内形成等离子体,以生成含氟前驱物的等离子体流出物。在操作710处,所述等离子体流出物可流入腔室的处理区域。基板可容纳在处理区域内,且所述基板可通过高深宽比特征来表征,所述高深宽比特征具有被暴露的氧化物的区域。
在操作715处,当所述等离子体流出物流进处理区域时,可以使含氢前驱物流进所述处理区域。在实施例中,所述含氢前驱物可绕过所述远程等离子体区域。在操作720处,可移除被暴露的氧化物的至少一部分,同时将所述处理区域内的相对湿度维持在大于50%或约50%。在操作725处,在至少局部的移除之后,可以增加含氟前驱物的流速,同时将所述处理区域内的相对湿度维持在大于50%或约50%。在操作730处,可移除额外的被暴露的氧化物。
本技术还可在其中相对湿度可能无法增加至超过阈值的情况中有效产生上文所述的益处。此外,结合相对湿度的增加,可增加含氟前驱物的流速,这样可进一步移除额外的氧或氟材料。所述方法可包括阈值相对湿度值,诸如至少约50%的相对湿度,以提供对上文所述的材料的移除。对残余的氧化物层而言,当相对湿度减少至低于50%或约50%时,接合可能会更难以劈裂或无法劈裂,如上文所论述。
图8示出根据本技术的实施例的说明与前驱物流速相关的元素的表面浓度的图表。所述图表示出在其中增加含氟前驱物的流速的本技术的操作期间硅基板中的氧和氟的结合的影响。操作期间的相对湿度维持在约50%至约65%之间。如所示,在增加含氟前驱物流速的同时将氟浓度维持在低于约3%的结合,如矩形805所示。随着流速增加,先前论述的氧化物层的厚度在厚度上减少超过1埃,如三角形815所示。此外,如菱形810所示的氧结合随着流速增加而减少。在增加之前以及增加之后的含氟前驱物的流速可以是先前论述的前驱物流速中的任何流速。在实施例中,所述流速可增加至至少1sccm或约1sccm、至少2sccm或约2sccm、至少3sccm或约3sccm、至少4sccm或约4sccm、至少5sccm或约5sccm、至少6sccm或约6sccm、至少7sccm或约7sccm、至少8sccm或约8sccm、至少9sccm或约9sccm、至少10sccm或约10sccm、至少11sccm或约11sccm、至少12sccm或约12sccm、至少13sccm或约13sccm、至少14sccm或约14sccm、至少15sccm或约15sccm、至少20sccm或约20sccm、或更高。
在实施例中,所述移除操作可将氧结合减少至低于约14%,且可将所述氧结合减少至低于12%或约12%、低于10%或约10%、低于7%或约7%、低于6%或约6%、低于5%或约5%、低于4%或约4%、低于3%或约3%、低于2%或约2%、或更低。所述移除操作还可将所述氧结合减少至少2%或约2%,且可将所述氧结合减少至少3%或约3%、至少4%或约4%、至少5%或约5%、至少6%或约6%、至少7%或约7%、至少8%或约8%、至少9%或约9%、至少10%或约10%、至少11%或约11%、至少12%或约12%、或更多。
可对氧化物材料执行方法700,所述氧化物材料诸如图5B中所示的氧化物材料525。在实施例中,氧化物的暴露区域可特征在于小于5nm或约5nm的厚度,且可特征在于下述厚度:小于4nm或约4nm、小于3nm或约3nm、小于2nm或约2nm、小于1nm或约1nm、小于或约、小于或约、小于或约、小于或约、小于或约、小于或约、小于或约、或更小。通过以由小于几纳米或约几纳米的厚度为特征的氧化物材料执行所述操作,可减少氟硅酸的影响,这可减少图案变形或崩塌。此外,方法700可维持或限制对基板特征尺寸或基板临界尺寸的影响,所述尺寸可减少小于5%或约5%、小于4%或约4%、小于3%或约3%、小于2%或约2%、小于1%或约1%,或所述尺寸可由所述方法基本上或实质上维持。
图9示出根据本技术的实施例的方法900中的示例性操作。方法900可包括先前所述的方法400或方法700中的操作、条件、参数、或结果中的一些或全部。可对上文针对方法700或方法400所论述的残余氧化物材料执行方法900。例如,方法900可包括:在操作905处,将含氟前驱物流进半导体处理腔室的远程等离子体区域。在操作910处,可使所述前驱物流动,同时在所述远程等离子体区域内形成等离子体,以生成含氟前驱物的等离子体流出物。在操作915处,可以使所述等离子体流出物流入腔室的处理区域中。基板可容纳在处理区域内,并且所述基板可特征在于高深宽比特征,所述高深宽比特征具有被暴露的氧化物的区域。
在操作920处,当所述等离子体流出物流进处理区域时,可将含氢前驱物流进所述处理区域。在实施例中,所述含氢前驱物可绕过所述远程等离子体区域。在操作925处,可连续地使所述前驱物流过处理区域达一段时间。在操作930处,在引入前驱物期间,可移除被暴露的氧化物材料的至少一部分。在移除期间,所述处理腔室内的相对湿度可以维持在大于50%或约50%(作为阈值),如上文所述。
方法900还可在其中相对湿度可能无法增加至超过阈值的情况中有效产生上文所述的益处。此外,结合相对湿度的增加和/或含氟前驱物流速的增加,可在一段时间内执行氧化物材料的移除,以进一步减少基板处的氧浓度。
图10示出根据本技术的多个实施例的说明与经过的时间相关的元素的表面浓度的图表。将含氟等离子体流出物和含氢前驱物提供至图案化的基板达一段时间(如图所示,所述时间多达400秒)。将操作期间的相对湿度维持在约50%至约65%之间。如图所示,所述方法相对地维持氟浓度,同时减少基板中的氧浓度。氟结合由矩形1005所示,且氧结合由菱形1010所示。所述图表另外地用三角形1015来示出残余氧化物厚度的减少。如图所示,方法900的移除操作可将氧结合减少至少1%或约1%,且可减少氧结合至少2%或约2%、至少3%或约3%、至少4%或约4%、至少5%或约5%、至少6%或约6%、或更多。在实施例中,前驱物和等离子体流出物的输送可持续至少100秒或约100秒,且可持续至少150秒或约150秒、至少200秒或约200秒、至少250秒或约250秒、至少300秒或约300秒、至少350秒或约350秒、至少400秒或约400秒、至少450秒或约450秒、至少500秒或约500秒、或更长。然而,随着时间增加,可能会在基板中产生表面缺陷,且所述表面缺陷可能减少基板上的表面均匀度。因此,在一些实施例中,可执行所述操作达少于500秒或约500秒。
上文论述的方法可允许从基板移除氧化物材料,同时限制氟结合,并且同时维持基板特征的临界尺寸,所述基板特征可为高深宽比特征。如所论述,所执行的操作可包括下述项中的一个或多个:在移除期间增加相对湿度、在移除期间增加含氟前驱物流速、或继续所述移除达一段时间。还可调整额外的腔室操作,如本公开内容全文中所论述。通过利用本方法和操作,可清洁或蚀刻高深宽比特征,同时不引发图案崩塌(与湿法蚀刻不同),且同时不增加或同时限制诸如氟之类的杂质引入(与一些常规的干法蚀刻不同)。
前文的描述中,为了解释的目的,已提出许多细节以提供对本技术地各种实施例的理解。然而,本领域技术人员会明了,可在没有这些细节中的一些细节或拥有额外的细节的情况下实践某些实施例。
已公开许多实施例,本领域技术人员会理解,可在不背离所述实施例的精神的情况下使用各种修改、替代结构、以及等效物。此外,并未描述许多已知的工艺和元件,以避免非必要地混淆本技术。因此,上文的叙述不应视为限制本技术的范围。此外,可将方法或工艺描述为依序或分步骤,但应理解,可同步执行这些操作,或以与所列出的顺序不同的顺序执行这些操作。
在提供值的范围的情况下,应理解除非上下文清楚地以其他方式规定,否则还特定公开了在所述范围的上限与下限之间的至下限的单位的最小分数的每一个中间值。还涵盖了在所陈述的范围中的任何所陈述的值或未陈述的中间值和在所述所陈述的范围中的任何其他所陈述的值或中间值之间的任何较窄范围。所述较小范围的上限与下限可独立地被包括在所述范围中或被排除在所述范围之外,并且其中极限中的的任何一个、无一个、或两个都被包括在所述较小范围中时的每一个范围也被涵盖在本技术内,但受所述所陈述的范围中的任何被特定排除的极限所限。当所陈述的范围包括所述限中的一个或两个时,还包括了排除所述所包括的极限中的任何一个或两个范围的范围。
如本文以及所附的权利要求中所使用的,单数形式的“一”、“一个”以及“所述”包括了多个参考,除非上下文以其他方式明确规定。因此,举例而言,对“一前驱物”的参考包括了多个此类前驱物,对“所述层”的参考包括对一个层或两个层的参考以及对于本领域技术人员来说已知的其等效物等。
同样,词语“包含”、“包括”、和“含有”在被用于此说明书以及下文的权利要求中时旨在指定了存在所陈述的特征、整体、部件、或操作,但其并不排除存在或添加一个或多个其他特征、整体、部件、操作、动作、或群组。
Claims (20)
1.一种蚀刻方法,包括:
将含氟前驱物流进半导体处理腔室的远程等离子体区域;
在所述远程等离子体区域内形成等离子体,以产生所述含氟前驱物的等离子体流出物;
使所述等离子体流出物流进所述半导体处理腔室的处理区域,其中所述处理区域容纳基板,所述基板包括被暴露的氧化物的区域;
将含氢前驱物提供至所述处理区域;
移除所述被暴露的氧化物的至少一部分,同时将所述处理区域内的相对湿度维持在低于50%;
在移除所述被暴露的氧化物的至少一部分之后,将所述处理区域内的所述相对湿度增加至大于50%或等于50%;以及
移除额外量的所述被暴露的氧化物。
2.如权利要求1所述的蚀刻方法,进一步包括:继续使所述等离子体流出物流进所述处理区域,同时增加所述处理区域内的所述相对湿度。
3.如权利要求2所述的蚀刻方法,其中在增加所述处理区域内的所述相对湿度的同时,减少所述等离子体流出物的流速。
4.如权利要求1所述的蚀刻方法,进一步包括:在增加所述处理区域内的所述相对湿度的同时,减少所述基板的温度。
5.如权利要求4所述的蚀刻方法,其中使所述温度减少至少5℃。
6.如权利要求1所述的蚀刻方法,进一步包括:在增加所述处理区域内的所述相对湿度的同时,增加所述处理腔室内的压力。
7.如权利要求6所述的蚀刻方法,其中将所述压力增加至少1托。
8.如权利要求1所述的蚀刻方法,其中将所述相对湿度增加至超过65%。
9.如权利要求1所述的蚀刻方法,其中在移除所述额外量的被暴露的氧化物之后,所述基板中的氟浓度低于5%或等于5%。
10.如权利要求1所述的蚀刻方法,其中在移除所述额外量的被暴露的氧化物之后,所述基板中的氧浓度低于8%或等于8%。
11.如权利要求1所述的蚀刻方法,其中所述含氢前驱物在被提供至所述处理区域时绕过所述远程等离子体区域。
12.如权利要求1所述的蚀刻方法,其中所述处理区域在所述移除操作期间维持无等离子体。
13.如权利要求1所述的蚀刻方法,其中以每增量低于20%或等于20%来递增地增加所述相对湿度。
14.一种清洁方法,包括:
使含氟前驱物流进半导体处理腔室的远程等离子体区域,同时在所述远程等离子体区域内形成等离子体,以产生所述含氟前驱物的等离子体流出物;
使所述等离子体流出物流进所述半导体处理腔室的处理区域,其中所述处理区域容纳基板,所述基板包括高深宽比特征,所述高深宽比特征具有被暴露的氧化物的区域;
在使所述等离子体流出物流进所述处理区域的同时,提供含氢前驱物至所述处理区域;
移除所述被暴露的氧化物的至少一部分,同时将所述处理区域内的相对湿度维持在大于50%或等于50%;
在移除所述被暴露的氧化物的至少一部分之后,增加所述含氟前驱物的流速,同时将所述处理区域内的所述相对湿度维持在大于50%或等于50%;以及
移除额外量的所述被暴露的氧化物。
15.如权利要求14所述的清洁方法,其中移除额外量的所述被暴露的氧化物将氧浓度降低至少5%。
16.如权利要求14所述的清洁方法,其中将所述含氟前驱物的流速增加至少2sccm。
17.如权利要求14所述的清洁方法,其中在所述移除操作前所述氧化物的暴露区域的厚度为小于2nm或等于2nm。
18.如权利要求14所述的清洁方法,其中将所述高深宽比特征的临界尺寸减少小于1%或等于1%。
19.一种移除方法,包括:
使含氟前驱物流进半导体处理腔室的远程等离子体区域,同时在所述远程等离子体区域内形成等离子体,以产生所述含氟前驱物的等离子体流出物;
使所述等离子体流出物流进所述半导体处理腔室的处理区域,其中所述处理区域容纳基板,所述基板包括高深宽比特征,所述高深宽比特征具有被暴露的氧化物的区域;
在使所述等离子体流出物流进所述处理区域的同时,提供含氢前驱物至所述处理区域;
继续使所述等离子体流出物和所述含氢前驱物流进所述处理区域达至少200秒;以及
移除所述被暴露的氧化物的至少一部分,同时将所述处理区域内的相对湿度维持在大于50%或等于50%。
20.如权利要求19所述的移除方法,其中所述移除操作将所述基板内的氧的浓度减少至少3%。
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN202310498893.6A CN116564808A (zh) | 2016-11-11 | 2017-11-08 | 用于高深宽比结构的移除方法 |
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/349,530 US9768034B1 (en) | 2016-11-11 | 2016-11-11 | Removal methods for high aspect ratio structures |
US15/349,530 | 2016-11-11 | ||
PCT/US2017/060696 WO2018089536A1 (en) | 2016-11-11 | 2017-11-08 | Removal methods for high aspect ratio structures |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN202310498893.6A Division CN116564808A (zh) | 2016-11-11 | 2017-11-08 | 用于高深宽比结构的移除方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN110235228A CN110235228A (zh) | 2019-09-13 |
CN110235228B true CN110235228B (zh) | 2023-05-16 |
Family
ID=59828541
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN202310498893.6A Pending CN116564808A (zh) | 2016-11-11 | 2017-11-08 | 用于高深宽比结构的移除方法 |
CN201780069648.6A Active CN110235228B (zh) | 2016-11-11 | 2017-11-08 | 用于高深宽比结构的移除方法 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN202310498893.6A Pending CN116564808A (zh) | 2016-11-11 | 2017-11-08 | 用于高深宽比结构的移除方法 |
Country Status (6)
Country | Link |
---|---|
US (2) | US9768034B1 (zh) |
JP (2) | JP6882469B2 (zh) |
KR (2) | KR102313219B1 (zh) |
CN (2) | CN116564808A (zh) |
TW (2) | TWI778793B (zh) |
WO (1) | WO2018089536A1 (zh) |
Families Citing this family (87)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10636675B2 (en) | 2017-09-27 | 2020-04-28 | Applied Materials, Inc. | Methods of etching metal-containing layers |
US10283324B1 (en) * | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) * | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10424487B2 (en) | 2017-10-24 | 2019-09-24 | Applied Materials, Inc. | Atomic layer etching processes |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI716818B (zh) * | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
JP7153499B2 (ja) * | 2018-08-08 | 2022-10-14 | 東京エレクトロン株式会社 | 酸素含有被処理体の処理方法及び処理装置 |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
JP6946248B2 (ja) * | 2018-09-26 | 2021-10-06 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理装置およびプログラム |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
JP6736720B1 (ja) * | 2019-03-29 | 2020-08-05 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 半導体処理チャンバマルチステージミキシング装置 |
US20220157602A1 (en) * | 2020-11-18 | 2022-05-19 | Applied Materials, Inc. | Silicon oxide gap fill using capacitively coupled plasmas |
Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9202708B1 (en) * | 2014-10-24 | 2015-12-01 | Applied Materials, Inc. | Doped silicon oxide etch |
Family Cites Families (1620)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US2369620A (en) | 1941-03-07 | 1945-02-13 | Battelle Development Corp | Method of coating cupreous metal with tin |
US3451840A (en) | 1965-10-06 | 1969-06-24 | Us Air Force | Wire coated with boron nitride and boron |
US3401302A (en) | 1965-11-01 | 1968-09-10 | Humphreys Corp | Induction plasma generator including cooling means, gas flow means, and operating means therefor |
US3537474A (en) | 1968-02-19 | 1970-11-03 | Varian Associates | Push button vacuum control valve and vacuum system using same |
US3756511A (en) | 1971-02-02 | 1973-09-04 | Kogyo Kaihatsu Kenyusho | Nozzle and torch for plasma jet |
US3969077A (en) | 1971-12-16 | 1976-07-13 | Varian Associates | Alkali metal leak detection method and apparatus |
US4232060A (en) | 1979-01-22 | 1980-11-04 | Richardson Chemical Company | Method of preparing substrate surface for electroless plating and products produced thereby |
US4397812A (en) | 1974-05-24 | 1983-08-09 | Richardson Chemical Company | Electroless nickel polyalloys |
US4632857A (en) | 1974-05-24 | 1986-12-30 | Richardson Chemical Company | Electrolessly plated product having a polymetallic catalytic film underlayer |
US4006047A (en) | 1974-07-22 | 1977-02-01 | Amp Incorporated | Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates |
US3937857A (en) | 1974-07-22 | 1976-02-10 | Amp Incorporated | Catalyst for electroless deposition of metals |
US4341592A (en) | 1975-08-04 | 1982-07-27 | Texas Instruments Incorporated | Method for removing photoresist layer from substrate by ozone treatment |
US4190488A (en) | 1978-08-21 | 1980-02-26 | International Business Machines Corporation | Etching method using noble gas halides |
US4265943A (en) | 1978-11-27 | 1981-05-05 | Macdermid Incorporated | Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions |
US4234628A (en) | 1978-11-28 | 1980-11-18 | The Harshaw Chemical Company | Two-step preplate system for polymeric surfaces |
US4214946A (en) | 1979-02-21 | 1980-07-29 | International Business Machines Corporation | Selective reactive ion etching of polysilicon against SiO2 utilizing SF6 -Cl2 -inert gas etchant |
US4361441A (en) | 1979-04-17 | 1982-11-30 | Plasma Holdings N.V. | Treatment of matter in low temperature plasmas |
US4209357A (en) | 1979-05-18 | 1980-06-24 | Tegal Corporation | Plasma reactor apparatus |
IT1130955B (it) | 1980-03-11 | 1986-06-18 | Oronzio De Nora Impianti | Procedimento per la formazione di elettroci sulle superficie di membrane semipermeabili e sistemi elettrodo-membrana cosi' prodotti |
US4361418A (en) | 1980-05-06 | 1982-11-30 | Risdon Corporation | High vacuum processing system having improved recycle draw-down capability under high humidity ambient atmospheric conditions |
NL8004005A (nl) | 1980-07-11 | 1982-02-01 | Philips Nv | Werkwijze voor het vervaardigen van een halfgeleiderinrichting. |
US4340462A (en) | 1981-02-13 | 1982-07-20 | Lam Research Corporation | Adjustable electrode plasma processing chamber |
US4368223A (en) | 1981-06-01 | 1983-01-11 | Asahi Glass Company, Ltd. | Process for preparing nickel layer |
DE3205345A1 (de) | 1982-02-15 | 1983-09-01 | Philips Patentverwaltung Gmbh, 2000 Hamburg | "verfahren zur herstellung von fluordotierten lichtleitfasern" |
US4585920A (en) | 1982-05-21 | 1986-04-29 | Tegal Corporation | Plasma reactor removable insert |
JPS591671A (ja) | 1982-05-28 | 1984-01-07 | Fujitsu Ltd | プラズマcvd装置 |
JPS59126778A (ja) | 1983-01-11 | 1984-07-21 | Tokyo Denshi Kagaku Kabushiki | プラズマエツチング方法及びその装置 |
JPS6060060A (ja) | 1983-09-12 | 1985-04-06 | 株式会社日立製作所 | 鉄道車両の扉開閉装置 |
US4579618A (en) | 1984-01-06 | 1986-04-01 | Tegal Corporation | Plasma reactor apparatus |
US4656052A (en) | 1984-02-13 | 1987-04-07 | Kyocera Corporation | Process for production of high-hardness boron nitride film |
US4656076A (en) | 1985-04-26 | 1987-04-07 | Triquint Semiconductors, Inc. | Self-aligned recessed gate process |
US4807016A (en) | 1985-07-15 | 1989-02-21 | Texas Instruments Incorporated | Dry etch of phosphosilicate glass with selectivity to undoped oxide |
US4610775A (en) | 1985-07-26 | 1986-09-09 | Westinghouse Electric Corp. | Method and apparatus for clearing short-circuited, high-voltage cathodes in a sputtering chamber |
US4749440A (en) | 1985-08-28 | 1988-06-07 | Fsi Corporation | Gaseous process and apparatus for removing films from substrates |
US4668335A (en) | 1985-08-30 | 1987-05-26 | Advanced Micro Devices, Inc. | Anti-corrosion treatment for patterning of metallic layers |
US4690746A (en) | 1986-02-24 | 1987-09-01 | Genus, Inc. | Interlayer dielectric process |
US4715937A (en) | 1986-05-05 | 1987-12-29 | The Board Of Trustees Of The Leland Stanford Junior University | Low-temperature direct nitridation of silicon in nitrogen plasma generated by microwave discharge |
US5228501A (en) | 1986-12-19 | 1993-07-20 | Applied Materials, Inc. | Physical vapor deposition clamping mechanism and heater/cooler |
US4960488A (en) | 1986-12-19 | 1990-10-02 | Applied Materials, Inc. | Reactor chamber self-cleaning process |
US5000113A (en) | 1986-12-19 | 1991-03-19 | Applied Materials, Inc. | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
US4951601A (en) | 1986-12-19 | 1990-08-28 | Applied Materials, Inc. | Multi-chamber integrated process system |
JPS63204726A (ja) | 1987-02-20 | 1988-08-24 | Anelva Corp | 真空処理装置 |
US5322976A (en) | 1987-02-24 | 1994-06-21 | Polyonics Corporation | Process for forming polyimide-metal laminates |
DE3856483T2 (de) | 1987-03-18 | 2002-04-18 | Kabushiki Kaisha Toshiba, Kawasaki | Verfahren zur Herstellung von Dünnschichten |
US4793897A (en) | 1987-03-20 | 1988-12-27 | Applied Materials, Inc. | Selective thin film etch process |
US4786360A (en) | 1987-03-30 | 1988-11-22 | International Business Machines Corporation | Anisotropic etch process for tungsten metallurgy |
US5198034A (en) | 1987-03-31 | 1993-03-30 | Epsilon Technology, Inc. | Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment |
DE3884653T2 (de) | 1987-04-03 | 1994-02-03 | Fujitsu Ltd | Verfahren und Vorrichtung zur Gasphasenabscheidung von Diamant. |
US4913929A (en) | 1987-04-21 | 1990-04-03 | The Board Of Trustees Of The Leland Stanford Junior University | Thermal/microwave remote plasma multiprocessing reactor and method of use |
JP2598019B2 (ja) | 1987-06-01 | 1997-04-09 | 富士通株式会社 | 感光体の製造方法 |
US4753898A (en) | 1987-07-09 | 1988-06-28 | Motorola, Inc. | LDD CMOS process |
US4857140A (en) | 1987-07-16 | 1989-08-15 | Texas Instruments Incorporated | Method for etching silicon nitride |
US4828649A (en) | 1987-07-16 | 1989-05-09 | Texas Instruments Incorporated | Method for etching an aluminum film doped with silicon |
US4867841A (en) | 1987-07-16 | 1989-09-19 | Texas Instruments Incorporated | Method for etch of polysilicon film |
US4904621A (en) | 1987-07-16 | 1990-02-27 | Texas Instruments Incorporated | Remote plasma generation process using a two-stage showerhead |
US4820377A (en) | 1987-07-16 | 1989-04-11 | Texas Instruments Incorporated | Method for cleanup processing chamber and vacuum process module |
JPS6432627A (en) | 1987-07-29 | 1989-02-02 | Hitachi Ltd | Low-temperature dry etching method |
US4919750A (en) | 1987-09-14 | 1990-04-24 | International Business Machines Corporation | Etching metal films with complexing chloride plasma |
US4810520A (en) | 1987-09-23 | 1989-03-07 | Magnetic Peripherals Inc. | Method for controlling electroless magnetic plating |
US5180435A (en) | 1987-09-24 | 1993-01-19 | Research Triangle Institute, Inc. | Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer |
WO1989003587A1 (en) | 1987-10-14 | 1989-04-20 | The Furukawa Electric Co., Ltd. | Method and apparatus for thin film formation by plasma cvd |
US4981551A (en) | 1987-11-03 | 1991-01-01 | North Carolina State University | Dry etching of silicon carbide |
US4792378A (en) | 1987-12-15 | 1988-12-20 | Texas Instruments Incorporated | Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor |
JP2804037B2 (ja) | 1988-02-05 | 1998-09-24 | 株式会社東芝 | ドライエッチング方法 |
JPH01297141A (ja) | 1988-05-25 | 1989-11-30 | Canon Inc | マイクロ波プラズマ処理装置 |
US4900856A (en) | 1988-05-26 | 1990-02-13 | Ethyl Corporation | Preparation of metal halide-amine complexes |
JPH029115A (ja) | 1988-06-28 | 1990-01-12 | Mitsubishi Electric Corp | 半導体製造装置 |
JPH02114525A (ja) | 1988-10-24 | 1990-04-26 | Toshiba Corp | 有機化合物膜の除去方法及び除去装置 |
JPH02114530A (ja) | 1988-10-25 | 1990-04-26 | Mitsubishi Electric Corp | 薄膜形成装置 |
KR930004115B1 (ko) | 1988-10-31 | 1993-05-20 | 후지쓰 가부시끼가이샤 | 애싱(ashing)처리방법 및 장치 |
EP0376252B1 (en) | 1988-12-27 | 1997-10-22 | Kabushiki Kaisha Toshiba | Method of removing an oxide film on a substrate |
US4985372A (en) | 1989-02-17 | 1991-01-15 | Tokyo Electron Limited | Method of forming conductive layer including removal of native oxide |
JP2823276B2 (ja) | 1989-03-18 | 1998-11-11 | 株式会社東芝 | X線マスクの製造方法および薄膜の内部応力制御装置 |
US4946903A (en) | 1989-03-27 | 1990-08-07 | The Research Foundation Of State University Of Ny | Oxyfluoropolymers having chemically reactive surface functionality and increased surface energies |
US5186718A (en) | 1989-05-19 | 1993-02-16 | Applied Materials, Inc. | Staged-vacuum wafer processing system and method |
US5061838A (en) | 1989-06-23 | 1991-10-29 | Massachusetts Institute Of Technology | Toroidal electron cyclotron resonance reactor |
US5270125A (en) | 1989-07-11 | 1993-12-14 | Redwood Microsystems, Inc. | Boron nutride membrane in wafer structure |
US4993358A (en) | 1989-07-28 | 1991-02-19 | Watkins-Johnson Company | Chemical vapor deposition reactor and method of operation |
US5013691A (en) | 1989-07-31 | 1991-05-07 | At&T Bell Laboratories | Anisotropic deposition of silicon dioxide |
US5028565A (en) | 1989-08-25 | 1991-07-02 | Applied Materials, Inc. | Process for CVD deposition of tungsten layer on semiconductor wafer |
US4994404A (en) | 1989-08-28 | 1991-02-19 | Motorola, Inc. | Method for forming a lightly-doped drain (LDD) structure in a semiconductor device |
US4980018A (en) | 1989-11-14 | 1990-12-25 | Intel Corporation | Plasma etching process for refractory metal vias |
EP0447155B1 (en) | 1990-03-12 | 1995-07-26 | Ngk Insulators, Ltd. | Wafer heaters for use in semi-conductor-producing apparatus, heating units using such wafer heaters, and production of heaters |
JP2960466B2 (ja) | 1990-03-19 | 1999-10-06 | 株式会社日立製作所 | 半導体デバイスの配線絶縁膜の形成方法及びその装置 |
US5089441A (en) | 1990-04-16 | 1992-02-18 | Texas Instruments Incorporated | Low-temperature in-situ dry cleaning process for semiconductor wafers |
US5328810A (en) | 1990-05-07 | 1994-07-12 | Micron Technology, Inc. | Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process |
US5147692A (en) | 1990-05-08 | 1992-09-15 | Macdermid, Incorporated | Electroless plating of nickel onto surfaces such as copper or fused tungston |
US5069938A (en) | 1990-06-07 | 1991-12-03 | Applied Materials, Inc. | Method of forming a corrosion-resistant protective coating on aluminum substrate |
US5238499A (en) | 1990-07-16 | 1993-08-24 | Novellus Systems, Inc. | Gas-based substrate protection during processing |
US5083030A (en) | 1990-07-18 | 1992-01-21 | Applied Photonics Research | Double-sided radiation-assisted processing apparatus |
US5235139A (en) | 1990-09-12 | 1993-08-10 | Macdermid, Incorprated | Method for fabricating printed circuits |
US5089442A (en) | 1990-09-20 | 1992-02-18 | At&T Bell Laboratories | Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd |
KR930011413B1 (ko) | 1990-09-25 | 1993-12-06 | 가부시키가이샤 한도오따이 에네루기 겐큐쇼 | 펄스형 전자파를 사용한 플라즈마 cvd 법 |
DE69116058T2 (de) | 1990-09-27 | 1996-08-22 | At & T Corp | Verfahren zur Herstellung integrierter Schaltungen |
JPH04142738A (ja) | 1990-10-04 | 1992-05-15 | Sony Corp | ドライエッチング方法 |
US5549780A (en) | 1990-10-23 | 1996-08-27 | Semiconductor Energy Laboratory Co., Ltd. | Method for plasma processing and apparatus for plasma processing |
JPH0817171B2 (ja) | 1990-12-31 | 1996-02-21 | 株式会社半導体エネルギー研究所 | プラズマ発生装置およびそれを用いたエッチング方法 |
JP2640174B2 (ja) | 1990-10-30 | 1997-08-13 | 三菱電機株式会社 | 半導体装置およびその製造方法 |
JP3206916B2 (ja) | 1990-11-28 | 2001-09-10 | 住友電気工業株式会社 | 欠陥濃度低減方法、紫外線透過用光学ガラスの製造方法及び紫外線透過用光学ガラス |
US5578130A (en) | 1990-12-12 | 1996-11-26 | Semiconductor Energy Laboratory Co., Ltd. | Apparatus and method for depositing a film |
EP0519079B1 (en) | 1991-01-08 | 1999-03-03 | Fujitsu Limited | Process for forming silicon oxide film |
JP2697315B2 (ja) | 1991-01-23 | 1998-01-14 | 日本電気株式会社 | フッ素含有シリコン酸化膜の形成方法 |
JP2787142B2 (ja) | 1991-03-01 | 1998-08-13 | 上村工業 株式会社 | 無電解錫、鉛又はそれらの合金めっき方法 |
DE4107006A1 (de) | 1991-03-05 | 1992-09-10 | Siemens Ag | Verfahren zum anisotropen trockenaetzen von aluminium bzw. aluminiumlegierungen enthaltenden leiterbahnebenen in integrierten halbleiterschaltungen |
US5897751A (en) | 1991-03-11 | 1999-04-27 | Regents Of The University Of California | Method of fabricating boron containing coatings |
US5330578A (en) | 1991-03-12 | 1994-07-19 | Semiconductor Energy Laboratory Co., Ltd. | Plasma treatment apparatus |
US5290383A (en) | 1991-03-24 | 1994-03-01 | Tokyo Electron Limited | Plasma-process system with improved end-point detecting scheme |
JPH05508266A (ja) | 1991-04-03 | 1993-11-18 | イーストマン・コダック・カンパニー | GaAsをドライエッチングするための高耐久性マスク |
EP0511448A1 (en) | 1991-04-30 | 1992-11-04 | International Business Machines Corporation | Method and apparatus for in-situ and on-line monitoring of a trench formation process |
JPH04341568A (ja) | 1991-05-16 | 1992-11-27 | Toshiba Corp | 薄膜形成方法及び薄膜形成装置 |
JP2699695B2 (ja) | 1991-06-07 | 1998-01-19 | 日本電気株式会社 | 化学気相成長法 |
US5203911A (en) | 1991-06-24 | 1993-04-20 | Shipley Company Inc. | Controlled electroless plating |
US6077384A (en) | 1994-08-11 | 2000-06-20 | Applied Materials, Inc. | Plasma reactor having an inductive antenna coupling power through a parallel plate electrode |
US6074512A (en) | 1991-06-27 | 2000-06-13 | Applied Materials, Inc. | Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners |
US5279865A (en) | 1991-06-28 | 1994-01-18 | Digital Equipment Corporation | High throughput interlevel dielectric gap filling process |
JPH0521393A (ja) | 1991-07-11 | 1993-01-29 | Sony Corp | プラズマ処理装置 |
JPH0562936A (ja) | 1991-09-03 | 1993-03-12 | Mitsubishi Electric Corp | プラズマ処理装置およびプラズマクリーニング方法 |
US5240497A (en) | 1991-10-08 | 1993-08-31 | Cornell Research Foundation, Inc. | Alkaline free electroless deposition |
JPH05226480A (ja) | 1991-12-04 | 1993-09-03 | Nec Corp | 半導体装置の製造方法 |
US5279669A (en) | 1991-12-13 | 1994-01-18 | International Business Machines Corporation | Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions |
US5290382A (en) | 1991-12-13 | 1994-03-01 | Hughes Aircraft Company | Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films |
US5352636A (en) | 1992-01-16 | 1994-10-04 | Applied Materials, Inc. | In situ method for cleaning silicon surface and forming layer thereon in same chamber |
US5300463A (en) | 1992-03-06 | 1994-04-05 | Micron Technology, Inc. | Method of selectively etching silicon dioxide dielectric layers on semiconductor wafers |
JP3084497B2 (ja) | 1992-03-25 | 2000-09-04 | 東京エレクトロン株式会社 | SiO2膜のエッチング方法 |
JP2773530B2 (ja) | 1992-04-15 | 1998-07-09 | 日本電気株式会社 | 半導体装置の製造方法 |
JP2792335B2 (ja) | 1992-05-27 | 1998-09-03 | 日本電気株式会社 | 半導体装置の製造方法 |
KR100293830B1 (ko) | 1992-06-22 | 2001-09-17 | 리차드 에이치. 로브그렌 | 플라즈마 처리 쳄버내의 잔류물 제거를 위한 플라즈마 정결방법 |
US5286297A (en) | 1992-06-24 | 1994-02-15 | Texas Instruments Incorporated | Multi-electrode plasma processing apparatus |
US5534072A (en) | 1992-06-24 | 1996-07-09 | Anelva Corporation | Integrated module multi-chamber CVD processing system and its method for processing subtrates |
US5252178A (en) | 1992-06-24 | 1993-10-12 | Texas Instruments Incorporated | Multi-zone plasma processing method and apparatus |
JP3688726B2 (ja) | 1992-07-17 | 2005-08-31 | 株式会社東芝 | 半導体装置の製造方法 |
US5380560A (en) | 1992-07-28 | 1995-01-10 | International Business Machines Corporation | Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition |
US5248371A (en) | 1992-08-13 | 1993-09-28 | General Signal Corporation | Hollow-anode glow discharge apparatus |
US5292370A (en) | 1992-08-14 | 1994-03-08 | Martin Marietta Energy Systems, Inc. | Coupled microwave ECR and radio-frequency plasma source for plasma processing |
US5271972A (en) | 1992-08-17 | 1993-12-21 | Applied Materials, Inc. | Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity |
US5326427A (en) | 1992-09-11 | 1994-07-05 | Lsi Logic Corporation | Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation |
US5306530A (en) | 1992-11-23 | 1994-04-26 | Associated Universities, Inc. | Method for producing high quality thin layer films on substrates |
JP2809018B2 (ja) | 1992-11-26 | 1998-10-08 | 日本電気株式会社 | 半導体装置およびその製造方法 |
KR100238629B1 (ko) | 1992-12-17 | 2000-01-15 | 히가시 데쓰로 | 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치 |
US5500249A (en) | 1992-12-22 | 1996-03-19 | Applied Materials, Inc. | Uniform tungsten silicide films produced by chemical vapor deposition |
US5756402A (en) | 1992-12-28 | 1998-05-26 | Kabushiki Kaisha Toshiba | Method of etching silicon nitride film |
US5624582A (en) | 1993-01-21 | 1997-04-29 | Vlsi Technology, Inc. | Optimization of dry etching through the control of helium backside pressure |
US5366585A (en) | 1993-01-28 | 1994-11-22 | Applied Materials, Inc. | Method and apparatus for protection of conductive surfaces in a plasma processing reactor |
US5345999A (en) | 1993-03-17 | 1994-09-13 | Applied Materials, Inc. | Method and apparatus for cooling semiconductor wafers |
US5302233A (en) | 1993-03-19 | 1994-04-12 | Micron Semiconductor, Inc. | Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP) |
JP3236111B2 (ja) | 1993-03-31 | 2001-12-10 | キヤノン株式会社 | プラズマ処理装置及び処理方法 |
US5800686A (en) | 1993-04-05 | 1998-09-01 | Applied Materials, Inc. | Chemical vapor deposition chamber with substrate edge protection |
JP2664866B2 (ja) | 1993-04-09 | 1997-10-22 | インターナショナル・ビジネス・マシーンズ・コーポレイション | 窒化ホウ素をエッチングする方法 |
US5416048A (en) | 1993-04-16 | 1995-05-16 | Micron Semiconductor, Inc. | Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage |
EP0628644B1 (en) | 1993-05-27 | 2003-04-02 | Applied Materials, Inc. | Improvements in or relating to susceptors suitable for use in chemical vapour deposition devices |
US5591269A (en) | 1993-06-24 | 1997-01-07 | Tokyo Electron Limited | Vacuum processing apparatus |
US5292682A (en) | 1993-07-06 | 1994-03-08 | Eastman Kodak Company | Method of making two-phase charge coupled device |
US5413670A (en) | 1993-07-08 | 1995-05-09 | Air Products And Chemicals, Inc. | Method for plasma etching or cleaning with diluted NF3 |
US5560779A (en) | 1993-07-12 | 1996-10-01 | Olin Corporation | Apparatus for synthesizing diamond films utilizing an arc plasma |
WO1995002900A1 (en) | 1993-07-15 | 1995-01-26 | Astarix, Inc. | Aluminum-palladium alloy for initiation of electroless plating |
EP0637063B1 (en) | 1993-07-30 | 1999-11-03 | Applied Materials, Inc. | Method for depositing silicon nitride on silicium surfaces |
US5483920A (en) | 1993-08-05 | 1996-01-16 | Board Of Governors Of Wayne State University | Method of forming cubic boron nitride films |
US5685946A (en) | 1993-08-11 | 1997-11-11 | The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration | Method of producing buried porous silicon-geramanium layers in monocrystalline silicon lattices |
US5468597A (en) | 1993-08-25 | 1995-11-21 | Shipley Company, L.L.C. | Selective metallization process |
US5614055A (en) | 1993-08-27 | 1997-03-25 | Applied Materials, Inc. | High density plasma CVD and etching reactor |
US5865896A (en) | 1993-08-27 | 1999-02-02 | Applied Materials, Inc. | High density plasma CVD reactor with combined inductive and capacitive coupling |
US5384284A (en) | 1993-10-01 | 1995-01-24 | Micron Semiconductor, Inc. | Method to form a low resistant bond pad interconnect |
SE501888C2 (sv) | 1993-10-18 | 1995-06-12 | Ladislav Bardos | En metod och en apparat för generering av en urladdning i egna ångor från en radiofrekvenselektrod för kontinuerlig självförstoftning av elektroden |
US5505816A (en) | 1993-12-16 | 1996-04-09 | International Business Machines Corporation | Etching of silicon dioxide selectively to silicon nitride and polysilicon |
US5415890A (en) | 1994-01-03 | 1995-05-16 | Eaton Corporation | Modular apparatus and method for surface treatment of parts with liquid baths |
US5403434A (en) | 1994-01-06 | 1995-04-04 | Texas Instruments Incorporated | Low-temperature in-situ dry cleaning process for semiconductor wafer |
JP3188363B2 (ja) | 1994-01-21 | 2001-07-16 | エフエスアイ・インターナショナル・インコーポレーテッド | 循環クーラントを用いた温度コントローラ及びそのための温度制御方法 |
US5399237A (en) | 1994-01-27 | 1995-03-21 | Applied Materials, Inc. | Etching titanium nitride using carbon-fluoride and carbon-oxide gas |
US5451259A (en) | 1994-02-17 | 1995-09-19 | Krogh; Ole D. | ECR plasma source for remote processing |
US5439553A (en) | 1994-03-30 | 1995-08-08 | Penn State Research Foundation | Controlled etching of oxides via gas phase reactions |
DE69531880T2 (de) | 1994-04-28 | 2004-09-09 | Applied Materials, Inc., Santa Clara | Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung |
US5468342A (en) | 1994-04-28 | 1995-11-21 | Cypress Semiconductor Corp. | Method of etching an oxide layer |
US6110838A (en) | 1994-04-29 | 2000-08-29 | Texas Instruments Incorporated | Isotropic polysilicon plus nitride stripping |
US5531835A (en) | 1994-05-18 | 1996-07-02 | Applied Materials, Inc. | Patterned susceptor to reduce electrostatic force in a CVD chamber |
US5665640A (en) | 1994-06-03 | 1997-09-09 | Sony Corporation | Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor |
US5628829A (en) | 1994-06-03 | 1997-05-13 | Materials Research Corporation | Method and apparatus for low temperature deposition of CVD and PECVD films |
US5580421A (en) | 1994-06-14 | 1996-12-03 | Fsi International | Apparatus for surface conditioning |
US5767373A (en) | 1994-06-16 | 1998-06-16 | Novartis Finance Corporation | Manipulation of protoporphyrinogen oxidase enzyme activity in eukaryotic organisms |
JP3501524B2 (ja) | 1994-07-01 | 2004-03-02 | 東京エレクトロン株式会社 | 処理装置の真空排気システム |
JP3411678B2 (ja) | 1994-07-08 | 2003-06-03 | 東京エレクトロン株式会社 | 処理装置 |
US5592358A (en) | 1994-07-18 | 1997-01-07 | Applied Materials, Inc. | Electrostatic chuck for magnetic flux processing |
US5563105A (en) | 1994-09-30 | 1996-10-08 | International Business Machines Corporation | PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element |
JPH08107101A (ja) | 1994-10-03 | 1996-04-23 | Fujitsu Ltd | プラズマ処理装置及びプラズマ処理方法 |
US5558717A (en) | 1994-11-30 | 1996-09-24 | Applied Materials | CVD Processing chamber |
TW344897B (en) | 1994-11-30 | 1998-11-11 | At&T Tcorporation | A process for forming gate oxides possessing different thicknesses on a semiconductor substrate |
CN1053764C (zh) | 1994-12-09 | 2000-06-21 | 中国科学院微电子中心 | 束致变蚀方法 |
US5792376A (en) | 1995-01-06 | 1998-08-11 | Kabushiki Kaisha Toshiba | Plasma processing apparatus and plasma processing method |
US5772770A (en) | 1995-01-27 | 1998-06-30 | Kokusai Electric Co, Ltd. | Substrate processing apparatus |
JPH08279495A (ja) | 1995-02-07 | 1996-10-22 | Seiko Epson Corp | プラズマ処理装置及びその方法 |
US5571576A (en) | 1995-02-10 | 1996-11-05 | Watkins-Johnson | Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition |
US5670066A (en) | 1995-03-17 | 1997-09-23 | Lam Research Corporation | Vacuum plasma processing wherein workpiece position is detected prior to chuck being activated |
US6039851A (en) | 1995-03-22 | 2000-03-21 | Micron Technology, Inc. | Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines |
JPH08264510A (ja) | 1995-03-27 | 1996-10-11 | Toshiba Corp | シリコン窒化膜のエッチング方法およびエッチング装置 |
US5571577A (en) | 1995-04-07 | 1996-11-05 | Board Of Trustees Operating Michigan State University | Method and apparatus for plasma treatment of a surface |
JP3270852B2 (ja) | 1995-04-20 | 2002-04-02 | 東京エレクトロン株式会社 | 圧力調整装置及びこれを用いた部屋の連通方法 |
US20010028922A1 (en) | 1995-06-07 | 2001-10-11 | Sandhu Gurtej S. | High throughput ILD fill process for high aspect ratio gap fill |
TW434745B (en) | 1995-06-07 | 2001-05-16 | Tokyo Electron Ltd | Plasma processing apparatus |
JP3599204B2 (ja) | 1995-06-08 | 2004-12-08 | アネルバ株式会社 | Cvd装置 |
JP2814370B2 (ja) | 1995-06-18 | 1998-10-22 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US5997962A (en) | 1995-06-30 | 1999-12-07 | Tokyo Electron Limited | Plasma process utilizing an electrostatic chuck |
US6197364B1 (en) | 1995-08-22 | 2001-03-06 | International Business Machines Corporation | Production of electroless Co(P) with designed coercivity |
US5755859A (en) | 1995-08-24 | 1998-05-26 | International Business Machines Corporation | Cobalt-tin alloys and their applications for devices, chip interconnections and packaging |
US6053982A (en) | 1995-09-01 | 2000-04-25 | Asm America, Inc. | Wafer support system |
US6228751B1 (en) | 1995-09-08 | 2001-05-08 | Semiconductor Energy Laboratory Co., Ltd. | Method of manufacturing a semiconductor device |
US5719085A (en) | 1995-09-29 | 1998-02-17 | Intel Corporation | Shallow trench isolation technique |
US5716506A (en) | 1995-10-06 | 1998-02-10 | Board Of Trustees Of The University Of Illinois | Electrochemical sensors for gas detection |
JPH09106898A (ja) | 1995-10-09 | 1997-04-22 | Anelva Corp | プラズマcvd装置、プラズマ処理装置及びプラズマcvd方法 |
US5635086A (en) | 1995-10-10 | 1997-06-03 | The Esab Group, Inc. | Laser-plasma arc metal cutting apparatus |
JPH09106899A (ja) | 1995-10-11 | 1997-04-22 | Anelva Corp | プラズマcvd装置及び方法並びにドライエッチング装置及び方法 |
US5814238A (en) | 1995-10-12 | 1998-09-29 | Sandia Corporation | Method for dry etching of transition metals |
US5910340A (en) | 1995-10-23 | 1999-06-08 | C. Uyemura & Co., Ltd. | Electroless nickel plating solution and method |
US6015724A (en) | 1995-11-02 | 2000-01-18 | Semiconductor Energy Laboratory Co. | Manufacturing method of a semiconductor device |
US5599740A (en) | 1995-11-16 | 1997-02-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Deposit-etch-deposit ozone/teos insulator layer method |
US5648125A (en) | 1995-11-16 | 1997-07-15 | Cane; Frank N. | Electroless plating process for the manufacture of printed circuit boards |
US5846598A (en) | 1995-11-30 | 1998-12-08 | International Business Machines Corporation | Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating |
US5756400A (en) | 1995-12-08 | 1998-05-26 | Applied Materials, Inc. | Method and apparatus for cleaning by-products from plasma chamber surfaces |
US5733816A (en) | 1995-12-13 | 1998-03-31 | Micron Technology, Inc. | Method for depositing a tungsten layer on silicon |
US6261637B1 (en) | 1995-12-15 | 2001-07-17 | Enthone-Omi, Inc. | Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication |
EP0811083B1 (en) | 1995-12-19 | 2000-05-31 | FSI International | Electroless deposition of metal films with spray processor |
US5883012A (en) | 1995-12-21 | 1999-03-16 | Motorola, Inc. | Method of etching a trench into a semiconductor substrate |
DE69623651T2 (de) | 1995-12-27 | 2003-04-24 | Lam Research Corp., Fremont | Verfahren zur füllung von gräben auf einer halbleiterscheibe |
US5679606A (en) | 1995-12-27 | 1997-10-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | method of forming inter-metal-dielectric structure |
WO1997024760A1 (fr) | 1995-12-28 | 1997-07-10 | Nippon Sanso Corporation | Procede et dispositif de transfert de substrats en plaques minces |
US5674787A (en) | 1996-01-16 | 1997-10-07 | Sematech, Inc. | Selective electroless copper deposited interconnect plugs for ULSI applications |
US5891513A (en) | 1996-01-16 | 1999-04-06 | Cornell Research Foundation | Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications |
US5824599A (en) | 1996-01-16 | 1998-10-20 | Cornell Research Foundation, Inc. | Protected encapsulation of catalytic layer for electroless copper interconnect |
US6036878A (en) | 1996-02-02 | 2000-03-14 | Applied Materials, Inc. | Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna |
US5872052A (en) | 1996-02-12 | 1999-02-16 | Micron Technology, Inc. | Planarization using plasma oxidized amorphous silicon |
US5648175A (en) | 1996-02-14 | 1997-07-15 | Applied Materials, Inc. | Chemical vapor deposition reactor system and integrated circuit |
US6004884A (en) | 1996-02-15 | 1999-12-21 | Lam Research Corporation | Methods and apparatus for etching semiconductor wafers |
TW335517B (en) | 1996-03-01 | 1998-07-01 | Hitachi Ltd | Apparatus and method for processing plasma |
US5656093A (en) | 1996-03-08 | 1997-08-12 | Applied Materials, Inc. | Wafer spacing mask for a substrate support chuck and method of fabricating same |
JPH09260356A (ja) | 1996-03-22 | 1997-10-03 | Toshiba Corp | ドライエッチング方法 |
US6065425A (en) | 1996-03-25 | 2000-05-23 | Canon Kabushiki Kaisha | Plasma process apparatus and plasma process method |
DE69739101D1 (de) | 1996-03-25 | 2008-12-24 | S George Lesinski | Microantriebsbefestigung für implantierbares hörhilfegerät |
US5858876A (en) | 1996-04-01 | 1999-01-12 | Chartered Semiconductor Manufacturing, Ltd. | Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer |
US5712185A (en) | 1996-04-23 | 1998-01-27 | United Microelectronics | Method for forming shallow trench isolation |
US5843847A (en) | 1996-04-29 | 1998-12-01 | Applied Materials, Inc. | Method for etching dielectric layers with high selectivity and low microloading |
US6176667B1 (en) | 1996-04-30 | 2001-01-23 | Applied Materials, Inc. | Multideck wafer processing system |
KR100230981B1 (ko) | 1996-05-08 | 1999-11-15 | 김광호 | 반도체장치 제조공정의 플라즈마 식각 방법 |
US5660957A (en) | 1996-05-16 | 1997-08-26 | Fujitsu Limited | Electron-beam treatment procedure for patterned mask layers |
US6048798A (en) | 1996-06-05 | 2000-04-11 | Lam Research Corporation | Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer |
US5820723A (en) | 1996-06-05 | 1998-10-13 | Lam Research Corporation | Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support |
US5863376A (en) | 1996-06-05 | 1999-01-26 | Lam Research Corporation | Temperature controlling method and apparatus for a plasma processing chamber |
TW409152B (en) | 1996-06-13 | 2000-10-21 | Samsung Electronic | Etching gas composition for ferroelectric capacitor electrode film and method for etching a transition metal thin film |
US5846373A (en) | 1996-06-28 | 1998-12-08 | Lam Research Corporation | Method for monitoring process endpoints in a plasma chamber and a process monitoring arrangement in a plasma chamber |
US5846883A (en) | 1996-07-10 | 1998-12-08 | Cvc, Inc. | Method for multi-zone high-density inductively-coupled plasma generation |
US5846332A (en) | 1996-07-12 | 1998-12-08 | Applied Materials, Inc. | Thermally floating pedestal collar in a chemical vapor deposition chamber |
US5993916A (en) | 1996-07-12 | 1999-11-30 | Applied Materials, Inc. | Method for substrate processing with improved throughput and yield |
US6170428B1 (en) | 1996-07-15 | 2001-01-09 | Applied Materials, Inc. | Symmetric tunable inductively coupled HDP-CVD reactor |
US5781693A (en) | 1996-07-24 | 1998-07-14 | Applied Materials, Inc. | Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween |
US5661093A (en) | 1996-09-12 | 1997-08-26 | Applied Materials, Inc. | Method for the stabilization of halogen-doped films through the use of multiple sealing layers |
US5888906A (en) | 1996-09-16 | 1999-03-30 | Micron Technology, Inc. | Plasmaless dry contact cleaning method using interhalogen compounds |
US5747373A (en) | 1996-09-24 | 1998-05-05 | Taiwan Semiconductor Manufacturing Company Ltd. | Nitride-oxide sidewall spacer for salicide formation |
US5846375A (en) | 1996-09-26 | 1998-12-08 | Micron Technology, Inc. | Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment |
US5835334A (en) | 1996-09-30 | 1998-11-10 | Lam Research | Variable high temperature chuck for high density plasma chemical vapor deposition |
US5904827A (en) | 1996-10-15 | 1999-05-18 | Reynolds Tech Fabricators, Inc. | Plating cell with rotary wiper and megasonic transducer |
US5951776A (en) | 1996-10-25 | 1999-09-14 | Applied Materials, Inc. | Self aligning lift mechanism |
KR100237825B1 (ko) | 1996-11-05 | 2000-01-15 | 윤종용 | 반도체장치 제조설비의 페디스탈 |
US5804259A (en) | 1996-11-07 | 1998-09-08 | Applied Materials, Inc. | Method and apparatus for depositing a multilayered low dielectric constant film |
US5935340A (en) | 1996-11-13 | 1999-08-10 | Applied Materials, Inc. | Method and apparatus for gettering fluorine from chamber material surfaces |
US5812403A (en) | 1996-11-13 | 1998-09-22 | Applied Materials, Inc. | Methods and apparatus for cleaning surfaces in a substrate processing system |
US5963840A (en) | 1996-11-13 | 1999-10-05 | Applied Materials, Inc. | Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions |
US5935334A (en) | 1996-11-13 | 1999-08-10 | Applied Materials, Inc. | Substrate processing apparatus with bottom-mounted remote plasma system |
US5968587A (en) | 1996-11-13 | 1999-10-19 | Applied Materials, Inc. | Systems and methods for controlling the temperature of a vapor deposition apparatus |
US5994209A (en) | 1996-11-13 | 1999-11-30 | Applied Materials, Inc. | Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films |
US6019848A (en) | 1996-11-13 | 2000-02-01 | Applied Materials, Inc. | Lid assembly for high temperature processing chamber |
US5939831A (en) | 1996-11-13 | 1999-08-17 | Applied Materials, Inc. | Methods and apparatus for pre-stabilized plasma generation for microwave clean applications |
US6114216A (en) | 1996-11-13 | 2000-09-05 | Applied Materials, Inc. | Methods for shallow trench isolation |
US5873781A (en) | 1996-11-14 | 1999-02-23 | Bally Gaming International, Inc. | Gaming machine having truly random results |
US5882786A (en) | 1996-11-15 | 1999-03-16 | C3, Inc. | Gemstones formed of silicon carbide with diamond coating |
US5855681A (en) | 1996-11-18 | 1999-01-05 | Applied Materials, Inc. | Ultra high throughput wafer vacuum processing system |
US5844195A (en) | 1996-11-18 | 1998-12-01 | Applied Materials, Inc. | Remote plasma source |
US5830805A (en) | 1996-11-18 | 1998-11-03 | Cornell Research Foundation | Electroless deposition equipment or apparatus and method of performing electroless deposition |
US6152070A (en) | 1996-11-18 | 2000-11-28 | Applied Materials, Inc. | Tandem process chamber |
US5695810A (en) | 1996-11-20 | 1997-12-09 | Cornell Research Foundation, Inc. | Use of cobalt tungsten phosphide as a barrier material for copper metallization |
FR2756663B1 (fr) | 1996-12-04 | 1999-02-26 | Berenguer Marc | Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface |
US5951896A (en) | 1996-12-04 | 1999-09-14 | Micro C Technologies, Inc. | Rapid thermal processing heater technology and method of use |
US6312554B1 (en) | 1996-12-05 | 2001-11-06 | Applied Materials, Inc. | Apparatus and method for controlling the ratio of reactive to non-reactive ions in a semiconductor wafer processing chamber |
JPH10172792A (ja) | 1996-12-05 | 1998-06-26 | Tokyo Electron Ltd | プラズマ処理装置 |
US5843538A (en) | 1996-12-09 | 1998-12-01 | John L. Raymond | Method for electroless nickel plating of metal substrates |
JP3334578B2 (ja) * | 1996-12-16 | 2002-10-15 | 信越半導体株式会社 | シリコン単結晶薄膜の製造方法 |
US5948702A (en) | 1996-12-19 | 1999-09-07 | Texas Instruments Incorporated | Selective removal of TixNy |
US5953635A (en) | 1996-12-19 | 1999-09-14 | Intel Corporation | Interlayer dielectric with a composite dielectric stack |
US6120640A (en) | 1996-12-19 | 2000-09-19 | Applied Materials, Inc. | Boron carbide parts and coatings in a plasma reactor |
KR100234539B1 (ko) | 1996-12-24 | 1999-12-15 | 윤종용 | 반도체장치 제조용 식각 장치 |
US5788825A (en) | 1996-12-30 | 1998-08-04 | Samsung Electronics Co., Ltd. | Vacuum pumping system for a sputtering device |
US5955037A (en) | 1996-12-31 | 1999-09-21 | Atmi Ecosys Corporation | Effluent gas stream treatment system having utility for oxidation treatment of semiconductor manufacturing effluent gases |
DE19700231C2 (de) | 1997-01-07 | 2001-10-04 | Geesthacht Gkss Forschung | Vorrichtung zum Filtern und Trennen von Strömungsmedien |
US5913147A (en) | 1997-01-21 | 1999-06-15 | Advanced Micro Devices, Inc. | Method for fabricating copper-aluminum metallization |
US5882424A (en) | 1997-01-21 | 1999-03-16 | Applied Materials, Inc. | Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field |
JPH10223608A (ja) | 1997-02-04 | 1998-08-21 | Sony Corp | 半導体装置の製造方法 |
US5800621A (en) | 1997-02-10 | 1998-09-01 | Applied Materials, Inc. | Plasma source for HDP-CVD chamber |
US6035101A (en) | 1997-02-12 | 2000-03-07 | Applied Materials, Inc. | High temperature multi-layered alloy heater assembly and related methods |
US6013584A (en) | 1997-02-19 | 2000-01-11 | Applied Materials, Inc. | Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications |
US5990000A (en) | 1997-02-20 | 1999-11-23 | Applied Materials, Inc. | Method and apparatus for improving gap-fill capability using chemical and physical etchbacks |
US6190233B1 (en) | 1997-02-20 | 2001-02-20 | Applied Materials, Inc. | Method and apparatus for improving gap-fill capability using chemical and physical etchbacks |
US6479373B2 (en) | 1997-02-20 | 2002-11-12 | Infineon Technologies Ag | Method of structuring layers with a polysilicon layer and an overlying metal or metal silicide layer using a three step etching process with fluorine, chlorine, bromine containing gases |
DE19706682C2 (de) | 1997-02-20 | 1999-01-14 | Bosch Gmbh Robert | Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium |
US6059643A (en) | 1997-02-21 | 2000-05-09 | Aplex, Inc. | Apparatus and method for polishing a flat surface using a belted polishing pad |
US6328803B2 (en) | 1997-02-21 | 2001-12-11 | Micron Technology, Inc. | Method and apparatus for controlling rate of pressure change in a vacuum process chamber |
US5789300A (en) | 1997-02-25 | 1998-08-04 | Advanced Micro Devices, Inc. | Method of making IGFETs in densely and sparsely populated areas of a substrate |
KR100295518B1 (ko) | 1997-02-25 | 2001-11-30 | 아끼구사 나오유끼 | 질화실리콘층의에칭방법및반도체장치의제조방법 |
US6039834A (en) | 1997-03-05 | 2000-03-21 | Applied Materials, Inc. | Apparatus and methods for upgraded substrate processing system with microwave plasma source |
TW418461B (en) | 1997-03-07 | 2001-01-11 | Tokyo Electron Ltd | Plasma etching device |
US5850105A (en) | 1997-03-21 | 1998-12-15 | Advanced Micro Devices, Inc. | Substantially planar semiconductor topography using dielectrics and chemical mechanical polish |
TW376547B (en) | 1997-03-27 | 1999-12-11 | Matsushita Electric Ind Co Ltd | Method and apparatus for plasma processing |
US5786276A (en) | 1997-03-31 | 1998-07-28 | Applied Materials, Inc. | Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2 |
US6030666A (en) | 1997-03-31 | 2000-02-29 | Lam Research Corporation | Method for microwave plasma substrate heating |
US6017414A (en) | 1997-03-31 | 2000-01-25 | Lam Research Corporation | Method of and apparatus for detecting and controlling in situ cleaning time of vacuum processing chambers |
US5968610A (en) | 1997-04-02 | 1999-10-19 | United Microelectronics Corp. | Multi-step high density plasma chemical vapor deposition process |
JPH10284360A (ja) | 1997-04-02 | 1998-10-23 | Hitachi Ltd | 基板温度制御装置及び方法 |
US5866483A (en) | 1997-04-04 | 1999-02-02 | Applied Materials, Inc. | Method for anisotropically etching tungsten using SF6, CHF3, and N2 |
US6174450B1 (en) | 1997-04-16 | 2001-01-16 | Lam Research Corporation | Methods and apparatus for controlling ion energy and plasma density in a plasma processing system |
US6149828A (en) | 1997-05-05 | 2000-11-21 | Micron Technology, Inc. | Supercritical etching compositions and method of using same |
US6204200B1 (en) | 1997-05-05 | 2001-03-20 | Texas Instruments Incorporated | Process scheme to form controlled airgaps between interconnect lines to reduce capacitance |
US5969422A (en) | 1997-05-15 | 1999-10-19 | Advanced Micro Devices, Inc. | Plated copper interconnect structure |
US6083344A (en) | 1997-05-29 | 2000-07-04 | Applied Materials, Inc. | Multi-zone RF inductively coupled source configuration |
US6189483B1 (en) | 1997-05-29 | 2001-02-20 | Applied Materials, Inc. | Process kit |
US6136685A (en) | 1997-06-03 | 2000-10-24 | Applied Materials, Inc. | High deposition rate recipe for low dielectric constant films |
US5937323A (en) | 1997-06-03 | 1999-08-10 | Applied Materials, Inc. | Sequencing of the recipe steps for the optimal low-k HDP-CVD processing |
US6706334B1 (en) | 1997-06-04 | 2004-03-16 | Tokyo Electron Limited | Processing method and apparatus for removing oxide film |
US5872058A (en) | 1997-06-17 | 1999-02-16 | Novellus Systems, Inc. | High aspect ratio gapfill process by using HDP |
US5885749A (en) | 1997-06-20 | 1999-03-23 | Clear Logic, Inc. | Method of customizing integrated circuits by selective secondary deposition of layer interconnect material |
US5933757A (en) | 1997-06-23 | 1999-08-03 | Lsi Logic Corporation | Etch process selective to cobalt silicide for formation of integrated circuit structures |
US6815633B1 (en) | 1997-06-26 | 2004-11-09 | Applied Science & Technology, Inc. | Inductively-coupled toroidal plasma source |
US6388226B1 (en) | 1997-06-26 | 2002-05-14 | Applied Science And Technology, Inc. | Toroidal low-field reactive gas source |
US6150628A (en) | 1997-06-26 | 2000-11-21 | Applied Science And Technology, Inc. | Toroidal low-field reactive gas source |
US6518155B1 (en) | 1997-06-30 | 2003-02-11 | Intel Corporation | Device structure and method for reducing silicide encroachment |
US6184121B1 (en) | 1997-07-10 | 2001-02-06 | International Business Machines Corporation | Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same |
US6037273A (en) | 1997-07-11 | 2000-03-14 | Applied Materials, Inc. | Method and apparatus for insitu vapor generation |
US5944049A (en) | 1997-07-15 | 1999-08-31 | Applied Materials, Inc. | Apparatus and method for regulating a pressure in a chamber |
JPH1136076A (ja) | 1997-07-16 | 1999-02-09 | Tokyo Electron Ltd | Cvd成膜装置およびcvd成膜方法 |
US6090212A (en) | 1997-08-15 | 2000-07-18 | Micro C Technologies, Inc. | Substrate platform for a semiconductor substrate during rapid high temperature processing and method of supporting a substrate |
US5814365A (en) | 1997-08-15 | 1998-09-29 | Micro C Technologies, Inc. | Reactor and method of processing a semiconductor substate |
US6007635A (en) | 1997-11-26 | 1999-12-28 | Micro C Technologies, Inc. | Platform for supporting a semiconductor substrate and method of supporting a substrate during rapid high temperature processing |
US5926737A (en) | 1997-08-19 | 1999-07-20 | Tokyo Electron Limited | Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing |
US6258170B1 (en) | 1997-09-11 | 2001-07-10 | Applied Materials, Inc. | Vaporization and deposition apparatus |
US6063688A (en) | 1997-09-29 | 2000-05-16 | Intel Corporation | Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition |
US6161500A (en) | 1997-09-30 | 2000-12-19 | Tokyo Electron Limited | Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions |
US6364957B1 (en) | 1997-10-09 | 2002-04-02 | Applied Materials, Inc. | Support assembly with thermal expansion compensation |
US6688375B1 (en) | 1997-10-14 | 2004-02-10 | Applied Materials, Inc. | Vacuum processing system having improved substrate heating and cooling |
GB9722028D0 (en) | 1997-10-17 | 1997-12-17 | Shipley Company Ll C | Plating of polymers |
US6379575B1 (en) | 1997-10-21 | 2002-04-30 | Applied Materials, Inc. | Treatment of etching chambers using activated cleaning gas |
US6136693A (en) | 1997-10-27 | 2000-10-24 | Chartered Semiconductor Manufacturing Ltd. | Method for planarized interconnect vias using electroless plating and CMP |
US6013191A (en) | 1997-10-27 | 2000-01-11 | Advanced Refractory Technologies, Inc. | Method of polishing CVD diamond films by oxygen plasma |
US6063712A (en) | 1997-11-25 | 2000-05-16 | Micron Technology, Inc. | Oxide etch and method of etching |
US5849639A (en) | 1997-11-26 | 1998-12-15 | Lucent Technologies Inc. | Method for removing etching residues and contaminants |
US6136165A (en) | 1997-11-26 | 2000-10-24 | Cvc Products, Inc. | Apparatus for inductively-coupled-plasma-enhanced ionized physical-vapor deposition |
US6079356A (en) | 1997-12-02 | 2000-06-27 | Applied Materials, Inc. | Reactor optimized for chemical vapor deposition of titanium |
US6077780A (en) | 1997-12-03 | 2000-06-20 | Advanced Micro Devices, Inc. | Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure |
US5976327A (en) | 1997-12-12 | 1999-11-02 | Applied Materials, Inc. | Step coverage and overhang improvement by pedestal bias voltage modulation |
US6143476A (en) | 1997-12-12 | 2000-11-07 | Applied Materials Inc | Method for high temperature etching of patterned layers using an organic mask stack |
US6083844A (en) | 1997-12-22 | 2000-07-04 | Lam Research Corporation | Techniques for etching an oxide layer |
US6415858B1 (en) | 1997-12-31 | 2002-07-09 | Temptronic Corporation | Temperature control system for a workpiece chuck |
US6406759B1 (en) | 1998-01-08 | 2002-06-18 | The University Of Tennessee Research Corporation | Remote exposure of workpieces using a recirculated plasma |
US6140234A (en) | 1998-01-20 | 2000-10-31 | International Business Machines Corporation | Method to selectively fill recesses with conductive metal |
US6074514A (en) | 1998-02-09 | 2000-06-13 | Applied Materials, Inc. | High selectivity etch using an external plasma discharge |
US6635578B1 (en) | 1998-02-09 | 2003-10-21 | Applied Materials, Inc | Method of operating a dual chamber reactor with neutral density decoupled from ion density |
US5932077A (en) | 1998-02-09 | 1999-08-03 | Reynolds Tech Fabricators, Inc. | Plating cell with horizontal product load mechanism |
US6627532B1 (en) | 1998-02-11 | 2003-09-30 | Applied Materials, Inc. | Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition |
US6186091B1 (en) | 1998-02-11 | 2001-02-13 | Silicon Genesis Corporation | Shielded platen design for plasma immersion ion implantation |
US6340435B1 (en) | 1998-02-11 | 2002-01-22 | Applied Materials, Inc. | Integrated low K dielectrics and etch stops |
US6054379A (en) | 1998-02-11 | 2000-04-25 | Applied Materials, Inc. | Method of depositing a low k dielectric with organo silane |
US6197688B1 (en) | 1998-02-12 | 2001-03-06 | Motorola Inc. | Interconnect structure in a semiconductor device and method of formation |
US6171661B1 (en) | 1998-02-25 | 2001-01-09 | Applied Materials, Inc. | Deposition of copper with increased adhesion |
JP4151862B2 (ja) | 1998-02-26 | 2008-09-17 | キヤノンアネルバ株式会社 | Cvd装置 |
US6892669B2 (en) | 1998-02-26 | 2005-05-17 | Anelva Corporation | CVD apparatus |
US6551939B2 (en) | 1998-03-17 | 2003-04-22 | Anneal Corporation | Plasma surface treatment method and resulting device |
US5920792A (en) | 1998-03-19 | 1999-07-06 | Winbond Electronics Corp | High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers |
US6197181B1 (en) | 1998-03-20 | 2001-03-06 | Semitool, Inc. | Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece |
US6194038B1 (en) | 1998-03-20 | 2001-02-27 | Applied Materials, Inc. | Method for deposition of a conformal layer on a substrate |
US6565729B2 (en) | 1998-03-20 | 2003-05-20 | Semitool, Inc. | Method for electrochemically depositing metal on a semiconductor workpiece |
US6602434B1 (en) | 1998-03-27 | 2003-08-05 | Applied Materials, Inc. | Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window |
US6203657B1 (en) | 1998-03-31 | 2001-03-20 | Lam Research Corporation | Inductively coupled plasma downstream strip module |
US6395150B1 (en) | 1998-04-01 | 2002-05-28 | Novellus Systems, Inc. | Very high aspect ratio gapfill using HDP |
JP2002510878A (ja) | 1998-04-02 | 2002-04-09 | アプライド マテリアルズ インコーポレイテッド | 低k誘電体をエッチングする方法 |
US6198616B1 (en) | 1998-04-03 | 2001-03-06 | Applied Materials, Inc. | Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system |
US6174810B1 (en) | 1998-04-06 | 2001-01-16 | Motorola, Inc. | Copper interconnect structure and method of formation |
US6117245A (en) | 1998-04-08 | 2000-09-12 | Applied Materials, Inc. | Method and apparatus for controlling cooling and heating fluids for a gas distribution plate |
US5997649A (en) | 1998-04-09 | 1999-12-07 | Tokyo Electron Limited | Stacked showerhead assembly for delivering gases and RF power to a reaction chamber |
US6113771A (en) | 1998-04-21 | 2000-09-05 | Applied Materials, Inc. | Electro deposition chemistry |
US6416647B1 (en) | 1998-04-21 | 2002-07-09 | Applied Materials, Inc. | Electro-chemical deposition cell for face-up processing of single semiconductor substrates |
US6179924B1 (en) | 1998-04-28 | 2001-01-30 | Applied Materials, Inc. | Heater for use in substrate processing apparatus to deposit tungsten |
US6093594A (en) | 1998-04-29 | 2000-07-25 | Advanced Micro Devices, Inc. | CMOS optimization method utilizing sacrificial sidewall spacer |
US6081414A (en) | 1998-05-01 | 2000-06-27 | Applied Materials, Inc. | Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system |
US6030881A (en) | 1998-05-05 | 2000-02-29 | Novellus Systems, Inc. | High throughput chemical vapor deposition process capable of filling high aspect ratio structures |
US6218288B1 (en) | 1998-05-11 | 2001-04-17 | Micron Technology, Inc. | Multiple step methods for forming conformal layers |
US6509283B1 (en) | 1998-05-13 | 2003-01-21 | National Semiconductor Corporation | Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon |
US6007785A (en) | 1998-05-20 | 1999-12-28 | Academia Sinica | Apparatus for efficient ozone generation |
US6086677A (en) | 1998-06-16 | 2000-07-11 | Applied Materials, Inc. | Dual gas faceplate for a showerhead in a semiconductor wafer processing system |
US6148761A (en) | 1998-06-16 | 2000-11-21 | Applied Materials, Inc. | Dual channel gas distribution plate |
KR100296137B1 (ko) | 1998-06-16 | 2001-08-07 | 박종섭 | 보호막으로서고밀도플라즈마화학기상증착에의한절연막을갖는반도체소자제조방법 |
US6147009A (en) | 1998-06-29 | 2000-11-14 | International Business Machines Corporation | Hydrogenated oxidized silicon carbon material |
US6562128B1 (en) | 2001-11-28 | 2003-05-13 | Seh America, Inc. | In-situ post epitaxial treatment process |
JP2003517190A (ja) | 1998-06-30 | 2003-05-20 | セミトウール・インコーポレーテツド | ミクロ電子工学の適用のための金属被覆構造物及びその構造物の形成法 |
US6037018A (en) | 1998-07-01 | 2000-03-14 | Taiwan Semiconductor Maufacturing Company | Shallow trench isolation filled by high density plasma chemical vapor deposition |
US6248429B1 (en) | 1998-07-06 | 2001-06-19 | Micron Technology, Inc. | Metallized recess in a substrate |
JP2000026975A (ja) | 1998-07-09 | 2000-01-25 | Komatsu Ltd | 表面処理装置 |
KR100265866B1 (ko) | 1998-07-11 | 2000-12-01 | 황철주 | 반도체 제조장치 |
US6182603B1 (en) | 1998-07-13 | 2001-02-06 | Applied Komatsu Technology, Inc. | Surface-treated shower head for use in a substrate processing chamber |
US6063683A (en) | 1998-07-27 | 2000-05-16 | Acer Semiconductor Manufacturing, Inc. | Method of fabricating a self-aligned crown-shaped capacitor for high density DRAM cells |
US6436816B1 (en) | 1998-07-31 | 2002-08-20 | Industrial Technology Research Institute | Method of electroless plating copper on nitride barrier |
US6162370A (en) | 1998-08-28 | 2000-12-19 | Ashland Inc. | Composition and method for selectively etching a silicon nitride film |
US6383951B1 (en) | 1998-09-03 | 2002-05-07 | Micron Technology, Inc. | Low dielectric constant material for integrated circuit fabrication |
US6440863B1 (en) | 1998-09-04 | 2002-08-27 | Taiwan Semiconductor Manufacturing Company | Plasma etch method for forming patterned oxygen containing plasma etchable layer |
US6165912A (en) | 1998-09-17 | 2000-12-26 | Cfmt, Inc. | Electroless metal deposition of electronic components in an enclosable vessel |
JP2000164559A (ja) * | 1998-09-22 | 2000-06-16 | Seiko Epson Corp | シリコン系物質の選択エッチング方法および装置 |
US6037266A (en) | 1998-09-28 | 2000-03-14 | Taiwan Semiconductor Manufacturing Company | Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher |
JP3725708B2 (ja) | 1998-09-29 | 2005-12-14 | 株式会社東芝 | 半導体装置 |
US6277733B1 (en) | 1998-10-05 | 2001-08-21 | Texas Instruments Incorporated | Oxygen-free, dry plasma process for polymer removal |
JP3764594B2 (ja) | 1998-10-12 | 2006-04-12 | 株式会社日立製作所 | プラズマ処理方法 |
US6180523B1 (en) | 1998-10-13 | 2001-01-30 | Industrial Technology Research Institute | Copper metallization of USLI by electroless process |
US6228758B1 (en) | 1998-10-14 | 2001-05-08 | Advanced Micro Devices, Inc. | Method of making dual damascene conductive interconnections and integrated circuit device comprising same |
US6251802B1 (en) | 1998-10-19 | 2001-06-26 | Micron Technology, Inc. | Methods of forming carbon-containing layers |
US6107199A (en) | 1998-10-24 | 2000-08-22 | International Business Machines Corporation | Method for improving the morphology of refractory metal thin films |
JP3064268B2 (ja) | 1998-10-29 | 2000-07-12 | アプライド マテリアルズ インコーポレイテッド | 成膜方法及び装置 |
US6176198B1 (en) | 1998-11-02 | 2001-01-23 | Applied Materials, Inc. | Apparatus and method for depositing low K dielectric materials |
US6462371B1 (en) | 1998-11-24 | 2002-10-08 | Micron Technology Inc. | Films doped with carbon for use in integrated circuit technology |
US6203863B1 (en) | 1998-11-27 | 2001-03-20 | United Microelectronics Corp. | Method of gap filling |
US6258220B1 (en) | 1998-11-30 | 2001-07-10 | Applied Materials, Inc. | Electro-chemical deposition system |
US6228233B1 (en) | 1998-11-30 | 2001-05-08 | Applied Materials, Inc. | Inflatable compliant bladder assembly |
US6251236B1 (en) | 1998-11-30 | 2001-06-26 | Applied Materials, Inc. | Cathode contact ring for electrochemical deposition |
US6015747A (en) | 1998-12-07 | 2000-01-18 | Advanced Micro Device | Method of metal/polysilicon gate formation in a field effect transistor |
US6242349B1 (en) | 1998-12-09 | 2001-06-05 | Advanced Micro Devices, Inc. | Method of forming copper/copper alloy interconnection with reduced electromigration |
US6364954B2 (en) | 1998-12-14 | 2002-04-02 | Applied Materials, Inc. | High temperature chemical vapor deposition chamber |
DE59914708D1 (de) | 1998-12-24 | 2008-05-08 | Atmel Germany Gmbh | Verfahren zum anisotropen plasmachemischen Trockenätzen von Siliziumnitrid-Schichten mittels eines Fluor-enthaltenden Gasgemisches |
DE19901210A1 (de) | 1999-01-14 | 2000-07-27 | Siemens Ag | Halbleiterbauelement und Verfahren zu dessen Herstellung |
US6499425B1 (en) | 1999-01-22 | 2002-12-31 | Micron Technology, Inc. | Quasi-remote plasma processing method and apparatus |
TW428256B (en) | 1999-01-25 | 2001-04-01 | United Microelectronics Corp | Structure of conducting-wire layer and its fabricating method |
JP3330554B2 (ja) | 1999-01-27 | 2002-09-30 | 松下電器産業株式会社 | エッチング方法 |
US6245669B1 (en) | 1999-02-05 | 2001-06-12 | Taiwan Semiconductor Manufacturing Company | High selectivity Si-rich SiON etch-stop layer |
US6010962A (en) | 1999-02-12 | 2000-01-04 | Taiwan Semiconductor Manufacturing Company | Copper chemical-mechanical-polishing (CMP) dishing |
US6245670B1 (en) | 1999-02-19 | 2001-06-12 | Advanced Micro Devices, Inc. | Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure |
TW469534B (en) | 1999-02-23 | 2001-12-21 | Matsushita Electric Ind Co Ltd | Plasma processing method and apparatus |
US6291282B1 (en) | 1999-02-26 | 2001-09-18 | Texas Instruments Incorporated | Method of forming dual metal gate structures or CMOS devices |
US6136163A (en) | 1999-03-05 | 2000-10-24 | Applied Materials, Inc. | Apparatus for electro-chemical deposition with thermal anneal chamber |
US6312995B1 (en) | 1999-03-08 | 2001-11-06 | Advanced Micro Devices, Inc. | MOS transistor with assisted-gates and ultra-shallow “Psuedo” source and drain extensions for ultra-large-scale integration |
US6197705B1 (en) | 1999-03-18 | 2001-03-06 | Chartered Semiconductor Manufacturing Ltd. | Method of silicon oxide and silicon glass films deposition |
US6797189B2 (en) | 1999-03-25 | 2004-09-28 | Hoiman (Raymond) Hung | Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon |
US6144099A (en) | 1999-03-30 | 2000-11-07 | Advanced Micro Devices, Inc. | Semiconductor metalization barrier |
US6238582B1 (en) | 1999-03-30 | 2001-05-29 | Veeco Instruments, Inc. | Reactive ion beam etching method and a thin film head fabricated using the method |
JP2000290777A (ja) | 1999-04-07 | 2000-10-17 | Tokyo Electron Ltd | ガス処理装置、バッフル部材、及びガス処理方法 |
US6263830B1 (en) | 1999-04-12 | 2001-07-24 | Matrix Integrated Systems, Inc. | Microwave choke for remote plasma generator |
US6099697A (en) | 1999-04-13 | 2000-08-08 | Applied Materials, Inc. | Method of and apparatus for restoring a support surface in a semiconductor wafer processing system |
US6110836A (en) | 1999-04-22 | 2000-08-29 | Applied Materials, Inc. | Reactive plasma etch cleaning of high aspect ratio openings |
US6110832A (en) | 1999-04-28 | 2000-08-29 | International Business Machines Corporation | Method and apparatus for slurry polishing |
US6541671B1 (en) | 2002-02-13 | 2003-04-01 | The Regents Of The University Of California | Synthesis of 2H- and 13C-substituted dithanes |
US6310755B1 (en) | 1999-05-07 | 2001-10-30 | Applied Materials, Inc. | Electrostatic chuck having gas cavity and method |
JP3099066B1 (ja) | 1999-05-07 | 2000-10-16 | 東京工業大学長 | 薄膜構造体の製造方法 |
JP3482904B2 (ja) | 1999-05-10 | 2004-01-06 | 松下電器産業株式会社 | プラズマ処理方法及び装置 |
EP1198610A4 (en) | 1999-05-14 | 2004-04-07 | Univ California | PLASMA POWER GENERATING DEVICE WITH A LARGE PRESSURE RANGE AT LOW TEMPERATURES |
US7091605B2 (en) | 2001-09-21 | 2006-08-15 | Eastman Kodak Company | Highly moisture-sensitive electronic device element and method for fabrication |
US6129829A (en) | 1999-05-14 | 2000-10-10 | Thompson; Donald E. | Electrostatic filter for dielectric fluid |
JP2000331993A (ja) | 1999-05-19 | 2000-11-30 | Mitsubishi Electric Corp | プラズマ処理装置 |
EP1879213B1 (en) | 1999-05-26 | 2012-03-14 | Tokyo Electron Limited | Plasma processing apparatus |
US6323128B1 (en) | 1999-05-26 | 2001-11-27 | International Business Machines Corporation | Method for forming Co-W-P-Au films |
JP3320685B2 (ja) | 1999-06-02 | 2002-09-03 | 株式会社半導体先端テクノロジーズ | 微細パターン形成方法 |
US6916399B1 (en) | 1999-06-03 | 2005-07-12 | Applied Materials Inc | Temperature controlled window with a fluid supply system |
US6565661B1 (en) | 1999-06-04 | 2003-05-20 | Simplus Systems Corporation | High flow conductance and high thermal conductance showerhead system and method |
US6174812B1 (en) | 1999-06-08 | 2001-01-16 | United Microelectronics Corp. | Copper damascene technology for ultra large scale integration circuits |
US20020033233A1 (en) | 1999-06-08 | 2002-03-21 | Stephen E. Savas | Icp reactor having a conically-shaped plasma-generating section |
US6367413B1 (en) | 1999-06-15 | 2002-04-09 | Tokyo Electron Limited | Apparatus for monitoring substrate biasing during plasma processing of a substrate |
US6821571B2 (en) | 1999-06-18 | 2004-11-23 | Applied Materials Inc. | Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers |
US6161576A (en) | 1999-06-23 | 2000-12-19 | Mks Instruments, Inc. | Integrated turbo pump and control valve system |
US6110530A (en) | 1999-06-25 | 2000-08-29 | Applied Materials, Inc. | CVD method of depositing copper films by using improved organocopper precursor blend |
FR2795555B1 (fr) | 1999-06-28 | 2002-12-13 | France Telecom | Procede de fabrication d'un dispositif semi-conducteur comprenant un empilement forme alternativement de couches de silicium et de couches de materiau dielectrique |
US6277752B1 (en) | 1999-06-28 | 2001-08-21 | Taiwan Semiconductor Manufacturing Company | Multiple etch method for forming residue free patterned hard mask layer |
US6245192B1 (en) | 1999-06-30 | 2001-06-12 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
US6415736B1 (en) | 1999-06-30 | 2002-07-09 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
US6352081B1 (en) | 1999-07-09 | 2002-03-05 | Applied Materials, Inc. | Method of cleaning a semiconductor device processing chamber after a copper etch process |
US6516815B1 (en) | 1999-07-09 | 2003-02-11 | Applied Materials, Inc. | Edge bead removal/spin rinse dry (EBR/SRD) module |
US6258223B1 (en) | 1999-07-09 | 2001-07-10 | Applied Materials, Inc. | In-situ electroless copper seed layer enhancement in an electroplating system |
US6351013B1 (en) | 1999-07-13 | 2002-02-26 | Advanced Micro Devices, Inc. | Low-K sub spacer pocket formation for gate capacitance reduction |
US6342733B1 (en) | 1999-07-27 | 2002-01-29 | International Business Machines Corporation | Reduced electromigration and stressed induced migration of Cu wires by surface coating |
US6281135B1 (en) | 1999-08-05 | 2001-08-28 | Axcelis Technologies, Inc. | Oxygen free plasma stripping process |
US6237527B1 (en) | 1999-08-06 | 2001-05-29 | Axcelis Technologies, Inc. | System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate |
US6235643B1 (en) | 1999-08-10 | 2001-05-22 | Applied Materials, Inc. | Method for etching a trench having rounded top and bottom corners in a silicon substrate |
US6602806B1 (en) | 1999-08-17 | 2003-08-05 | Applied Materials, Inc. | Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film |
EP1214459B1 (en) | 1999-08-17 | 2009-01-07 | Tokyo Electron Limited | Pulsed plasma processing method and apparatus |
EP1077480B1 (en) | 1999-08-17 | 2008-11-12 | Applied Materials, Inc. | Method and apparatus to enhance properties of Si-O-C low K films |
EP1077274A1 (en) | 1999-08-17 | 2001-02-21 | Applied Materials, Inc. | Lid cooling mechanism and method for optimized deposition of low-k dielectric using tri methylsilane-ozone based processes |
EP1077479A1 (en) | 1999-08-17 | 2001-02-21 | Applied Materials, Inc. | Post-deposition treatment to enchance properties of Si-O-C low K film |
JP4220075B2 (ja) | 1999-08-20 | 2009-02-04 | 東京エレクトロン株式会社 | 成膜方法および成膜装置 |
US6322716B1 (en) | 1999-08-30 | 2001-11-27 | Cypress Semiconductor Corp. | Method for conditioning a plasma etch chamber |
US6375748B1 (en) | 1999-09-01 | 2002-04-23 | Applied Materials, Inc. | Method and apparatus for preventing edge deposition |
US6441492B1 (en) | 1999-09-10 | 2002-08-27 | James A. Cunningham | Diffusion barriers for copper interconnect systems |
US6548414B2 (en) | 1999-09-14 | 2003-04-15 | Infineon Technologies Ag | Method of plasma etching thin films of difficult to dry etch materials |
JP3514186B2 (ja) | 1999-09-16 | 2004-03-31 | 日新電機株式会社 | 薄膜形成方法及び装置 |
US6503843B1 (en) | 1999-09-21 | 2003-01-07 | Applied Materials, Inc. | Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill |
US6432819B1 (en) | 1999-09-27 | 2002-08-13 | Applied Materials, Inc. | Method and apparatus of forming a sputtered doped seed layer |
US6287643B1 (en) | 1999-09-30 | 2001-09-11 | Novellus Systems, Inc. | Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor |
US6153935A (en) | 1999-09-30 | 2000-11-28 | International Business Machines Corporation | Dual etch stop/diffusion barrier for damascene interconnects |
US6321587B1 (en) | 1999-10-15 | 2001-11-27 | Radian International Llc | Solid state fluorine sensor system and method |
US6423284B1 (en) | 1999-10-18 | 2002-07-23 | Advanced Technology Materials, Inc. | Fluorine abatement using steam injection in oxidation treatment of semiconductor manufacturing effluent gases |
US6364949B1 (en) | 1999-10-19 | 2002-04-02 | Applied Materials, Inc. | 300 mm CVD chamber design for metal-organic thin film deposition |
KR100338768B1 (ko) | 1999-10-25 | 2002-05-30 | 윤종용 | 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치 |
DE29919142U1 (de) | 1999-10-30 | 2001-03-08 | Agrodyn Hochspannungstechnik GmbH, 33803 Steinhagen | Plasmadüse |
US6551924B1 (en) | 1999-11-02 | 2003-04-22 | International Business Machines Corporation | Post metalization chem-mech polishing dielectric etch |
JP3366301B2 (ja) | 1999-11-10 | 2003-01-14 | 日本電気株式会社 | プラズマcvd装置 |
US6162302A (en) | 1999-11-16 | 2000-12-19 | Agilent Technologies | Method of cleaning quartz substrates using conductive solutions |
US8114245B2 (en) | 1999-11-26 | 2012-02-14 | Tadahiro Ohmi | Plasma etching device |
US6465350B1 (en) | 1999-11-29 | 2002-10-15 | Texas Instruments Incorporated | Aluminum nitride thin film formation on integrated circuits |
US6573194B2 (en) | 1999-11-29 | 2003-06-03 | Texas Instruments Incorporated | Method of growing surface aluminum nitride on aluminum films with low energy barrier |
US6599842B2 (en) | 1999-11-29 | 2003-07-29 | Applied Materials, Inc. | Method for rounding corners and removing damaged outer surfaces of a trench |
AU2905901A (en) | 1999-11-30 | 2001-06-12 | Regents Of The University Of California, The | Method for producing fluorinated diamond-like carbon films |
US6342453B1 (en) | 1999-12-03 | 2002-01-29 | Applied Materials, Inc. | Method for CVD process control for enhancing device performance |
DE10060002B4 (de) | 1999-12-07 | 2016-01-28 | Komatsu Ltd. | Vorrichtung zur Oberflächenbehandlung |
TW514996B (en) | 1999-12-10 | 2002-12-21 | Tokyo Electron Ltd | Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film |
JP3659101B2 (ja) | 1999-12-13 | 2005-06-15 | 富士ゼロックス株式会社 | 窒化物半導体素子及びその製造方法 |
JP4695238B2 (ja) | 1999-12-14 | 2011-06-08 | 東京エレクトロン株式会社 | 圧力制御方法 |
US6277763B1 (en) | 1999-12-16 | 2001-08-21 | Applied Materials, Inc. | Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen |
US6225745B1 (en) | 1999-12-17 | 2001-05-01 | Axcelis Technologies, Inc. | Dual plasma source for plasma process chamber |
US6350697B1 (en) | 1999-12-22 | 2002-02-26 | Lam Research Corporation | Method of cleaning and conditioning plasma reaction chamber |
US6534809B2 (en) | 1999-12-22 | 2003-03-18 | Agilent Technologies, Inc. | Hardmask designs for dry etching FeRAM capacitor stacks |
WO2001046492A1 (en) | 1999-12-22 | 2001-06-28 | Tokyo Electron Limited | Method and system for reducing damage to substrates during plasma processing with a resonator source |
US6238513B1 (en) | 1999-12-28 | 2001-05-29 | International Business Machines Corporation | Wafer lift assembly |
KR100767762B1 (ko) | 2000-01-18 | 2007-10-17 | 에이에스엠 저펜 가부시기가이샤 | 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치 |
US6772827B2 (en) | 2000-01-20 | 2004-08-10 | Applied Materials, Inc. | Suspended gas distribution manifold for plasma chamber |
US6477980B1 (en) | 2000-01-20 | 2002-11-12 | Applied Materials, Inc. | Flexibly suspended gas distribution manifold for plasma chamber |
US6656831B1 (en) | 2000-01-26 | 2003-12-02 | Applied Materials, Inc. | Plasma-enhanced chemical vapor deposition of a metal nitride layer |
US6494959B1 (en) | 2000-01-28 | 2002-12-17 | Applied Materials, Inc. | Process and apparatus for cleaning a silicon surface |
JP3723712B2 (ja) | 2000-02-10 | 2005-12-07 | 株式会社日立国際電気 | 基板処理装置及び基板処理方法 |
KR100378871B1 (ko) | 2000-02-16 | 2003-04-07 | 주식회사 아펙스 | 라디칼 증착을 위한 샤워헤드장치 |
US6743473B1 (en) | 2000-02-16 | 2004-06-01 | Applied Materials, Inc. | Chemical vapor deposition of barriers from novel precursors |
US6573030B1 (en) | 2000-02-17 | 2003-06-03 | Applied Materials, Inc. | Method for depositing an amorphous carbon layer |
US6350320B1 (en) | 2000-02-22 | 2002-02-26 | Applied Materials, Inc. | Heater for processing chamber |
US6319766B1 (en) | 2000-02-22 | 2001-11-20 | Applied Materials, Inc. | Method of tantalum nitride deposition by tantalum oxide densification |
US6391788B1 (en) | 2000-02-25 | 2002-05-21 | Applied Materials, Inc. | Two etchant etch method |
US6958098B2 (en) | 2000-02-28 | 2005-10-25 | Applied Materials, Inc. | Semiconductor wafer support lift-pin assembly |
JP2001319885A (ja) | 2000-03-02 | 2001-11-16 | Hitachi Kokusai Electric Inc | 基板処理装置及び半導体製造方法 |
JP3979791B2 (ja) | 2000-03-08 | 2007-09-19 | 株式会社ルネサステクノロジ | 半導体装置およびその製造方法 |
US6537707B1 (en) | 2000-03-15 | 2003-03-25 | Agilent Technologies, Inc. | Two-stage roughing and controlled deposition rates for fabricating laser ablation masks |
US6900596B2 (en) | 2002-07-09 | 2005-05-31 | Applied Materials, Inc. | Capacitively coupled plasma reactor with uniform radial distribution of plasma |
US6528751B1 (en) | 2000-03-17 | 2003-03-04 | Applied Materials, Inc. | Plasma reactor with overhead RF electrode tuned to the plasma |
US6527968B1 (en) | 2000-03-27 | 2003-03-04 | Applied Materials Inc. | Two-stage self-cleaning silicon etch process |
JP3433721B2 (ja) | 2000-03-28 | 2003-08-04 | ティーディーケイ株式会社 | ドライエッチング方法及び微細加工方法 |
JP4056195B2 (ja) | 2000-03-30 | 2008-03-05 | 株式会社ルネサステクノロジ | 半導体集積回路装置の製造方法 |
AU2001247685A1 (en) | 2000-03-30 | 2001-10-15 | Tokyo Electron Limited | Method of and apparatus for tunable gas injection in a plasma processing system |
DE10016340C1 (de) | 2000-03-31 | 2001-12-06 | Promos Technologies Inc | Verfahren zur Herstellung von flaschenförmigen Tiefgräben zur Verwendung in Halbleitervorrichtungen |
US6558564B1 (en) | 2000-04-05 | 2003-05-06 | Applied Materials Inc. | Plasma energy control by inducing plasma instability |
JP2001355074A (ja) | 2000-04-10 | 2001-12-25 | Sony Corp | 無電解メッキ処理方法およびその装置 |
US7892974B2 (en) | 2000-04-11 | 2011-02-22 | Cree, Inc. | Method of forming vias in silicon carbide and resulting devices and circuits |
KR20010096229A (ko) | 2000-04-18 | 2001-11-07 | 황 철 주 | 반도체 소자의 극박막 형성장치 및 그 형성방법 |
US6762129B2 (en) | 2000-04-19 | 2004-07-13 | Matsushita Electric Industrial Co., Ltd. | Dry etching method, fabrication method for semiconductor device, and dry etching apparatus |
JP2001308023A (ja) | 2000-04-21 | 2001-11-02 | Tokyo Electron Ltd | 熱処理装置及び方法 |
JP2001313282A (ja) | 2000-04-28 | 2001-11-09 | Nec Corp | ドライエッチング方法 |
US6458718B1 (en) | 2000-04-28 | 2002-10-01 | Asm Japan K.K. | Fluorine-containing materials and processes |
US6387207B1 (en) | 2000-04-28 | 2002-05-14 | Applied Materials, Inc. | Integration of remote plasma generator with semiconductor processing chamber |
KR100367662B1 (ko) | 2000-05-02 | 2003-01-10 | 주식회사 셈테크놀러지 | 하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치 |
JP3662472B2 (ja) | 2000-05-09 | 2005-06-22 | エム・エフエスアイ株式会社 | 基板表面の処理方法 |
EP1435655A3 (en) | 2000-05-10 | 2004-07-14 | Ibiden Co., Ltd. | Electrostatic chuck |
US6679981B1 (en) | 2000-05-11 | 2004-01-20 | Applied Materials, Inc. | Inductive plasma loop enhancing magnetron sputtering |
KR100638917B1 (ko) | 2000-05-17 | 2006-10-25 | 동경 엘렉트론 주식회사 | 처리 장치 부품의 조립 기구 및 그 조립 방법 |
US6418874B1 (en) | 2000-05-25 | 2002-07-16 | Applied Materials, Inc. | Toroidal plasma source for plasma processing |
JP3448737B2 (ja) | 2000-05-25 | 2003-09-22 | 住友重機械工業株式会社 | ウエハーチャック用冷却板及びウエハーチャック |
US6645585B2 (en) | 2000-05-30 | 2003-11-11 | Kyocera Corporation | Container for treating with corrosive-gas and plasma and method for manufacturing the same |
JP2002194547A (ja) | 2000-06-08 | 2002-07-10 | Applied Materials Inc | アモルファスカーボン層の堆積方法 |
KR20010111058A (ko) | 2000-06-09 | 2001-12-15 | 조셉 제이. 스위니 | 전체 영역 온도 제어 정전기 척 및 그 제조방법 |
US6603269B1 (en) | 2000-06-13 | 2003-08-05 | Applied Materials, Inc. | Resonant chamber applicator for remote plasma source |
US6509623B2 (en) | 2000-06-15 | 2003-01-21 | Newport Fab, Llc | Microelectronic air-gap structures and methods of forming the same |
US6391753B1 (en) | 2000-06-20 | 2002-05-21 | Advanced Micro Devices, Inc. | Process for forming gate conductors |
US6645550B1 (en) | 2000-06-22 | 2003-11-11 | Applied Materials, Inc. | Method of treating a substrate |
US6427623B2 (en) | 2000-06-23 | 2002-08-06 | Anelva Corporation | Chemical vapor deposition system |
US6620723B1 (en) | 2000-06-27 | 2003-09-16 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
JP4371543B2 (ja) | 2000-06-29 | 2009-11-25 | 日本電気株式会社 | リモートプラズマcvd装置及び膜形成方法 |
US6303418B1 (en) | 2000-06-30 | 2001-10-16 | Chartered Semiconductor Manufacturing Ltd. | Method of fabricating CMOS devices featuring dual gate structures and a high dielectric constant gate insulator layer |
DE10032607B4 (de) | 2000-07-07 | 2004-08-12 | Leo Elektronenmikroskopie Gmbh | Teilchenstrahlgerät mit einer im Ultrahochvakuum zu betreibenden Teilchenquelle und kaskadenförmige Pumpanordnung für ein solches Teilchenstrahlgerät |
US6736987B1 (en) | 2000-07-12 | 2004-05-18 | Techbank Corporation | Silicon etching apparatus using XeF2 |
US6440870B1 (en) | 2000-07-12 | 2002-08-27 | Applied Materials, Inc. | Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures |
US6794311B2 (en) | 2000-07-14 | 2004-09-21 | Applied Materials Inc. | Method and apparatus for treating low k dielectric layers to reduce diffusion |
KR100366623B1 (ko) | 2000-07-18 | 2003-01-09 | 삼성전자 주식회사 | 반도체 기판 또는 lcd 기판의 세정방법 |
US6764958B1 (en) | 2000-07-28 | 2004-07-20 | Applied Materials Inc. | Method of depositing dielectric films |
US6939434B2 (en) | 2000-08-11 | 2005-09-06 | Applied Materials, Inc. | Externally excited torroidal plasma source with magnetic control of ion distribution |
US6677242B1 (en) | 2000-08-12 | 2004-01-13 | Applied Materials Inc. | Integrated shallow trench isolation approach |
US6446572B1 (en) | 2000-08-18 | 2002-09-10 | Tokyo Electron Limited | Embedded plasma source for plasma density improvement |
US6800830B2 (en) | 2000-08-18 | 2004-10-05 | Hitachi Kokusai Electric, Inc. | Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication |
US6335288B1 (en) | 2000-08-24 | 2002-01-01 | Applied Materials, Inc. | Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD |
US6459066B1 (en) | 2000-08-25 | 2002-10-01 | Board Of Regents, The University Of Texas System | Transmission line based inductively coupled plasma source with stable impedance |
US6372657B1 (en) | 2000-08-31 | 2002-04-16 | Micron Technology, Inc. | Method for selective etching of oxides |
JP2002075972A (ja) | 2000-09-04 | 2002-03-15 | Hitachi Ltd | 半導体装置の製造方法 |
JP4484345B2 (ja) | 2000-09-11 | 2010-06-16 | 東京エレクトロン株式会社 | 半導体装置及びその製造方法 |
US6465366B1 (en) | 2000-09-12 | 2002-10-15 | Applied Materials, Inc. | Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers |
JP4717295B2 (ja) | 2000-10-04 | 2011-07-06 | 株式会社半導体エネルギー研究所 | ドライエッチング装置及びエッチング方法 |
US6461974B1 (en) | 2000-10-06 | 2002-10-08 | Lam Research Corporation | High temperature tungsten etching process |
DK200001497A (da) | 2000-10-08 | 2002-04-09 | Scanavo As | Opbevaringsindretning for en databærer |
KR100375102B1 (ko) | 2000-10-18 | 2003-03-08 | 삼성전자주식회사 | 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치 |
US6403491B1 (en) | 2000-11-01 | 2002-06-11 | Applied Materials, Inc. | Etch method using a dielectric etch chamber with expanded process window |
US6610362B1 (en) | 2000-11-20 | 2003-08-26 | Intel Corporation | Method of forming a carbon doped oxide layer on a substrate |
KR100382725B1 (ko) | 2000-11-24 | 2003-05-09 | 삼성전자주식회사 | 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법 |
AUPR179500A0 (en) | 2000-11-30 | 2000-12-21 | Saintech Pty Limited | Ion source |
US6291348B1 (en) | 2000-11-30 | 2001-09-18 | Advanced Micro Devices, Inc. | Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed |
US6544340B2 (en) | 2000-12-08 | 2003-04-08 | Applied Materials, Inc. | Heater with detachable ceramic top plate |
US6448537B1 (en) | 2000-12-11 | 2002-09-10 | Eric Anton Nering | Single-wafer process chamber thermal convection processes |
US6461972B1 (en) | 2000-12-22 | 2002-10-08 | Lsi Logic Corporation | Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow |
US6537429B2 (en) | 2000-12-29 | 2003-03-25 | Lam Research Corporation | Diamond coatings on reactor wall and method of manufacturing thereof |
US6533910B2 (en) | 2000-12-29 | 2003-03-18 | Lam Research Corporation | Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof |
US6500772B2 (en) | 2001-01-08 | 2002-12-31 | International Business Machines Corporation | Methods and materials for depositing films on semiconductor substrates |
US20020124867A1 (en) | 2001-01-08 | 2002-09-12 | Apl Co., Ltd. | Apparatus and method for surface cleaning using plasma |
FR2819341B1 (fr) | 2001-01-11 | 2003-06-27 | St Microelectronics Sa | Procede d'integration d'une cellule dram |
US6879981B2 (en) | 2001-01-16 | 2005-04-12 | Corigin Ltd. | Sharing live data with a non cooperative DBMS |
US6849854B2 (en) | 2001-01-18 | 2005-02-01 | Saintech Pty Ltd. | Ion source |
JP4644943B2 (ja) | 2001-01-23 | 2011-03-09 | 東京エレクトロン株式会社 | 処理装置 |
US6743732B1 (en) | 2001-01-26 | 2004-06-01 | Taiwan Semiconductor Manufacturing Company | Organic low K dielectric etch with NH3 chemistry |
US6893969B2 (en) | 2001-02-12 | 2005-05-17 | Lam Research Corporation | Use of ammonia for etching organic low-k dielectrics |
US6537733B2 (en) | 2001-02-23 | 2003-03-25 | Applied Materials, Inc. | Method of depositing low dielectric constant silicon carbide layers |
US6878206B2 (en) | 2001-07-16 | 2005-04-12 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US6348407B1 (en) | 2001-03-15 | 2002-02-19 | Chartered Semiconductor Manufacturing Inc. | Method to improve adhesion of organic dielectrics in dual damascene interconnects |
US6886491B2 (en) | 2001-03-19 | 2005-05-03 | Apex Co. Ltd. | Plasma chemical vapor deposition apparatus |
KR100423953B1 (ko) | 2001-03-19 | 2004-03-24 | 디지웨이브 테크놀러지스 주식회사 | 화학기상증착장치 |
JP5013353B2 (ja) | 2001-03-28 | 2012-08-29 | 隆 杉野 | 成膜方法及び成膜装置 |
US20020177321A1 (en) | 2001-03-30 | 2002-11-28 | Li Si Yi | Plasma etching of silicon carbide |
US7084070B1 (en) | 2001-03-30 | 2006-08-01 | Lam Research Corporation | Treatment for corrosion in substrate processing |
US6670278B2 (en) | 2001-03-30 | 2003-12-30 | Lam Research Corporation | Method of plasma etching of silicon carbide |
FR2823032B1 (fr) | 2001-04-03 | 2003-07-11 | St Microelectronics Sa | Resonateur electromecanique a poutre vibrante |
US20020144657A1 (en) | 2001-04-05 | 2002-10-10 | Chiang Tony P. | ALD reactor employing electrostatic chuck |
US6761796B2 (en) | 2001-04-06 | 2004-07-13 | Axcelis Technologies, Inc. | Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing |
JP3707394B2 (ja) | 2001-04-06 | 2005-10-19 | ソニー株式会社 | 無電解メッキ方法 |
US20030019428A1 (en) | 2001-04-28 | 2003-01-30 | Applied Materials, Inc. | Chemical vapor deposition chamber |
EP1391140B1 (en) | 2001-04-30 | 2012-10-10 | Lam Research Corporation | Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support |
US6914009B2 (en) | 2001-05-07 | 2005-07-05 | Applied Materials Inc | Method of making small transistor lengths |
US6740601B2 (en) | 2001-05-11 | 2004-05-25 | Applied Materials Inc. | HDP-CVD deposition process for filling high aspect ratio gaps |
US20020170678A1 (en) | 2001-05-18 | 2002-11-21 | Toshio Hayashi | Plasma processing apparatus |
US6717189B2 (en) | 2001-06-01 | 2004-04-06 | Ebara Corporation | Electroless plating liquid and semiconductor device |
JP2004533123A (ja) | 2001-06-14 | 2004-10-28 | マトソン テクノロジー インコーポレーテッド | 銅接続用の障壁エンハンスメント工程 |
US6506291B2 (en) | 2001-06-14 | 2003-01-14 | Applied Materials, Inc. | Substrate support with multilevel heat transfer mechanism |
US6573606B2 (en) | 2001-06-14 | 2003-06-03 | International Business Machines Corporation | Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect |
US20060191637A1 (en) | 2001-06-21 | 2006-08-31 | John Zajac | Etching Apparatus and Process with Thickness and Uniformity Control |
US6685803B2 (en) | 2001-06-22 | 2004-02-03 | Applied Materials, Inc. | Plasma treatment of processing gases |
US6770166B1 (en) | 2001-06-29 | 2004-08-03 | Lam Research Corp. | Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor |
US6596599B1 (en) | 2001-07-16 | 2003-07-22 | Taiwan Semiconductor Manufacturing Company | Gate stack for high performance sub-micron CMOS devices |
US20030029715A1 (en) | 2001-07-25 | 2003-02-13 | Applied Materials, Inc. | An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems |
US6596654B1 (en) | 2001-08-24 | 2003-07-22 | Novellus Systems, Inc. | Gap fill for high aspect ratio structures |
US6846745B1 (en) | 2001-08-03 | 2005-01-25 | Novellus Systems, Inc. | High-density plasma process for filling high aspect ratio structures |
JP3914452B2 (ja) | 2001-08-07 | 2007-05-16 | 株式会社ルネサステクノロジ | 半導体集積回路装置の製造方法 |
US6984288B2 (en) | 2001-08-08 | 2006-01-10 | Lam Research Corporation | Plasma processor in plasma confinement region within a vacuum chamber |
US7179556B2 (en) | 2001-08-10 | 2007-02-20 | Denso Corporation | Fuel cell system |
KR20040018558A (ko) | 2001-08-13 | 2004-03-03 | 가부시키 가이샤 에바라 세이사꾸쇼 | 반도체장치와 그 제조방법 및 도금액 |
US20030038305A1 (en) | 2001-08-21 | 2003-02-27 | Wasshuber Christoph A. | Method for manufacturing and structure of transistor with low-k spacer |
US6762127B2 (en) | 2001-08-23 | 2004-07-13 | Yves Pierre Boiteux | Etch process for dielectric materials comprising oxidized organo silane materials |
US6753506B2 (en) | 2001-08-23 | 2004-06-22 | Axcelis Technologies | System and method of fast ambient switching for rapid thermal processing |
WO2003018867A1 (en) | 2001-08-29 | 2003-03-06 | Applied Materials, Inc. | Semiconductor processing using an efficiently coupled gas source |
US7199328B2 (en) | 2001-08-29 | 2007-04-03 | Tokyo Electron Limited | Apparatus and method for plasma processing |
US6796314B1 (en) | 2001-09-07 | 2004-09-28 | Novellus Systems, Inc. | Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process |
KR100441297B1 (ko) | 2001-09-14 | 2004-07-23 | 주성엔지니어링(주) | 리모트 플라즈마를 이용하는 ccp형 pecvd장치 |
US20030054608A1 (en) | 2001-09-17 | 2003-03-20 | Vanguard International Semiconductor Corporation | Method for forming shallow trench isolation in semiconductor device |
US6555467B2 (en) | 2001-09-28 | 2003-04-29 | Sharp Laboratories Of America, Inc. | Method of making air gaps copper interconnect |
US6462372B1 (en) | 2001-10-09 | 2002-10-08 | Silicon-Based Technology Corp. | Scaled stack-gate flash memory device |
US6656837B2 (en) | 2001-10-11 | 2003-12-02 | Applied Materials, Inc. | Method of eliminating photoresist poisoning in damascene applications |
EP1302988A3 (de) | 2001-10-12 | 2007-01-24 | Bayer MaterialScience AG | Photovoltaik-Module mit einer thermoplastischen Schmelzklebeschicht sowie ein Verfahren zu ihrer Herstellung |
US6855906B2 (en) | 2001-10-16 | 2005-02-15 | Adam Alexander Brailove | Induction plasma reactor |
US20030072639A1 (en) | 2001-10-17 | 2003-04-17 | Applied Materials, Inc. | Substrate support |
KR100433091B1 (ko) | 2001-10-23 | 2004-05-28 | 주식회사 하이닉스반도체 | 반도체소자의 도전배선 형성방법 |
JP3759895B2 (ja) | 2001-10-24 | 2006-03-29 | 松下電器産業株式会社 | エッチング方法 |
US6916398B2 (en) | 2001-10-26 | 2005-07-12 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
US7780785B2 (en) | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Gas delivery apparatus for atomic layer deposition |
US20030087488A1 (en) | 2001-11-07 | 2003-05-08 | Tokyo Electron Limited | Inductively coupled plasma source for improved process uniformity |
JP4040284B2 (ja) | 2001-11-08 | 2008-01-30 | 住友大阪セメント株式会社 | プラズマ発生用電極内蔵型サセプタ及びその製造方法 |
JP2003158080A (ja) | 2001-11-22 | 2003-05-30 | Mitsubishi Electric Corp | 半導体製造装置、半導体製造装置における堆積物除去方法、および半導体装置の製造方法 |
KR100443121B1 (ko) | 2001-11-29 | 2004-08-04 | 삼성전자주식회사 | 반도체 공정의 수행 방법 및 반도체 공정 장치 |
US6794290B1 (en) | 2001-12-03 | 2004-09-21 | Novellus Systems, Inc. | Method of chemical modification of structure topography |
JP4392852B2 (ja) | 2001-12-07 | 2010-01-06 | 東京エレクトロン株式会社 | プラズマ処理装置に用いられる排気リング機構及びプラズマ処理装置 |
KR100641762B1 (ko) | 2001-12-07 | 2006-11-06 | 동경 엘렉트론 주식회사 | 절연막의 질화 방법, 반도체 장치 및 반도체 장치의 제조방법, 기판 처리 장치 및 기판 처리 방법 |
US6905968B2 (en) | 2001-12-12 | 2005-06-14 | Applied Materials, Inc. | Process for selectively etching dielectric layers |
WO2003052808A2 (en) | 2001-12-13 | 2003-06-26 | Applied Materials, Inc. | Self-aligned contact etch with high sensitivity to nitride shoulder |
US6890850B2 (en) | 2001-12-14 | 2005-05-10 | Applied Materials, Inc. | Method of depositing dielectric materials in damascene applications |
US6605874B2 (en) | 2001-12-19 | 2003-08-12 | Intel Corporation | Method of making semiconductor device using an interconnect |
WO2003054912A1 (en) | 2001-12-20 | 2003-07-03 | Tokyo Electron Limited | Method and apparatus comprising a magnetic filter for plasma processing a workpiece |
US20030116087A1 (en) | 2001-12-21 | 2003-06-26 | Nguyen Anh N. | Chamber hardware design for titanium nitride atomic layer deposition |
US20030116439A1 (en) | 2001-12-21 | 2003-06-26 | International Business Machines Corporation | Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices |
KR100442167B1 (ko) | 2001-12-26 | 2004-07-30 | 주성엔지니어링(주) | 자연산화막 제거방법 |
KR100484258B1 (ko) | 2001-12-27 | 2005-04-22 | 주식회사 하이닉스반도체 | 반도체 소자 제조 방법 |
US20030124842A1 (en) | 2001-12-27 | 2003-07-03 | Applied Materials, Inc. | Dual-gas delivery system for chemical vapor deposition processes |
US6828241B2 (en) | 2002-01-07 | 2004-12-07 | Applied Materials, Inc. | Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source |
US6942929B2 (en) | 2002-01-08 | 2005-09-13 | Nianci Han | Process chamber having component with yttrium-aluminum coating |
US6827815B2 (en) | 2002-01-15 | 2004-12-07 | Applied Materials, Inc. | Showerhead assembly for a processing chamber |
US6869880B2 (en) | 2002-01-24 | 2005-03-22 | Applied Materials, Inc. | In situ application of etch back for improved deposition into high-aspect-ratio features |
US20040060514A1 (en) | 2002-01-25 | 2004-04-01 | Applied Materials, Inc. A Delaware Corporation | Gas distribution showerhead |
US6998014B2 (en) | 2002-01-26 | 2006-02-14 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
US6866746B2 (en) | 2002-01-26 | 2005-03-15 | Applied Materials, Inc. | Clamshell and small volume chamber with fixed substrate support |
US7138014B2 (en) | 2002-01-28 | 2006-11-21 | Applied Materials, Inc. | Electroless deposition apparatus |
TWI239794B (en) | 2002-01-30 | 2005-09-11 | Alps Electric Co Ltd | Plasma processing apparatus and method |
US7226504B2 (en) | 2002-01-31 | 2007-06-05 | Sharp Laboratories Of America, Inc. | Method to form thick relaxed SiGe layer with trench structure |
US6632325B2 (en) | 2002-02-07 | 2003-10-14 | Applied Materials, Inc. | Article for use in a semiconductor processing chamber and method of fabricating same |
US7048814B2 (en) | 2002-02-08 | 2006-05-23 | Applied Materials, Inc. | Halogen-resistant, anodized aluminum for use in semiconductor processing apparatus |
US7033447B2 (en) | 2002-02-08 | 2006-04-25 | Applied Materials, Inc. | Halogen-resistant, anodized aluminum for use in semiconductor processing apparatus |
US6821348B2 (en) | 2002-02-14 | 2004-11-23 | 3M Innovative Properties Company | In-line deposition processes for circuit fabrication |
US7479304B2 (en) | 2002-02-14 | 2009-01-20 | Applied Materials, Inc. | Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate |
US6656848B1 (en) | 2002-02-22 | 2003-12-02 | Scientific Systems Research Limited | Plasma chamber conditioning |
JP3921234B2 (ja) | 2002-02-28 | 2007-05-30 | キヤノンアネルバ株式会社 | 表面処理装置及びその製造方法 |
US6677167B2 (en) | 2002-03-04 | 2004-01-13 | Hitachi High-Technologies Corporation | Wafer processing apparatus and a wafer stage and a wafer processing method |
US6646233B2 (en) | 2002-03-05 | 2003-11-11 | Hitachi High-Technologies Corporation | Wafer stage for wafer processing apparatus and wafer processing method |
US20060252265A1 (en) | 2002-03-06 | 2006-11-09 | Guangxiang Jin | Etching high-kappa dielectric materials with good high-kappa foot control and silicon recess control |
US20030168174A1 (en) | 2002-03-08 | 2003-09-11 | Foree Michael Todd | Gas cushion susceptor system |
US7252011B2 (en) | 2002-03-11 | 2007-08-07 | Mks Instruments, Inc. | Surface area deposition trap |
JP3813562B2 (ja) | 2002-03-15 | 2006-08-23 | 富士通株式会社 | 半導体装置及びその製造方法 |
US7256370B2 (en) | 2002-03-15 | 2007-08-14 | Steed Technology, Inc. | Vacuum thermal annealer |
US6913651B2 (en) | 2002-03-22 | 2005-07-05 | Blue29, Llc | Apparatus and method for electroless deposition of materials on semiconductor substrates |
JP4053326B2 (ja) | 2002-03-27 | 2008-02-27 | 東芝松下ディスプレイテクノロジー株式会社 | 薄膜トランジスタの製造方法 |
US6541397B1 (en) | 2002-03-29 | 2003-04-01 | Applied Materials, Inc. | Removable amorphous carbon CMP stop |
US6843858B2 (en) | 2002-04-02 | 2005-01-18 | Applied Materials, Inc. | Method of cleaning a semiconductor processing chamber |
US20030190426A1 (en) | 2002-04-03 | 2003-10-09 | Deenesh Padhi | Electroless deposition method |
US6921556B2 (en) | 2002-04-12 | 2005-07-26 | Asm Japan K.K. | Method of film deposition using single-wafer-processing type CVD |
US6897532B1 (en) | 2002-04-15 | 2005-05-24 | Cypress Semiconductor Corp. | Magnetic tunneling junction configuration and a method for making the same |
US6616967B1 (en) | 2002-04-15 | 2003-09-09 | Texas Instruments Incorporated | Method to achieve continuous hydrogen saturation in sparingly used electroless nickel plating process |
US7013834B2 (en) | 2002-04-19 | 2006-03-21 | Nordson Corporation | Plasma treatment system |
JP3773189B2 (ja) | 2002-04-24 | 2006-05-10 | 独立行政法人科学技術振興機構 | 窓型プローブ、プラズマ監視装置、及び、プラズマ処理装置 |
KR100448714B1 (ko) | 2002-04-24 | 2004-09-13 | 삼성전자주식회사 | 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법 |
US6528409B1 (en) | 2002-04-29 | 2003-03-04 | Advanced Micro Devices, Inc. | Interconnect structure formed in porous dielectric material with minimized degradation and electromigration |
US6908862B2 (en) | 2002-05-03 | 2005-06-21 | Applied Materials, Inc. | HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features |
JP2003324072A (ja) | 2002-05-07 | 2003-11-14 | Nec Electronics Corp | 半導体製造装置 |
US20030215570A1 (en) | 2002-05-16 | 2003-11-20 | Applied Materials, Inc. | Deposition of silicon nitride |
TW538497B (en) | 2002-05-16 | 2003-06-21 | Nanya Technology Corp | Method to form a bottle-shaped trench |
US6825051B2 (en) | 2002-05-17 | 2004-11-30 | Asm America, Inc. | Plasma etch resistant coating and process |
US6500728B1 (en) | 2002-05-24 | 2002-12-31 | Taiwan Semiconductor Manufacturing Company | Shallow trench isolation (STI) module to improve contact etch process window |
US20030224217A1 (en) | 2002-05-31 | 2003-12-04 | Applied Materials, Inc. | Metal nitride formation |
WO2003107409A1 (ja) | 2002-06-01 | 2003-12-24 | 積水化学工業株式会社 | 酸化膜形成方法及び酸化膜形成装置 |
KR100434110B1 (ko) | 2002-06-04 | 2004-06-04 | 삼성전자주식회사 | 반도체 장치의 제조방법 |
US20030230385A1 (en) | 2002-06-13 | 2003-12-18 | Applied Materials, Inc. | Electro-magnetic configuration for uniformity enhancement in a dual chamber plasma processing system |
US6924191B2 (en) | 2002-06-20 | 2005-08-02 | Applied Materials, Inc. | Method for fabricating a gate structure of a field effect transistor |
DE10229037A1 (de) | 2002-06-28 | 2004-01-29 | Robert Bosch Gmbh | Vorrichtung und Verfahren zur Erzeugung von Chlortrifluorid und Anlage zur Ätzung von Halbleitersubstraten mit dieser Vorrichtung |
US20040072446A1 (en) | 2002-07-02 | 2004-04-15 | Applied Materials, Inc. | Method for fabricating an ultra shallow junction of a field effect transistor |
US6767844B2 (en) | 2002-07-03 | 2004-07-27 | Taiwan Semiconductor Manufacturing Co., Ltd | Plasma chamber equipped with temperature-controlled focus ring and method of operating |
JP4377169B2 (ja) * | 2002-07-08 | 2009-12-02 | 東京エレクトロン株式会社 | 処理装置及び処理方法 |
US6838125B2 (en) | 2002-07-10 | 2005-01-04 | Applied Materials, Inc. | Method of film deposition using activated precursor gases |
JP4167542B2 (ja) * | 2002-07-17 | 2008-10-15 | 積水化学工業株式会社 | プラズマエッチング用ガス供給装置並びにプラズマエッチングシステム及び方法 |
US7357138B2 (en) | 2002-07-18 | 2008-04-15 | Air Products And Chemicals, Inc. | Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials |
US7988398B2 (en) | 2002-07-22 | 2011-08-02 | Brooks Automation, Inc. | Linear substrate transport apparatus |
EP1585999A4 (en) | 2002-08-02 | 2008-09-17 | E A Fischione Instr Inc | METHOD AND DEVICE FOR PREPARING SAMPLES FOR MICROSCOPY |
US6921555B2 (en) | 2002-08-06 | 2005-07-26 | Tegal Corporation | Method and system for sequential processing in a two-compartment chamber |
US20040058293A1 (en) | 2002-08-06 | 2004-03-25 | Tue Nguyen | Assembly line processing system |
US20060040055A1 (en) | 2002-08-06 | 2006-02-23 | Tegal Corporation | Method and system for sequential processing in a two-compartment chamber |
US20060046412A1 (en) | 2002-08-06 | 2006-03-02 | Tegal Corporation | Method and system for sequential processing in a two-compartment chamber |
JP3861036B2 (ja) | 2002-08-09 | 2006-12-20 | 三菱重工業株式会社 | プラズマcvd装置 |
US7541270B2 (en) | 2002-08-13 | 2009-06-02 | Micron Technology, Inc. | Methods for forming openings in doped silicon dioxide |
US20040033677A1 (en) | 2002-08-14 | 2004-02-19 | Reza Arghavani | Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier |
US6781173B2 (en) | 2002-08-29 | 2004-08-24 | Micron Technology, Inc. | MRAM sense layer area control |
US6946033B2 (en) | 2002-09-16 | 2005-09-20 | Applied Materials Inc. | Heated gas distribution plate for a processing chamber |
JP3991315B2 (ja) | 2002-09-17 | 2007-10-17 | キヤノンアネルバ株式会社 | 薄膜形成装置及び方法 |
US7335609B2 (en) | 2004-08-27 | 2008-02-26 | Applied Materials, Inc. | Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials |
JP4260450B2 (ja) | 2002-09-20 | 2009-04-30 | 東京エレクトロン株式会社 | 真空処理装置における静電チャックの製造方法 |
US7166200B2 (en) | 2002-09-30 | 2007-01-23 | Tokyo Electron Limited | Method and apparatus for an improved upper electrode plate in a plasma processing system |
US20070051471A1 (en) | 2002-10-04 | 2007-03-08 | Applied Materials, Inc. | Methods and apparatus for stripping |
US6991959B2 (en) | 2002-10-10 | 2006-01-31 | Asm Japan K.K. | Method of manufacturing silicon carbide film |
KR100500852B1 (ko) | 2002-10-10 | 2005-07-12 | 최대규 | 원격 플라즈마 발생기 |
JP4606713B2 (ja) | 2002-10-17 | 2011-01-05 | ルネサスエレクトロニクス株式会社 | 半導体装置およびその製造方法 |
US6699380B1 (en) | 2002-10-18 | 2004-03-02 | Applied Materials Inc. | Modular electrochemical processing system |
US7628897B2 (en) | 2002-10-23 | 2009-12-08 | Applied Materials, Inc. | Reactive ion etching for semiconductor device feature topography modification |
US6802944B2 (en) | 2002-10-23 | 2004-10-12 | Applied Materials, Inc. | High density plasma CVD process for gapfill into high aspect ratio features |
US6853043B2 (en) | 2002-11-04 | 2005-02-08 | Applied Materials, Inc. | Nitrogen-free antireflective coating for use with photolithographic patterning |
JP2004165317A (ja) | 2002-11-12 | 2004-06-10 | Renesas Technology Corp | 半導体装置およびその製造方法 |
EP1420080A3 (en) | 2002-11-14 | 2005-11-09 | Applied Materials, Inc. | Apparatus and method for hybrid chemical deposition processes |
KR100862658B1 (ko) | 2002-11-15 | 2008-10-10 | 삼성전자주식회사 | 반도체 처리 시스템의 가스 주입 장치 |
US6861332B2 (en) | 2002-11-21 | 2005-03-01 | Intel Corporation | Air gap interconnect method |
US6902628B2 (en) | 2002-11-25 | 2005-06-07 | Applied Materials, Inc. | Method of cleaning a coated process chamber component |
JP2004179426A (ja) | 2002-11-27 | 2004-06-24 | Tokyo Electron Ltd | 基板処理装置のクリーニング方法 |
US6713873B1 (en) | 2002-11-27 | 2004-03-30 | Intel Corporation | Adhesion between dielectric materials |
TW561068B (en) | 2002-11-29 | 2003-11-11 | Au Optronics Corp | Nozzle head with excellent corrosion resistance for dry etching process and anti-corrosion method thereof |
US7396773B1 (en) | 2002-12-06 | 2008-07-08 | Cypress Semiconductor Company | Method for cleaning a gate stack |
DE10260352A1 (de) | 2002-12-20 | 2004-07-15 | Infineon Technologies Ag | Verfahren zum Herstellen einer Kondensatoranordnung und Kondensatoranordnung |
US20040118344A1 (en) | 2002-12-20 | 2004-06-24 | Lam Research Corporation | System and method for controlling plasma with an adjustable coupling to ground circuit |
US6806949B2 (en) | 2002-12-31 | 2004-10-19 | Tokyo Electron Limited | Monitoring material buildup on system components by optical emission |
US6720213B1 (en) | 2003-01-15 | 2004-04-13 | International Business Machines Corporation | Low-K gate spacers by fluorine implantation |
US6808748B2 (en) | 2003-01-23 | 2004-10-26 | Applied Materials, Inc. | Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology |
US7500445B2 (en) | 2003-01-27 | 2009-03-10 | Applied Materials, Inc. | Method and apparatus for cleaning a CVD chamber |
US7316761B2 (en) | 2003-02-03 | 2008-01-08 | Applied Materials, Inc. | Apparatus for uniformly etching a dielectric layer |
US7205248B2 (en) | 2003-02-04 | 2007-04-17 | Micron Technology, Inc. | Method of eliminating residual carbon from flowable oxide fill |
US7078351B2 (en) | 2003-02-10 | 2006-07-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Photoresist intensive patterning and processing |
US6982175B2 (en) | 2003-02-14 | 2006-01-03 | Unaxis Usa Inc. | End point detection in time division multiplexed etch processes |
US7604708B2 (en) | 2003-02-14 | 2009-10-20 | Applied Materials, Inc. | Cleaning of native oxide with hydrogen-containing radicals |
US20060137613A1 (en) | 2004-01-27 | 2006-06-29 | Shigeru Kasai | Plasma generating apparatus, plasma generating method and remote plasma processing apparatus |
KR100739837B1 (ko) | 2003-02-19 | 2007-07-13 | 마쯔시다덴기산교 가부시키가이샤 | 불순물 도입 방법 및 불순물 도입 장치 |
DE10308870B4 (de) | 2003-02-28 | 2006-07-27 | Austriamicrosystems Ag | Bipolartransistor mit verbessertem Basis-Emitter-Übergang und Verfahren zur Herstellung |
US6913992B2 (en) | 2003-03-07 | 2005-07-05 | Applied Materials, Inc. | Method of modifying interlayer adhesion |
CN100388434C (zh) | 2003-03-12 | 2008-05-14 | 东京毅力科创株式会社 | 半导体处理用的基板保持结构和等离子体处理装置 |
US6951821B2 (en) | 2003-03-17 | 2005-10-04 | Tokyo Electron Limited | Processing system and method for chemically treating a substrate |
US20040182315A1 (en) | 2003-03-17 | 2004-09-23 | Tokyo Electron Limited | Reduced maintenance chemical oxide removal (COR) processing system |
JP2004296467A (ja) | 2003-03-25 | 2004-10-21 | Hitachi Kokusai Electric Inc | 基板処理装置 |
US20040187787A1 (en) | 2003-03-31 | 2004-09-30 | Dawson Keith E. | Substrate support having temperature controlled substrate support surface |
JP2004311530A (ja) * | 2003-04-02 | 2004-11-04 | Seiko Epson Corp | パターン形成方法、デバイスとその製造方法、液晶表示装置の製造方法、プラズマディスプレイパネルの製造方法、有機elデバイスの製造方法、フィールドエミッションディスプレイの製造方法及び電気光学装置並びに電子機器 |
KR100789063B1 (ko) | 2003-04-11 | 2007-12-26 | 호야 가부시키가이샤 | 크롬계 박막의 에칭방법 및 포토마스크의 제조방법 |
US7037376B2 (en) | 2003-04-11 | 2006-05-02 | Applied Materials Inc. | Backflush chamber clean |
US7126225B2 (en) | 2003-04-15 | 2006-10-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus and method for manufacturing a semiconductor wafer with reduced delamination and peeling |
US6942753B2 (en) | 2003-04-16 | 2005-09-13 | Applied Materials, Inc. | Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition |
TWI227565B (en) | 2003-04-16 | 2005-02-01 | Au Optronics Corp | Low temperature poly-Si thin film transistor and method of manufacturing the same |
US6872909B2 (en) | 2003-04-16 | 2005-03-29 | Applied Science And Technology, Inc. | Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel |
US20040211357A1 (en) | 2003-04-24 | 2004-10-28 | Gadgil Pradad N. | Method of manufacturing a gap-filled structure of a semiconductor device |
US6830624B2 (en) | 2003-05-02 | 2004-12-14 | Applied Materials, Inc. | Blocker plate by-pass for remote plasma clean |
US6903511B2 (en) | 2003-05-06 | 2005-06-07 | Zond, Inc. | Generation of uniformly-distributed plasma |
DE10320472A1 (de) | 2003-05-08 | 2004-12-02 | Kolektor D.O.O. | Plasmabehandlung zur Reinigung von Kupfer oder Nickel |
US7045020B2 (en) | 2003-05-22 | 2006-05-16 | Applied Materials, Inc. | Cleaning a component of a process chamber |
US6713835B1 (en) | 2003-05-22 | 2004-03-30 | International Business Machines Corporation | Method for manufacturing a multi-level interconnect structure |
JP4108633B2 (ja) | 2003-06-20 | 2008-06-25 | シャープ株式会社 | 薄膜トランジスタおよびその製造方法ならびに電子デバイス |
US7067432B2 (en) | 2003-06-26 | 2006-06-27 | Applied Materials, Inc. | Methodology for in-situ and real-time chamber condition monitoring and process recovery during plasma processing |
KR100853388B1 (ko) | 2003-06-27 | 2008-08-21 | 도쿄엘렉트론가부시키가이샤 | 클리닝 방법 및 기판 처리 방법 |
US7151277B2 (en) | 2003-07-03 | 2006-12-19 | The Regents Of The University Of California | Selective etching of silicon carbide films |
JP4245996B2 (ja) | 2003-07-07 | 2009-04-02 | 株式会社荏原製作所 | 無電解めっきによるキャップ膜の形成方法およびこれに用いる装置 |
US7368392B2 (en) | 2003-07-10 | 2008-05-06 | Applied Materials, Inc. | Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode |
US6995073B2 (en) | 2003-07-16 | 2006-02-07 | Intel Corporation | Air gap integration |
JP3866694B2 (ja) | 2003-07-30 | 2007-01-10 | 株式会社日立ハイテクノロジーズ | Lsiデバイスのエッチング方法および装置 |
US7256134B2 (en) | 2003-08-01 | 2007-08-14 | Applied Materials, Inc. | Selective etching of carbon-doped low-k dielectrics |
JP4239750B2 (ja) | 2003-08-13 | 2009-03-18 | セイコーエプソン株式会社 | マイクロレンズ及びマイクロレンズの製造方法、光学装置、光伝送装置、レーザプリンタ用ヘッド、並びにレーザプリンタ |
US20050035455A1 (en) | 2003-08-14 | 2005-02-17 | Chenming Hu | Device with low-k dielectric in close proximity thereto and its method of fabrication |
US7182816B2 (en) | 2003-08-18 | 2007-02-27 | Tokyo Electron Limited | Particulate reduction using temperature-controlled chamber shield |
JP4079056B2 (ja) * | 2003-08-27 | 2008-04-23 | 松下電工株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US7361865B2 (en) | 2003-08-27 | 2008-04-22 | Kyocera Corporation | Heater for heating a wafer and method for fabricating the same |
US7521000B2 (en) | 2003-08-28 | 2009-04-21 | Applied Materials, Inc. | Process for etching photomasks |
US6903031B2 (en) | 2003-09-03 | 2005-06-07 | Applied Materials, Inc. | In-situ-etch-assisted HDP deposition using SiF4 and hydrogen |
US7282244B2 (en) | 2003-09-05 | 2007-10-16 | General Electric Company | Replaceable plate expanded thermal plasma apparatus and method |
US7030034B2 (en) | 2003-09-18 | 2006-04-18 | Micron Technology, Inc. | Methods of etching silicon nitride substantially selectively relative to an oxide of aluminum |
US6967405B1 (en) | 2003-09-24 | 2005-11-22 | Yongsik Yu | Film for copper diffusion barrier |
JP2005101141A (ja) | 2003-09-24 | 2005-04-14 | Renesas Technology Corp | 半導体集積回路装置およびその製造方法 |
US7371688B2 (en) | 2003-09-30 | 2008-05-13 | Air Products And Chemicals, Inc. | Removal of transition metal ternary and/or quaternary barrier materials from a substrate |
US7071532B2 (en) | 2003-09-30 | 2006-07-04 | International Business Machines Corporation | Adjustable self-aligned air gap dielectric for low capacitance wiring |
JP4399227B2 (ja) | 2003-10-06 | 2010-01-13 | 株式会社フジキン | チャンバの内圧制御装置及び内圧被制御式チャンバ |
US20050087517A1 (en) | 2003-10-09 | 2005-04-28 | Andrew Ott | Adhesion between carbon doped oxide and etch stop layers |
US7408225B2 (en) | 2003-10-09 | 2008-08-05 | Asm Japan K.K. | Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms |
US7581511B2 (en) | 2003-10-10 | 2009-09-01 | Micron Technology, Inc. | Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes |
US7125792B2 (en) | 2003-10-14 | 2006-10-24 | Infineon Technologies Ag | Dual damascene structure and method |
US7465358B2 (en) | 2003-10-15 | 2008-12-16 | Applied Materials, Inc. | Measurement techniques for controlling aspects of a electroless deposition process |
US20070111519A1 (en) | 2003-10-15 | 2007-05-17 | Applied Materials, Inc. | Integrated electroless deposition system |
JP2005129666A (ja) | 2003-10-22 | 2005-05-19 | Canon Inc | 処理方法及び装置 |
JP2005129688A (ja) | 2003-10-23 | 2005-05-19 | Hitachi Ltd | 半導体装置の製造方法 |
US7053994B2 (en) | 2003-10-28 | 2006-05-30 | Lam Research Corporation | Method and apparatus for etch endpoint detection |
KR100561848B1 (ko) | 2003-11-04 | 2006-03-16 | 삼성전자주식회사 | 헬리컬 공진기형 플라즈마 처리 장치 |
US7709392B2 (en) | 2003-11-05 | 2010-05-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Low K dielectric surface damage control |
JP4273932B2 (ja) | 2003-11-07 | 2009-06-03 | 株式会社島津製作所 | 表面波励起プラズマcvd装置 |
US20050103267A1 (en) | 2003-11-14 | 2005-05-19 | Hur Gwang H. | Flat panel display manufacturing apparatus |
US20050145341A1 (en) | 2003-11-19 | 2005-07-07 | Masaki Suzuki | Plasma processing apparatus |
JP4393844B2 (ja) | 2003-11-19 | 2010-01-06 | 東京エレクトロン株式会社 | プラズマ成膜装置及びプラズマ成膜方法 |
JP4256763B2 (ja) | 2003-11-19 | 2009-04-22 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
KR100558925B1 (ko) | 2003-11-24 | 2006-03-10 | 세메스 주식회사 | 웨이퍼 에지 식각 장치 |
US20050109276A1 (en) | 2003-11-25 | 2005-05-26 | Applied Materials, Inc. | Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber |
US20050112876A1 (en) | 2003-11-26 | 2005-05-26 | Chih-Ta Wu | Method to form a robust TiCI4 based CVD TiN film |
US7431966B2 (en) | 2003-12-09 | 2008-10-07 | Micron Technology, Inc. | Atomic layer deposition method of depositing an oxide on a substrate |
US7081407B2 (en) | 2003-12-16 | 2006-07-25 | Lam Research Corporation | Method of preventing damage to porous low-k materials during resist stripping |
US7220497B2 (en) | 2003-12-18 | 2007-05-22 | Lam Research Corporation | Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components |
US6958286B2 (en) | 2004-01-02 | 2005-10-25 | International Business Machines Corporation | Method of preventing surface roughening during hydrogen prebake of SiGe substrates |
US6893967B1 (en) | 2004-01-13 | 2005-05-17 | Advanced Micro Devices, Inc. | L-shaped spacer incorporating or patterned using amorphous carbon or CVD organic materials |
US6852584B1 (en) | 2004-01-14 | 2005-02-08 | Tokyo Electron Limited | Method of trimming a gate electrode structure |
WO2005072211A2 (en) | 2004-01-20 | 2005-08-11 | Mattson Technology, Inc. | System and method for removal of photoresist and residues following contact etch with a stop layer present |
US20060033678A1 (en) | 2004-01-26 | 2006-02-16 | Applied Materials, Inc. | Integrated electroless deposition system |
US7012027B2 (en) | 2004-01-27 | 2006-03-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Zirconium oxide and hafnium oxide etching using halogen containing chemicals |
US7064078B2 (en) | 2004-01-30 | 2006-06-20 | Applied Materials | Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme |
EP1720202A4 (en) | 2004-02-09 | 2009-04-29 | Found Advancement Int Science | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND PHOTOGRAVIDE METHOD OF INSULATING FILM |
US7291550B2 (en) | 2004-02-13 | 2007-11-06 | Chartered Semiconductor Manufacturing Ltd. | Method to form a contact hole |
US7015415B2 (en) | 2004-02-18 | 2006-03-21 | Dry Plasma Systems, Inc. | Higher power density downstream plasma |
JP4707959B2 (ja) | 2004-02-20 | 2011-06-22 | 日本エー・エス・エム株式会社 | シャワープレート、プラズマ処理装置及びプラズマ処理方法 |
JP4698251B2 (ja) | 2004-02-24 | 2011-06-08 | アプライド マテリアルズ インコーポレイテッド | 可動又は柔軟なシャワーヘッド取り付け |
US20060051966A1 (en) | 2004-02-26 | 2006-03-09 | Applied Materials, Inc. | In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber |
US7780793B2 (en) | 2004-02-26 | 2010-08-24 | Applied Materials, Inc. | Passivation layer formation by plasma clean process to reduce native oxide growth |
US20070123051A1 (en) | 2004-02-26 | 2007-05-31 | Reza Arghavani | Oxide etch with nh4-nf3 chemistry |
US20050230350A1 (en) | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
JP4879159B2 (ja) | 2004-03-05 | 2012-02-22 | アプライド マテリアルズ インコーポレイテッド | アモルファス炭素膜堆積のためのcvdプロセス |
US8037896B2 (en) | 2004-03-09 | 2011-10-18 | Mks Instruments, Inc. | Pressure regulation in remote zones |
US7196342B2 (en) | 2004-03-10 | 2007-03-27 | Cymer, Inc. | Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source |
US7682985B2 (en) | 2004-03-17 | 2010-03-23 | Lam Research Corporation | Dual doped polysilicon and silicon germanium etch |
US7109521B2 (en) | 2004-03-18 | 2006-09-19 | Cree, Inc. | Silicon carbide semiconductor structures including multiple epitaxial layers having sidewalls |
US7582555B1 (en) | 2005-12-29 | 2009-09-01 | Novellus Systems, Inc. | CVD flowable gap fill |
US7291360B2 (en) | 2004-03-26 | 2007-11-06 | Applied Materials, Inc. | Chemical vapor deposition plasma process using plural ion shower grids |
US7244474B2 (en) | 2004-03-26 | 2007-07-17 | Applied Materials, Inc. | Chemical vapor deposition plasma process using an ion shower grid |
US7695590B2 (en) | 2004-03-26 | 2010-04-13 | Applied Materials, Inc. | Chemical vapor deposition plasma reactor having plural ion shower grids |
US7697260B2 (en) | 2004-03-31 | 2010-04-13 | Applied Materials, Inc. | Detachable electrostatic chuck |
US7358192B2 (en) | 2004-04-08 | 2008-04-15 | Applied Materials, Inc. | Method and apparatus for in-situ film stack processing |
US7785672B2 (en) | 2004-04-20 | 2010-08-31 | Applied Materials, Inc. | Method of controlling the film properties of PECVD-deposited thin films |
US8083853B2 (en) | 2004-05-12 | 2011-12-27 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser hole design |
US7018941B2 (en) | 2004-04-21 | 2006-03-28 | Applied Materials, Inc. | Post treatment of low k dielectric films |
TWI249774B (en) | 2004-04-23 | 2006-02-21 | Nanya Technology Corp | Forming method of self-aligned contact for semiconductor device |
US7115974B2 (en) | 2004-04-27 | 2006-10-03 | Taiwan Semiconductor Manfacturing Company, Ltd. | Silicon oxycarbide and silicon carbonitride based materials for MOS devices |
US20050238807A1 (en) | 2004-04-27 | 2005-10-27 | Applied Materials, Inc. | Refurbishment of a coated chamber component |
US7708859B2 (en) | 2004-04-30 | 2010-05-04 | Lam Research Corporation | Gas distribution system having fast gas switching capabilities |
WO2005112092A2 (en) | 2004-05-11 | 2005-11-24 | Applied Materials, Inc. | CARBON-DOPED-Si OXIDE ETCH USING H2 ADDITIVE IN FLUOROCARBON ETCH CHEMISTRY |
US8328939B2 (en) | 2004-05-12 | 2012-12-11 | Applied Materials, Inc. | Diffuser plate with slit valve compensation |
US8074599B2 (en) | 2004-05-12 | 2011-12-13 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser curvature |
US7691686B2 (en) | 2004-05-21 | 2010-04-06 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device and manufacturing method thereof |
US7049200B2 (en) | 2004-05-25 | 2006-05-23 | Applied Materials Inc. | Method for forming a low thermal budget spacer |
KR100624566B1 (ko) | 2004-05-31 | 2006-09-19 | 주식회사 하이닉스반도체 | 커패시터 상부에 유동성 절연막을 갖는 반도체소자 및 그제조 방법 |
US7651583B2 (en) | 2004-06-04 | 2010-01-26 | Tokyo Electron Limited | Processing system and method for treating a substrate |
US20050274324A1 (en) | 2004-06-04 | 2005-12-15 | Tokyo Electron Limited | Plasma processing apparatus and mounting unit thereof |
US20050274396A1 (en) | 2004-06-09 | 2005-12-15 | Hong Shih | Methods for wet cleaning quartz surfaces of components for plasma processing chambers |
US7226852B1 (en) | 2004-06-10 | 2007-06-05 | Lam Research Corporation | Preventing damage to low-k materials during resist stripping |
US7430496B2 (en) | 2004-06-16 | 2008-09-30 | Tokyo Electron Limited | Method and apparatus for using a pressure control system to monitor a plasma processing system |
US7253107B2 (en) | 2004-06-17 | 2007-08-07 | Asm International N.V. | Pressure control system |
US7122949B2 (en) | 2004-06-21 | 2006-10-17 | Neocera, Inc. | Cylindrical electron beam generating/triggering device and method for generation of electrons |
US20060005856A1 (en) | 2004-06-29 | 2006-01-12 | Applied Materials, Inc. | Reduction of reactive gas attack on substrate heater |
US8349128B2 (en) | 2004-06-30 | 2013-01-08 | Applied Materials, Inc. | Method and apparatus for stable plasma processing |
US20060000802A1 (en) | 2004-06-30 | 2006-01-05 | Ajay Kumar | Method and apparatus for photomask plasma etching |
US7097779B2 (en) | 2004-07-06 | 2006-08-29 | Tokyo Electron Limited | Processing system and method for chemically treating a TERA layer |
CN101076614A (zh) | 2004-07-07 | 2007-11-21 | 莫门蒂夫性能材料股份有限公司 | 基底上的保护涂层及其制备方法 |
JP2006049817A (ja) | 2004-07-07 | 2006-02-16 | Showa Denko Kk | プラズマ処理方法およびプラズマエッチング方法 |
US7845309B2 (en) | 2004-07-13 | 2010-12-07 | Nordson Corporation | Ultra high speed uniform plasma processing system |
KR100614648B1 (ko) | 2004-07-15 | 2006-08-23 | 삼성전자주식회사 | 반도체 소자 제조에 사용되는 기판 처리 장치 |
KR100584485B1 (ko) | 2004-07-20 | 2006-05-29 | 동부일렉트로닉스 주식회사 | 반도체 소자의 금속 부식 방지 방법 |
US7767561B2 (en) | 2004-07-20 | 2010-08-03 | Applied Materials, Inc. | Plasma immersion ion implantation reactor having an ion shower grid |
US20060016783A1 (en) | 2004-07-22 | 2006-01-26 | Dingjun Wu | Process for titanium nitride removal |
JP4492947B2 (ja) | 2004-07-23 | 2010-06-30 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
US7217626B2 (en) | 2004-07-26 | 2007-05-15 | Texas Instruments Incorporated | Transistor fabrication methods using dual sidewall spacers |
JP4579611B2 (ja) | 2004-07-26 | 2010-11-10 | 株式会社日立ハイテクノロジーズ | ドライエッチング方法 |
US20060021703A1 (en) | 2004-07-29 | 2006-02-02 | Applied Materials, Inc. | Dual gas faceplate for a showerhead in a semiconductor wafer processing system |
US7381291B2 (en) | 2004-07-29 | 2008-06-03 | Asm Japan K.K. | Dual-chamber plasma processing apparatus |
US7806077B2 (en) | 2004-07-30 | 2010-10-05 | Amarante Technologies, Inc. | Plasma nozzle array for providing uniform scalable microwave plasma generation |
US7192863B2 (en) | 2004-07-30 | 2007-03-20 | Texas Instruments Incorporated | Method of eliminating etch ridges in a dual damascene process |
US20060021574A1 (en) | 2004-08-02 | 2006-02-02 | Veeco Instruments Inc. | Multi-gas distribution injector for chemical vapor deposition reactors |
US20060024954A1 (en) | 2004-08-02 | 2006-02-02 | Zhen-Cheng Wu | Copper damascene barrier and capping layer |
JP4718141B2 (ja) | 2004-08-06 | 2011-07-06 | 東京エレクトロン株式会社 | 薄膜形成方法及び薄膜形成装置 |
US7247570B2 (en) | 2004-08-19 | 2007-07-24 | Micron Technology, Inc. | Silicon pillars for vertical transistors |
US20060043066A1 (en) | 2004-08-26 | 2006-03-02 | Kamp Thomas A | Processes for pre-tapering silicon or silicon-germanium prior to etching shallow trenches |
US20060042752A1 (en) | 2004-08-30 | 2006-03-02 | Rueger Neal R | Plasma processing apparatuses and methods |
US7449416B2 (en) | 2004-09-01 | 2008-11-11 | Axcelis Technologies, Inc. | Apparatus and plasma ashing process for increasing photoresist removal rate |
US7329576B2 (en) | 2004-09-02 | 2008-02-12 | Micron Technology, Inc. | Double-sided container capacitors using a sacrificial layer |
US7115525B2 (en) | 2004-09-02 | 2006-10-03 | Micron Technology, Inc. | Method for integrated circuit fabrication using pitch multiplication |
JP2006108629A (ja) | 2004-09-10 | 2006-04-20 | Toshiba Corp | 半導体装置の製造方法 |
US20060292846A1 (en) | 2004-09-17 | 2006-12-28 | Pinto Gustavo A | Material management in substrate processing |
JP4467453B2 (ja) | 2004-09-30 | 2010-05-26 | 日本碍子株式会社 | セラミックス部材及びその製造方法 |
US7268084B2 (en) | 2004-09-30 | 2007-09-11 | Tokyo Electron Limited | Method for treating a substrate |
US7138767B2 (en) | 2004-09-30 | 2006-11-21 | Tokyo Electron Limited | Surface wave plasma processing system and method of using |
US7544251B2 (en) | 2004-10-07 | 2009-06-09 | Applied Materials, Inc. | Method and apparatus for controlling temperature of a substrate |
US7148155B1 (en) | 2004-10-26 | 2006-12-12 | Novellus Systems, Inc. | Sequential deposition/anneal film densification method |
US7053003B2 (en) | 2004-10-27 | 2006-05-30 | Lam Research Corporation | Photoresist conditioning with hydrogen ramping |
JP2006128485A (ja) | 2004-10-29 | 2006-05-18 | Asm Japan Kk | 半導体処理装置 |
US20060093756A1 (en) | 2004-11-03 | 2006-05-04 | Nagarajan Rajagopalan | High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films |
US20060097397A1 (en) | 2004-11-10 | 2006-05-11 | Russell Stephen W | Method for forming a dual layer, low resistance metallization during the formation of a semiconductor device |
US7618515B2 (en) | 2004-11-15 | 2009-11-17 | Tokyo Electron Limited | Focus ring, plasma etching apparatus and plasma etching method |
EP1662546A1 (en) | 2004-11-25 | 2006-05-31 | The European Community, represented by the European Commission | Inductively coupled plasma processing apparatus |
US7052553B1 (en) | 2004-12-01 | 2006-05-30 | Lam Research Corporation | Wet cleaning of electrostatic chucks |
US7256121B2 (en) | 2004-12-02 | 2007-08-14 | Texas Instruments Incorporated | Contact resistance reduction by new barrier stack process |
US20060118240A1 (en) | 2004-12-03 | 2006-06-08 | Applied Science And Technology, Inc. | Methods and apparatus for downstream dissociation of gases |
FR2878913B1 (fr) | 2004-12-03 | 2007-01-19 | Cit Alcatel | Controle des pressions partielles de gaz pour optimisation de procede |
JP2006193822A (ja) | 2004-12-16 | 2006-07-27 | Sharp Corp | めっき装置、めっき方法、半導体装置、及び半導体装置の製造方法 |
US20060130971A1 (en) | 2004-12-21 | 2006-06-22 | Applied Materials, Inc. | Apparatus for generating plasma by RF power |
JP2006179693A (ja) | 2004-12-22 | 2006-07-06 | Shin Etsu Chem Co Ltd | ヒータ付き静電チャック |
JP4191137B2 (ja) | 2004-12-24 | 2008-12-03 | 東京エレクトロン株式会社 | 基板処理装置のクリーニング方法 |
US7365016B2 (en) | 2004-12-27 | 2008-04-29 | Dalsa Semiconductor Inc. | Anhydrous HF release of process for MEMS devices |
KR100653722B1 (ko) | 2005-01-05 | 2006-12-05 | 삼성전자주식회사 | 저유전막을 갖는 반도체소자의 제조방법 |
US7465953B1 (en) | 2005-01-07 | 2008-12-16 | Board Of Regents, The University Of Texas System | Positioning of nanoparticles and fabrication of single election devices |
US7253123B2 (en) | 2005-01-10 | 2007-08-07 | Applied Materials, Inc. | Method for producing gate stack sidewall spacers |
KR100610019B1 (ko) | 2005-01-11 | 2006-08-08 | 삼성전자주식회사 | 플라즈마 분배장치 및 이를 구비하는 건식 스트리핑 장치 |
US20060162661A1 (en) | 2005-01-22 | 2006-07-27 | Applied Materials, Inc. | Mixing energized and non-energized gases for silicon nitride deposition |
US7829243B2 (en) | 2005-01-27 | 2010-11-09 | Applied Materials, Inc. | Method for plasma etching a chromium layer suitable for photomask fabrication |
GB0502149D0 (en) | 2005-02-02 | 2005-03-09 | Boc Group Inc | Method of operating a pumping system |
US7341943B2 (en) | 2005-02-08 | 2008-03-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Post etch copper cleaning using dry plasma |
US20060183270A1 (en) | 2005-02-14 | 2006-08-17 | Tessera, Inc. | Tools and methods for forming conductive bumps on microelectronic elements |
JP4475136B2 (ja) | 2005-02-18 | 2010-06-09 | 東京エレクトロン株式会社 | 処理システム、前処理装置及び記憶媒体 |
US7344912B1 (en) | 2005-03-01 | 2008-03-18 | Spansion Llc | Method for patterning electrically conducting poly(phenyl acetylene) and poly(diphenyl acetylene) |
JP4506677B2 (ja) | 2005-03-11 | 2010-07-21 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
JP2006261217A (ja) | 2005-03-15 | 2006-09-28 | Canon Anelva Corp | 薄膜形成方法 |
JP4518986B2 (ja) | 2005-03-17 | 2010-08-04 | 東京エレクトロン株式会社 | 大気搬送室、被処理体の処理後搬送方法、プログラム及び記憶媒体 |
US7514353B2 (en) | 2005-03-18 | 2009-04-07 | Applied Materials, Inc. | Contact metallization scheme using a barrier layer over a silicide layer |
TW200734482A (en) | 2005-03-18 | 2007-09-16 | Applied Materials Inc | Electroless deposition process on a contact containing silicon or silicide |
US20060210723A1 (en) | 2005-03-21 | 2006-09-21 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
KR100610465B1 (ko) | 2005-03-25 | 2006-08-08 | 주식회사 하이닉스반도체 | 반도체 소자의 제조 방법 |
US7442274B2 (en) | 2005-03-28 | 2008-10-28 | Tokyo Electron Limited | Plasma etching method and apparatus therefor |
US20060215347A1 (en) | 2005-03-28 | 2006-09-28 | Tokyo Electron Limited | Processing apparatus and recording medium |
KR100689826B1 (ko) | 2005-03-29 | 2007-03-08 | 삼성전자주식회사 | 불소 함유된 화학적 식각 가스를 사용하는 고밀도 플라즈마화학기상증착 방법들 및 이를 채택하여 반도체 소자를제조하는 방법들 |
US7789962B2 (en) | 2005-03-31 | 2010-09-07 | Tokyo Electron Limited | Device and method for controlling temperature of a mounting table, a program therefor, and a processing apparatus including same |
US20060228889A1 (en) | 2005-03-31 | 2006-10-12 | Edelberg Erik A | Methods of removing resist from substrates in resist stripping chambers |
US7288482B2 (en) | 2005-05-04 | 2007-10-30 | International Business Machines Corporation | Silicon nitride etching methods |
US7431856B2 (en) | 2005-05-18 | 2008-10-07 | National Research Council Of Canada | Nano-tip fabrication by spatially controlled etching |
KR100731164B1 (ko) | 2005-05-19 | 2007-06-20 | 주식회사 피에조닉스 | 샤워헤드를 구비한 화학기상 증착 방법 및 장치 |
US20060266288A1 (en) | 2005-05-27 | 2006-11-30 | Applied Materials, Inc. | High plasma utilization for remote plasma clean |
JP4853857B2 (ja) | 2005-06-15 | 2012-01-11 | 東京エレクトロン株式会社 | 基板の処理方法,コンピュータ読み取り可能な記録媒体及び基板処理装置 |
US20060286774A1 (en) | 2005-06-21 | 2006-12-21 | Applied Materials. Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
KR100915722B1 (ko) | 2005-06-23 | 2009-09-04 | 도쿄엘렉트론가부시키가이샤 | 반도체 처리 장치용의 구성 부재 및 그 제조 방법, 및반도체 처리 장치 |
JP4554461B2 (ja) | 2005-07-26 | 2010-09-29 | 株式会社日立ハイテクノロジーズ | 半導体装置の製造方法 |
WO2007016013A2 (en) | 2005-07-27 | 2007-02-08 | Applied Materials, Inc. | Unique passivation technique for a cvd blocker plate to prevent particle formation |
US8709162B2 (en) | 2005-08-16 | 2014-04-29 | Applied Materials, Inc. | Active cooling substrate support |
US7833381B2 (en) | 2005-08-18 | 2010-11-16 | David Johnson | Optical emission interferometry for PECVD using a gas injection hole |
DE102006038885B4 (de) | 2005-08-24 | 2013-10-10 | Wonik Ips Co., Ltd. | Verfahren zum Abscheiden einer Ge-Sb-Te-Dünnschicht |
US20070056925A1 (en) | 2005-09-09 | 2007-03-15 | Lam Research Corporation | Selective etch of films with high dielectric constant with H2 addition |
WO2007035880A2 (en) | 2005-09-21 | 2007-03-29 | Applied Materials, Inc. | Method and apparatus for forming device features in an integrated electroless deposition system |
JP4823628B2 (ja) | 2005-09-26 | 2011-11-24 | 東京エレクトロン株式会社 | 基板処理方法および記録媒体 |
DE102005047081B4 (de) | 2005-09-30 | 2019-01-31 | Robert Bosch Gmbh | Verfahren zum plasmalosen Ätzen von Silizium mit dem Ätzgas ClF3 oder XeF2 |
US8102123B2 (en) | 2005-10-04 | 2012-01-24 | Topanga Technologies, Inc. | External resonator electrode-less plasma lamp and method of exciting with radio-frequency energy |
US7438534B2 (en) | 2005-10-07 | 2008-10-21 | Edwards Vacuum, Inc. | Wide range pressure control using turbo pump |
KR100703014B1 (ko) | 2005-10-26 | 2007-04-06 | 삼성전자주식회사 | 실리콘 산화물 식각액 및 이를 이용한 반도체 소자의 제조 방법 |
EP1780779A3 (en) | 2005-10-28 | 2008-06-11 | Interuniversitair Microelektronica Centrum ( Imec) | A plasma for patterning advanced gate stacks |
US7884032B2 (en) | 2005-10-28 | 2011-02-08 | Applied Materials, Inc. | Thin film deposition |
US20070099806A1 (en) | 2005-10-28 | 2007-05-03 | Stewart Michael P | Composition and method for selectively removing native oxide from silicon-containing surfaces |
US7696101B2 (en) | 2005-11-01 | 2010-04-13 | Micron Technology, Inc. | Process for increasing feature density during the manufacture of a semiconductor device |
US20070119371A1 (en) | 2005-11-04 | 2007-05-31 | Paul Ma | Apparatus and process for plasma-enhanced atomic layer deposition |
US20070107750A1 (en) | 2005-11-14 | 2007-05-17 | Sawin Herbert H | Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers |
JP4918778B2 (ja) | 2005-11-16 | 2012-04-18 | 株式会社日立製作所 | 半導体集積回路装置の製造方法 |
US20070117396A1 (en) | 2005-11-22 | 2007-05-24 | Dingjun Wu | Selective etching of titanium nitride with xenon difluoride |
US7704887B2 (en) | 2005-11-22 | 2010-04-27 | Applied Materials, Inc. | Remote plasma pre-clean with low hydrogen pressure |
US7405160B2 (en) | 2005-12-13 | 2008-07-29 | Tokyo Electron Limited | Method of making semiconductor device |
US7662723B2 (en) | 2005-12-13 | 2010-02-16 | Lam Research Corporation | Methods and apparatus for in-situ substrate processing |
US7449538B2 (en) | 2005-12-30 | 2008-11-11 | Hynix Semiconductor Inc. | Hard mask composition and method for manufacturing semiconductor device |
KR100712727B1 (ko) | 2006-01-26 | 2007-05-04 | 주식회사 아토 | 절연체를 이용한 샤워헤드 |
JP2007191792A (ja) | 2006-01-19 | 2007-08-02 | Atto Co Ltd | ガス分離型シャワーヘッド |
US8173228B2 (en) | 2006-01-27 | 2012-05-08 | Applied Materials, Inc. | Particle reduction on surfaces of chemical vapor deposition processing apparatus |
US7494545B2 (en) | 2006-02-03 | 2009-02-24 | Applied Materials, Inc. | Epitaxial deposition process and apparatus |
KR100785164B1 (ko) | 2006-02-04 | 2007-12-11 | 위순임 | 다중 출력 원격 플라즈마 발생기 및 이를 구비한 기판 처리시스템 |
KR100678696B1 (ko) | 2006-02-08 | 2007-02-06 | 주식회사 뉴파워 프라즈마 | 환형 플라즈마를 형성하기 위한 페라이트 코어 조립체를구비한 자기 강화된 플라즈마 소오스 |
KR100752622B1 (ko) | 2006-02-17 | 2007-08-30 | 한양대학교 산학협력단 | 원거리 플라즈마 발생장치 |
CN101378850A (zh) | 2006-02-21 | 2009-03-04 | 应用材料股份有限公司 | 加强用于介电膜层的远程等离子体源清洁 |
US20070207275A1 (en) | 2006-02-21 | 2007-09-06 | Applied Materials, Inc. | Enhancement of remote plasma source clean for dielectric films |
CA2644356A1 (en) | 2006-03-16 | 2007-09-27 | Novartis Ag | Heterocyclic organic compounds for the treatment of in particular melanoma |
US7977245B2 (en) | 2006-03-22 | 2011-07-12 | Applied Materials, Inc. | Methods for etching a dielectric barrier layer with high selectivity |
US7381651B2 (en) | 2006-03-22 | 2008-06-03 | Axcelis Technologies, Inc. | Processes for monitoring the levels of oxygen and/or nitrogen species in a substantially oxygen and nitrogen-free plasma ashing process |
US8343280B2 (en) | 2006-03-28 | 2013-01-01 | Tokyo Electron Limited | Multi-zone substrate temperature control system and method of operating |
US7628574B2 (en) | 2006-03-28 | 2009-12-08 | Arcus Technology, Inc. | Apparatus and method for processing substrates using one or more vacuum transfer chamber units |
US7780865B2 (en) | 2006-03-31 | 2010-08-24 | Applied Materials, Inc. | Method to improve the step coverage and pattern loading for dielectric films |
US7906032B2 (en) | 2006-03-31 | 2011-03-15 | Tokyo Electron Limited | Method for conditioning a process chamber |
JP5042517B2 (ja) | 2006-04-10 | 2012-10-03 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
CN100539080C (zh) | 2006-04-12 | 2009-09-09 | 中芯国际集成电路制造(上海)有限公司 | 通过自对准形成多晶硅浮栅结构的方法 |
US20070243714A1 (en) | 2006-04-18 | 2007-10-18 | Applied Materials, Inc. | Method of controlling silicon-containing polymer build up during etching by using a periodic cleaning step |
US7488685B2 (en) | 2006-04-25 | 2009-02-10 | Micron Technology, Inc. | Process for improving critical dimension uniformity of integrated circuit arrays |
US8226769B2 (en) | 2006-04-27 | 2012-07-24 | Applied Materials, Inc. | Substrate support with electrostatic chuck having dual temperature zones |
US20070254169A1 (en) | 2006-04-28 | 2007-11-01 | Kamins Theodore I | Structures including organic self-assembled monolayers and methods of making the structures |
US7297564B1 (en) | 2006-05-02 | 2007-11-20 | Sharp Laboratories Of America, Inc. | Fabrication of vertical sidewalls on (110) silicon substrates for use in Si/SiGe photodetectors |
US7601607B2 (en) | 2006-05-15 | 2009-10-13 | Chartered Semiconductor Manufacturing, Ltd. | Protruded contact and insertion of inter-layer-dielectric material to match damascene hardmask to improve undercut for low-k interconnects |
JP5578389B2 (ja) | 2006-05-16 | 2014-08-27 | Nltテクノロジー株式会社 | 積層膜パターン形成方法及びゲート電極形成方法 |
US20070266946A1 (en) | 2006-05-22 | 2007-11-22 | Byung-Chul Choi | Semiconductor device manufacturing apparatus and method of using the same |
JP5119609B2 (ja) | 2006-05-25 | 2013-01-16 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体、並びに半導体装置 |
US7825038B2 (en) | 2006-05-30 | 2010-11-02 | Applied Materials, Inc. | Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen |
US7790634B2 (en) | 2006-05-30 | 2010-09-07 | Applied Materials, Inc | Method for depositing and curing low-k films for gapfill and conformal film applications |
WO2007140377A2 (en) * | 2006-05-30 | 2007-12-06 | Applied Materials, Inc. | A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide |
US20070277734A1 (en) | 2006-05-30 | 2007-12-06 | Applied Materials, Inc. | Process chamber for dielectric gapfill |
US20070281106A1 (en) | 2006-05-30 | 2007-12-06 | Applied Materials, Inc. | Process chamber for dielectric gapfill |
US7665951B2 (en) | 2006-06-02 | 2010-02-23 | Applied Materials, Inc. | Multiple slot load lock chamber and method of operation |
US7932181B2 (en) | 2006-06-20 | 2011-04-26 | Lam Research Corporation | Edge gas injection for critical dimension uniformity improvement |
US20070296967A1 (en) | 2006-06-27 | 2007-12-27 | Bhupendra Kumra Gupta | Analysis of component for presence, composition and/or thickness of coating |
US8114781B2 (en) | 2006-06-29 | 2012-02-14 | Tokyo Electron Limited | Substrate processing method and substrate processing apparatus |
US7416989B1 (en) | 2006-06-30 | 2008-08-26 | Novellus Systems, Inc. | Adsorption based material removal process |
US7618889B2 (en) | 2006-07-18 | 2009-11-17 | Applied Materials, Inc. | Dual damascene fabrication with low k materials |
US9275887B2 (en) | 2006-07-20 | 2016-03-01 | Applied Materials, Inc. | Substrate processing with rapid temperature gradient control |
GB0616131D0 (en) | 2006-08-14 | 2006-09-20 | Oxford Instr Plasma Technology | Surface processing apparatus |
US20080045030A1 (en) | 2006-08-15 | 2008-02-21 | Shigeru Tahara | Substrate processing method, substrate processing system and storage medium |
US20080124937A1 (en) | 2006-08-16 | 2008-05-29 | Songlin Xu | Selective etching method and apparatus |
KR100818708B1 (ko) | 2006-08-18 | 2008-04-01 | 주식회사 하이닉스반도체 | 표면 세정을 포함하는 반도체소자 제조방법 |
US20080063810A1 (en) | 2006-08-23 | 2008-03-13 | Applied Materials, Inc. | In-situ process state monitoring of chamber |
US7575007B2 (en) | 2006-08-23 | 2009-08-18 | Applied Materials, Inc. | Chamber recovery after opening barrier over copper |
US8110787B1 (en) | 2006-08-23 | 2012-02-07 | ON Semiconductor Trading, Ltd | Image sensor with a reflective waveguide |
US7611980B2 (en) | 2006-08-30 | 2009-11-03 | Micron Technology, Inc. | Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures |
US7452766B2 (en) | 2006-08-31 | 2008-11-18 | Micron Technology, Inc. | Finned memory cells and the fabrication thereof |
US20080075668A1 (en) | 2006-09-27 | 2008-03-27 | Goldstein Alan H | Security Device Using Reversibly Self-Assembling Systems |
CN101153396B (zh) | 2006-09-30 | 2010-06-09 | 中芯国际集成电路制造(上海)有限公司 | 等离子刻蚀方法 |
JP2008103645A (ja) | 2006-10-20 | 2008-05-01 | Toshiba Corp | 半導体装置の製造方法 |
US20080099147A1 (en) | 2006-10-26 | 2008-05-01 | Nyi Oo Myo | Temperature controlled multi-gas distribution assembly |
US7655571B2 (en) | 2006-10-26 | 2010-02-02 | Applied Materials, Inc. | Integrated method and apparatus for efficient removal of halogen residues from etched substrates |
JP2008109043A (ja) | 2006-10-27 | 2008-05-08 | Oki Electric Ind Co Ltd | 半導体装置の製造方法および半導体装置 |
US8002946B2 (en) | 2006-10-30 | 2011-08-23 | Applied Materials, Inc. | Mask etch plasma reactor with cathode providing a uniform distribution of etch rate |
US7943005B2 (en) | 2006-10-30 | 2011-05-17 | Applied Materials, Inc. | Method and apparatus for photomask plasma etching |
US20080102640A1 (en) | 2006-10-30 | 2008-05-01 | Applied Materials, Inc. | Etching oxide with high selectivity to titanium nitride |
US7880232B2 (en) | 2006-11-01 | 2011-02-01 | Micron Technology, Inc. | Processes and apparatus having a semiconductor fin |
US7725974B2 (en) | 2006-11-02 | 2010-06-01 | Hughes Randall L | Shoe and foot cleaning and disinfecting system |
US20080178805A1 (en) | 2006-12-05 | 2008-07-31 | Applied Materials, Inc. | Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode |
US7939422B2 (en) | 2006-12-07 | 2011-05-10 | Applied Materials, Inc. | Methods of thin film process |
CN101542693A (zh) | 2006-12-11 | 2009-09-23 | 应用材料股份有限公司 | 干式光阻剥除方法及设备 |
TWM318795U (en) | 2006-12-18 | 2007-09-11 | Lighthouse Technology Co Ltd | Package structure |
US20100059889A1 (en) | 2006-12-20 | 2010-03-11 | Nxp, B.V. | Adhesion of diffusion barrier on copper-containing interconnect element |
US7922863B2 (en) | 2006-12-22 | 2011-04-12 | Applied Materials, Inc. | Apparatus for integrated gas and radiation delivery |
JP5229711B2 (ja) | 2006-12-25 | 2013-07-03 | 国立大学法人名古屋大学 | パターン形成方法、および半導体装置の製造方法 |
JP2008163430A (ja) | 2006-12-28 | 2008-07-17 | Jtekt Corp | 高耐食性部材およびその製造方法 |
US20080157225A1 (en) | 2006-12-29 | 2008-07-03 | Suman Datta | SRAM and logic transistors with variable height multi-gate transistor architecture |
KR20080063988A (ko) | 2007-01-03 | 2008-07-08 | 삼성전자주식회사 | 중성빔을 이용한 식각장치 |
US8097105B2 (en) | 2007-01-11 | 2012-01-17 | Lam Research Corporation | Extending lifetime of yttrium oxide as a plasma chamber material |
JP4421618B2 (ja) | 2007-01-17 | 2010-02-24 | 東京エレクトロン株式会社 | フィン型電界効果トランジスタの製造方法 |
US7728364B2 (en) | 2007-01-19 | 2010-06-01 | International Business Machines Corporation | Enhanced mobility CMOS transistors with a V-shaped channel with self-alignment to shallow trench isolation |
JP4299863B2 (ja) | 2007-01-22 | 2009-07-22 | エルピーダメモリ株式会社 | 半導体装置の製造方法 |
US8444926B2 (en) | 2007-01-30 | 2013-05-21 | Applied Materials, Inc. | Processing chamber with heated chamber liner |
KR100878015B1 (ko) | 2007-01-31 | 2009-01-13 | 삼성전자주식회사 | 산화물 제거 방법 및 이를 이용한 트렌치 매립 방법 |
JP5048352B2 (ja) | 2007-01-31 | 2012-10-17 | 東京エレクトロン株式会社 | 基板処理方法及び基板処理装置 |
KR100843236B1 (ko) | 2007-02-06 | 2008-07-03 | 삼성전자주식회사 | 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법 |
JP2008205219A (ja) | 2007-02-20 | 2008-09-04 | Masato Toshima | シャワーヘッドおよびこれを用いたcvd装置 |
US20080202892A1 (en) | 2007-02-27 | 2008-08-28 | Smith John M | Stacked process chambers for substrate vacuum processing tool |
CN100577866C (zh) | 2007-02-27 | 2010-01-06 | 中微半导体设备(上海)有限公司 | 应用于等离子体反应室中的气体喷头组件、其制造方法及其翻新再利用的方法 |
US20080216901A1 (en) | 2007-03-06 | 2008-09-11 | Mks Instruments, Inc. | Pressure control for vacuum processing system |
US7977249B1 (en) | 2007-03-07 | 2011-07-12 | Novellus Systems, Inc. | Methods for removing silicon nitride and other materials during fabrication of contacts |
US20080216958A1 (en) | 2007-03-07 | 2008-09-11 | Novellus Systems, Inc. | Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same |
WO2008112673A2 (en) | 2007-03-12 | 2008-09-18 | Tokyo Electron Limited | Dynamic temperature backside gas control for improved within-substrate processing uniformity |
KR100853485B1 (ko) | 2007-03-19 | 2008-08-21 | 주식회사 하이닉스반도체 | 리세스 게이트를 갖는 반도체 소자의 제조 방법 |
US20080233709A1 (en) | 2007-03-22 | 2008-09-25 | Infineon Technologies North America Corp. | Method for removing material from a semiconductor |
US7815814B2 (en) | 2007-03-23 | 2010-10-19 | Tokyo Electron Limited | Method and system for dry etching a metal nitride |
JP4988402B2 (ja) | 2007-03-30 | 2012-08-01 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
US8235001B2 (en) | 2007-04-02 | 2012-08-07 | Hitachi Kokusai Electric Inc. | Substrate processing apparatus and method for manufacturing semiconductor device |
JP5179476B2 (ja) | 2007-04-17 | 2013-04-10 | 株式会社アルバック | 成膜装置 |
JP5282419B2 (ja) | 2007-04-18 | 2013-09-04 | ソニー株式会社 | 半導体装置及びその製造方法 |
JP5135879B2 (ja) | 2007-05-21 | 2013-02-06 | 富士電機株式会社 | 炭化珪素半導体装置の製造方法 |
KR100777043B1 (ko) | 2007-05-22 | 2007-11-16 | 주식회사 테스 | 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법 |
US8084105B2 (en) | 2007-05-23 | 2011-12-27 | Applied Materials, Inc. | Method of depositing boron nitride and boron nitride-derived materials |
US7942969B2 (en) | 2007-05-30 | 2011-05-17 | Applied Materials, Inc. | Substrate cleaning chamber and components |
US7807578B2 (en) | 2007-06-01 | 2010-10-05 | Applied Materials, Inc. | Frequency doubling using spacer mask |
US20090004873A1 (en) | 2007-06-26 | 2009-01-01 | Intevac, Inc. | Hybrid etch chamber with decoupled plasma controls |
JP5008478B2 (ja) | 2007-06-27 | 2012-08-22 | 東京エレクトロン株式会社 | 基板処理装置およびシャワーヘッド |
US7585716B2 (en) | 2007-06-27 | 2009-09-08 | International Business Machines Corporation | High-k/metal gate MOSFET with reduced parasitic capacitance |
KR100877107B1 (ko) | 2007-06-28 | 2009-01-07 | 주식회사 하이닉스반도체 | 반도체 소자의 층간절연막 형성방법 |
TWI479559B (zh) | 2007-06-28 | 2015-04-01 | Quantum Global Tech Llc | 以選擇性噴灑蝕刻來清潔腔室部件的方法和設備 |
JP4438008B2 (ja) | 2007-06-29 | 2010-03-24 | 東京エレクトロン株式会社 | 基板処理装置 |
US8021514B2 (en) | 2007-07-11 | 2011-09-20 | Applied Materials, Inc. | Remote plasma source for pre-treatment of substrates prior to deposition |
US8197636B2 (en) | 2007-07-12 | 2012-06-12 | Applied Materials, Inc. | Systems for plasma enhanced chemical vapor deposition and bevel edge etching |
JP5660753B2 (ja) | 2007-07-13 | 2015-01-28 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | プラズマエッチング用高温カソード |
DE102007033685A1 (de) | 2007-07-19 | 2009-01-22 | Robert Bosch Gmbh | Verfahren zum Ätzen einer Schicht auf einem Silizium-Halbleitersubstrat |
WO2009010909A1 (en) | 2007-07-19 | 2009-01-22 | Koninklijke Philips Electronics N.V. | Method, system and device for transmitting lighting device data |
US8008166B2 (en) | 2007-07-26 | 2011-08-30 | Applied Materials, Inc. | Method and apparatus for cleaning a substrate surface |
EP2042516A1 (en) | 2007-09-27 | 2009-04-01 | Protaffin Biotechnologie AG | Glycosaminoglycan-antagonising MCP-1 mutants and methods of using same |
US8367227B2 (en) | 2007-08-02 | 2013-02-05 | Applied Materials, Inc. | Plasma-resistant ceramics with controlled electrical resistivity |
WO2009025392A2 (en) | 2007-08-21 | 2009-02-26 | Panasonic Corporation | Plasma processing device and method of monitoring plasma discharge state in plasma processing device |
US8765589B2 (en) | 2007-08-31 | 2014-07-01 | Tokyo Electron Limited | Semiconductor device manufacturing method |
JP5347294B2 (ja) | 2007-09-12 | 2013-11-20 | 東京エレクトロン株式会社 | 成膜装置、成膜方法及び記憶媒体 |
US7781332B2 (en) | 2007-09-19 | 2010-08-24 | International Business Machines Corporation | Methods to mitigate plasma damage in organosilicate dielectrics using a protective sidewall spacer |
US20120122319A1 (en) | 2007-09-19 | 2012-05-17 | Hironobu Shimizu | Coating method for coating reaction tube prior to film forming process |
JP5194125B2 (ja) | 2007-09-25 | 2013-05-08 | ラム リサーチ コーポレーション | シャワーヘッド電極アセンブリ用の温度制御モジュール、シャワーヘッド電極アセンブリ及びシャワーヘッド電極アセンブリの上部電極の温度を制御する方法 |
KR101070292B1 (ko) | 2007-09-28 | 2011-10-06 | 주식회사 하이닉스반도체 | 반도체장치의 리세스게이트 제조 방법 |
US20090084317A1 (en) | 2007-09-28 | 2009-04-02 | Applied Materials, Inc. | Atomic layer deposition chamber and components |
US8298931B2 (en) | 2007-09-28 | 2012-10-30 | Sandisk 3D Llc | Dual damascene with amorphous carbon for 3D deep via/trench application |
JP2011500961A (ja) | 2007-10-11 | 2011-01-06 | バレンス プロセス イクウィップメント,インコーポレイテッド | 化学気相成長反応器 |
US7838390B2 (en) | 2007-10-12 | 2010-11-23 | Samsung Electronics Co., Ltd. | Methods of forming integrated circuit devices having ion-cured electrically insulating layers therein |
US7976631B2 (en) | 2007-10-16 | 2011-07-12 | Applied Materials, Inc. | Multi-gas straight channel showerhead |
US8252696B2 (en) | 2007-10-22 | 2012-08-28 | Applied Materials, Inc. | Selective etching of silicon nitride |
US7871926B2 (en) | 2007-10-22 | 2011-01-18 | Applied Materials, Inc. | Methods and systems for forming at least one dielectric layer |
KR101508026B1 (ko) | 2007-10-31 | 2015-04-08 | 램 리써치 코포레이션 | 컴포넌트 바디와 액체 냉각제 사이의 열 전도도를 제어하기 위해 가스 압력을 이용하는 온도 제어 모듈 |
CN101889329B (zh) | 2007-10-31 | 2012-07-04 | 朗姆研究公司 | 长寿命可消耗氮化硅-二氧化硅等离子处理部件 |
US20100243165A1 (en) | 2007-11-01 | 2010-09-30 | Pyung-Yong Um | Apparatus for surface-treating wafer using high-frequency inductively-coupled plasma |
US7964040B2 (en) | 2007-11-08 | 2011-06-21 | Applied Materials, Inc. | Multi-port pumping system for substrate processing chambers |
CN104037065A (zh) | 2007-11-08 | 2014-09-10 | 朗姆研究公司 | 使用氧化物垫片减小节距 |
JP5172617B2 (ja) | 2007-11-12 | 2013-03-27 | シャープ株式会社 | 気相成長装置及び気相成長方法 |
US7704849B2 (en) | 2007-12-03 | 2010-04-27 | Micron Technology, Inc. | Methods of forming trench isolation in silicon of a semiconductor substrate by plasma |
AU2008333222A1 (en) | 2007-12-04 | 2009-06-11 | Parabel Ag | Multilayer solar element |
US8187486B1 (en) | 2007-12-13 | 2012-05-29 | Novellus Systems, Inc. | Modulating etch selectivity and etch rate of silicon nitride thin films |
US8512509B2 (en) | 2007-12-19 | 2013-08-20 | Applied Materials, Inc. | Plasma reactor gas distribution plate with radially distributed path splitting manifold |
US7989329B2 (en) | 2007-12-21 | 2011-08-02 | Applied Materials, Inc. | Removal of surface dopants from a substrate |
US8129029B2 (en) | 2007-12-21 | 2012-03-06 | Applied Materials, Inc. | Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating |
WO2009086013A2 (en) | 2007-12-21 | 2009-07-09 | Applied Materials, Inc. | Method and apparatus for controlling temperature of a substrate |
US20090170331A1 (en) | 2007-12-27 | 2009-07-02 | International Business Machines Corporation | Method of forming a bottle-shaped trench by ion implantation |
TWI427697B (zh) | 2007-12-28 | 2014-02-21 | Tokyo Electron Ltd | 金屬膜及金屬氧化膜之蝕刻方法與半導體裝置之製造方法 |
US7910477B2 (en) | 2007-12-28 | 2011-03-22 | Texas Instruments Incorporated | Etch residue reduction by ash methodology |
US8018023B2 (en) | 2008-01-14 | 2011-09-13 | Kabushiki Kaisha Toshiba | Trench sidewall protection by a carbon-rich layer in a semiconductor device |
US7998864B2 (en) | 2008-01-29 | 2011-08-16 | International Business Machines Corporation | Noble metal cap for interconnect structures |
US20090191711A1 (en) | 2008-01-30 | 2009-07-30 | Ying Rui | Hardmask open process with enhanced cd space shrink and reduction |
TW200933812A (en) | 2008-01-30 | 2009-08-01 | Promos Technologies Inc | Process for forming trench isolation structure and semiconductor device produced thereby |
US20090194810A1 (en) | 2008-01-31 | 2009-08-06 | Masahiro Kiyotoshi | Semiconductor device using element isolation region of trench isolation structure and manufacturing method thereof |
KR101259484B1 (ko) | 2008-02-26 | 2013-05-06 | 쿄세라 코포레이션 | 웨이퍼 지지 부재와 그 제조 방법, 및 이것을 사용한 정전 척 |
US20090214825A1 (en) | 2008-02-26 | 2009-08-27 | Applied Materials, Inc. | Ceramic coating comprising yttrium which is resistant to a reducing plasma |
JP5188849B2 (ja) | 2008-03-14 | 2013-04-24 | Sppテクノロジーズ株式会社 | プラズマ処理装置 |
US9520275B2 (en) | 2008-03-21 | 2016-12-13 | Tokyo Electron Limited | Mono-energetic neutral beam activated chemical processing system and method of using |
JP5352103B2 (ja) | 2008-03-27 | 2013-11-27 | 東京エレクトロン株式会社 | 熱処理装置および処理システム |
DE102008016425B4 (de) | 2008-03-31 | 2015-11-19 | Advanced Micro Devices, Inc. | Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials |
US20090258162A1 (en) | 2008-04-12 | 2009-10-15 | Applied Materials, Inc. | Plasma processing apparatus and method |
JP2009266952A (ja) | 2008-04-23 | 2009-11-12 | Seiko Epson Corp | デバイスの製造方法及び製造装置 |
US7977246B2 (en) | 2008-04-25 | 2011-07-12 | Applied Materials, Inc. | Thermal annealing method for preventing defects in doped silicon oxide surfaces during exposure to atmosphere |
US8252194B2 (en) | 2008-05-02 | 2012-08-28 | Micron Technology, Inc. | Methods of removing silicon oxide |
US20090274590A1 (en) | 2008-05-05 | 2009-11-05 | Applied Materials, Inc. | Plasma reactor electrostatic chuck having a coaxial rf feed and multizone ac heater power transmission through the coaxial feed |
US20090275206A1 (en) | 2008-05-05 | 2009-11-05 | Applied Materials, Inc. | Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias |
US20090277587A1 (en) | 2008-05-09 | 2009-11-12 | Applied Materials, Inc. | Flowable dielectric equipment and processes |
US20090277874A1 (en) | 2008-05-09 | 2009-11-12 | Applied Materials, Inc. | Method and apparatus for removing polymer from a substrate |
US8357435B2 (en) | 2008-05-09 | 2013-01-22 | Applied Materials, Inc. | Flowable dielectric equipment and processes |
US8277670B2 (en) | 2008-05-13 | 2012-10-02 | Lam Research Corporation | Plasma process with photoresist mask pretreatment |
KR100998011B1 (ko) | 2008-05-22 | 2010-12-03 | 삼성엘이디 주식회사 | 화학기상 증착장치 |
KR101006848B1 (ko) | 2008-05-28 | 2011-01-14 | 주식회사 코미코 | 기판 지지 장치 및 이를 포함하는 기판 처리 장치 |
DE102008026134A1 (de) | 2008-05-30 | 2009-12-17 | Advanced Micro Devices, Inc., Sunnyvale | Mikrostrukturbauelement mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen |
KR20090128913A (ko) | 2008-06-11 | 2009-12-16 | 성균관대학교산학협력단 | 태양전지용 실리콘 기판의 텍스처링 장치 및 그 방법 |
JP2010003826A (ja) | 2008-06-19 | 2010-01-07 | Toshiba Corp | 半導体装置の製造方法 |
JP5222040B2 (ja) | 2008-06-25 | 2013-06-26 | 東京エレクトロン株式会社 | マイクロ波プラズマ処理装置 |
CN101932750B (zh) | 2008-06-27 | 2014-05-07 | 三菱重工业株式会社 | 真空处理装置及真空处理装置的运转方法 |
JP5211332B2 (ja) | 2008-07-01 | 2013-06-12 | 株式会社ユーテック | プラズマcvd装置、dlc膜及び薄膜の製造方法 |
US8161906B2 (en) | 2008-07-07 | 2012-04-24 | Lam Research Corporation | Clamped showerhead electrode assembly |
US8206506B2 (en) | 2008-07-07 | 2012-06-26 | Lam Research Corporation | Showerhead electrode |
US8419960B2 (en) | 2008-07-11 | 2013-04-16 | Tokyo Electron Limited | Plasma processing apparatus and method |
WO2010008021A1 (ja) | 2008-07-15 | 2010-01-21 | キヤノンアネルバ株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US8336188B2 (en) | 2008-07-17 | 2012-12-25 | Formfactor, Inc. | Thin wafer chuck |
JP2011253832A (ja) | 2008-07-24 | 2011-12-15 | Canon Anelva Corp | レジストトリミング方法及びトリミング装置 |
KR20100013980A (ko) | 2008-08-01 | 2010-02-10 | 주식회사 하이닉스반도체 | 반도체 소자의 소자 분리막 형성 방법 |
US20100025370A1 (en) | 2008-08-04 | 2010-02-04 | Applied Materials, Inc. | Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method |
WO2010019430A2 (en) | 2008-08-12 | 2010-02-18 | Applied Materials, Inc. | Electrostatic chuck assembly |
EP2324687B1 (en) | 2008-08-20 | 2016-01-27 | Vision Dynamics Holding B.V. | Device for generating a plasma discharge for patterning the surface of a substrate |
US8268729B2 (en) | 2008-08-21 | 2012-09-18 | International Business Machines Corporation | Smooth and vertical semiconductor fin structure |
KR100997502B1 (ko) | 2008-08-26 | 2010-11-30 | 금호석유화학 주식회사 | 개환된 프탈릭 언하이드라이드를 포함하는 유기 반사 방지막 조성물과 이의 제조방법 |
KR101025741B1 (ko) | 2008-09-02 | 2011-04-04 | 주식회사 하이닉스반도체 | 수직 채널 트랜지스터의 활성필라 제조방법 |
US8871645B2 (en) | 2008-09-11 | 2014-10-28 | Applied Materials, Inc. | Semiconductor devices suitable for narrow pitch applications and methods of fabrication thereof |
US8168268B2 (en) | 2008-12-12 | 2012-05-01 | Ovishinsky Innovation, LLC | Thin film deposition via a spatially-coordinated and time-synchronized process |
US7709396B2 (en) | 2008-09-19 | 2010-05-04 | Applied Materials, Inc. | Integral patterning of large features along with array using spacer mask patterning process flow |
US20100081285A1 (en) | 2008-09-30 | 2010-04-01 | Tokyo Electron Limited | Apparatus and Method for Improving Photoresist Properties |
US7968441B2 (en) | 2008-10-08 | 2011-06-28 | Applied Materials, Inc. | Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage |
US7928003B2 (en) | 2008-10-10 | 2011-04-19 | Applied Materials, Inc. | Air gap interconnects using carbon-based films |
US8105465B2 (en) * | 2008-10-14 | 2012-01-31 | Applied Materials, Inc. | Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (PECVD) |
US7910491B2 (en) | 2008-10-16 | 2011-03-22 | Applied Materials, Inc. | Gapfill improvement with low etch rate dielectric liners |
US20100099263A1 (en) | 2008-10-20 | 2010-04-22 | Applied Materials, Inc. | Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects |
US8207470B2 (en) | 2008-10-20 | 2012-06-26 | Industry-University Cooperation Foundation Hanyang University | Apparatus for generating remote plasma |
US8173547B2 (en) | 2008-10-23 | 2012-05-08 | Lam Research Corporation | Silicon etch with passivation using plasma enhanced oxidation |
US20100101727A1 (en) | 2008-10-27 | 2010-04-29 | Helin Ji | Capacitively coupled remote plasma source with large operating pressure range |
JP5396065B2 (ja) | 2008-10-28 | 2014-01-22 | 株式会社日立製作所 | 半導体装置の製造方法 |
US8206829B2 (en) | 2008-11-10 | 2012-06-26 | Applied Materials, Inc. | Plasma resistant coatings for plasma chamber components |
US20100116788A1 (en) | 2008-11-12 | 2010-05-13 | Lam Research Corporation | Substrate temperature control by using liquid controlled multizone substrate support |
JP5358165B2 (ja) | 2008-11-26 | 2013-12-04 | ルネサスエレクトロニクス株式会社 | 半導体集積回路装置の製造方法 |
US20100144140A1 (en) | 2008-12-10 | 2010-06-10 | Novellus Systems, Inc. | Methods for depositing tungsten films having low resistivity for gapfill applications |
US20100147219A1 (en) | 2008-12-12 | 2010-06-17 | Jui Hai Hsieh | High temperature and high voltage electrode assembly design |
US8869741B2 (en) | 2008-12-19 | 2014-10-28 | Lam Research Corporation | Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber |
US8540844B2 (en) | 2008-12-19 | 2013-09-24 | Lam Research Corporation | Plasma confinement structures in plasma processing systems |
US8058179B1 (en) | 2008-12-23 | 2011-11-15 | Novellus Systems, Inc. | Atomic layer removal process with higher etch amount |
JP2010154699A (ja) | 2008-12-26 | 2010-07-08 | Hitachi Ltd | 磁束可変型回転電機 |
US20100183825A1 (en) | 2008-12-31 | 2010-07-22 | Cambridge Nanotech Inc. | Plasma atomic layer deposition system and method |
KR101587601B1 (ko) | 2009-01-14 | 2016-01-25 | 삼성전자주식회사 | 비휘발성 메모리 장치의 제조 방법 |
US20100187694A1 (en) | 2009-01-28 | 2010-07-29 | Chen-Hua Yu | Through-Silicon Via Sidewall Isolation Structure |
KR20100087915A (ko) | 2009-01-29 | 2010-08-06 | 삼성전자주식회사 | 실린더형 스토리지 노드를 포함하는 반도체 메모리 소자 및그 제조 방법 |
US7964517B2 (en) | 2009-01-29 | 2011-06-21 | Texas Instruments Incorporated | Use of a biased precoat for reduced first wafer defects in high-density plasma process |
KR101795658B1 (ko) | 2009-01-31 | 2017-11-08 | 어플라이드 머티어리얼스, 인코포레이티드 | 에칭을 위한 방법 및 장치 |
KR101527195B1 (ko) | 2009-02-02 | 2015-06-10 | 삼성전자주식회사 | 수직 구조의 비휘발성 메모리 소자 |
JP5210191B2 (ja) | 2009-02-03 | 2013-06-12 | 東京エレクトロン株式会社 | 窒化珪素膜のドライエッチング方法 |
JP2010180458A (ja) | 2009-02-06 | 2010-08-19 | Kit:Kk | アルミニウム表面の酸化層形成方法及び半導体装置の製造方法 |
CN102365906B (zh) | 2009-02-13 | 2016-02-03 | 应用材料公司 | 用于等离子体腔室电极的rf总线与rf回流总线 |
KR101566922B1 (ko) | 2009-02-16 | 2015-11-09 | 삼성전자주식회사 | 저스트 드라이 에칭과 케미컬 드라이 에칭을 조합한 반도체소자의 금속 실리사이드막 형성 방법 |
US8148749B2 (en) | 2009-02-19 | 2012-04-03 | Fairchild Semiconductor Corporation | Trench-shielded semiconductor device |
US20110048325A1 (en) | 2009-03-03 | 2011-03-03 | Sun Hong Choi | Gas Distribution Apparatus and Substrate Processing Apparatus Having the Same |
US9378930B2 (en) | 2009-03-05 | 2016-06-28 | Applied Materials, Inc. | Inductively coupled plasma reactor having RF phase control and methods of use thereof |
EP2409313A1 (de) | 2009-03-17 | 2012-01-25 | Roth & Rau AG | Substratbearbeitungsanlage und substratbearbeitungsverfahren |
KR101539699B1 (ko) | 2009-03-19 | 2015-07-27 | 삼성전자주식회사 | 3차원 구조의 비휘발성 메모리 소자 및 그 제조방법 |
US8312839B2 (en) | 2009-03-24 | 2012-11-20 | Applied Materials, Inc. | Mixing frequency at multiple feeding points |
JP5657262B2 (ja) | 2009-03-27 | 2015-01-21 | 東京エレクトロン株式会社 | プラズマ処理装置 |
KR101534357B1 (ko) | 2009-03-31 | 2015-07-06 | 도쿄엘렉트론가부시키가이샤 | 기판 지지 장치 및 기판 지지 방법 |
JP5501807B2 (ja) | 2009-03-31 | 2014-05-28 | 東京エレクトロン株式会社 | 処理装置 |
US8026179B2 (en) | 2009-04-09 | 2011-09-27 | Macronix International Co., Ltd. | Patterning method and integrated circuit structure |
US8272346B2 (en) | 2009-04-10 | 2012-09-25 | Lam Research Corporation | Gasket with positioning feature for clamped monolithic showerhead electrode |
US8193075B2 (en) | 2009-04-20 | 2012-06-05 | Applied Materials, Inc. | Remote hydrogen plasma with ion filter for terminating silicon dangling bonds |
WO2010123707A2 (en) | 2009-04-20 | 2010-10-28 | Applied Materials, Inc. | Enhanced scavenging of residual fluorine radicals using silicon coating on process chamber walls |
US9431237B2 (en) | 2009-04-20 | 2016-08-30 | Applied Materials, Inc. | Post treatment methods for oxide layers on semiconductor devices |
SG174993A1 (en) | 2009-04-21 | 2011-11-28 | Applied Materials Inc | Cvd apparatus for improved film thickness non-uniformity and particle performance |
US8623141B2 (en) | 2009-05-18 | 2014-01-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Piping system and control for semiconductor processing |
KR101360876B1 (ko) | 2009-06-03 | 2014-02-11 | 어플라이드 머티어리얼스, 인코포레이티드 | 식각을 위한 방법 및 장치 |
US8492292B2 (en) | 2009-06-29 | 2013-07-23 | Applied Materials, Inc. | Methods of forming oxide layers on substrates |
WO2011009002A2 (en) | 2009-07-15 | 2011-01-20 | Applied Materials, Inc. | Flow control features of cvd chambers |
US8124531B2 (en) | 2009-08-04 | 2012-02-28 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
US7935643B2 (en) | 2009-08-06 | 2011-05-03 | Applied Materials, Inc. | Stress management for tensile films |
US8404598B2 (en) | 2009-08-07 | 2013-03-26 | Applied Materials, Inc. | Synchronized radio frequency pulsing for plasma etching |
US7989365B2 (en) | 2009-08-18 | 2011-08-02 | Applied Materials, Inc. | Remote plasma source seasoning |
JP2013503414A (ja) | 2009-08-26 | 2013-01-31 | ビーコ・インスツルメンツ・インコーポレーテッド | 磁気記録媒体上にパターンを製造するためのシステム |
CN102414801A (zh) | 2009-08-27 | 2012-04-11 | 应用材料公司 | 在原位腔室清洁后的处理腔室去污方法 |
US8211808B2 (en) | 2009-08-31 | 2012-07-03 | Applied Materials, Inc. | Silicon-selective dry etch for carbon-containing films |
WO2011027515A1 (ja) | 2009-09-02 | 2011-03-10 | 積水化学工業株式会社 | シリコン含有膜のエッチング方法 |
US20120171852A1 (en) | 2009-09-04 | 2012-07-05 | Applied Materials, Inc | Remote hydrogen plasma source of silicon containing film deposition |
US20110065276A1 (en) | 2009-09-11 | 2011-03-17 | Applied Materials, Inc. | Apparatus and Methods for Cyclical Oxidation and Etching |
US20110061812A1 (en) | 2009-09-11 | 2011-03-17 | Applied Materials, Inc. | Apparatus and Methods for Cyclical Oxidation and Etching |
US20110061810A1 (en) | 2009-09-11 | 2011-03-17 | Applied Materials, Inc. | Apparatus and Methods for Cyclical Oxidation and Etching |
JP5648349B2 (ja) | 2009-09-17 | 2015-01-07 | 東京エレクトロン株式会社 | 成膜装置 |
US8216640B2 (en) | 2009-09-25 | 2012-07-10 | Hermes-Epitek Corporation | Method of making showerhead for semiconductor processing apparatus |
US8329587B2 (en) | 2009-10-05 | 2012-12-11 | Applied Materials, Inc. | Post-planarization densification |
US9449859B2 (en) | 2009-10-09 | 2016-09-20 | Applied Materials, Inc. | Multi-gas centrally cooled showerhead design |
EP2315028A1 (en) | 2009-10-26 | 2011-04-27 | Atlas Antibodies AB | PODXL protein in colorectal cancer |
JP5257328B2 (ja) | 2009-11-04 | 2013-08-07 | 東京エレクトロン株式会社 | 基板処理装置、基板処理方法及び記憶媒体 |
CN102598131B (zh) | 2009-11-04 | 2016-04-13 | 应用材料公司 | 用于图案化的磁盘媒体应用的等离子体离子注入工艺 |
WO2011056281A1 (en) | 2009-11-06 | 2011-05-12 | Rambus Inc. | Three-dimensional memory array stacking structure |
US8455364B2 (en) | 2009-11-06 | 2013-06-04 | International Business Machines Corporation | Sidewall image transfer using the lithographic stack as the mandrel |
US8771538B2 (en) | 2009-11-18 | 2014-07-08 | Applied Materials, Inc. | Plasma source design |
US8742665B2 (en) | 2009-11-18 | 2014-06-03 | Applied Materials, Inc. | Plasma source design |
WO2011066508A2 (en) | 2009-11-30 | 2011-06-03 | Applied Materials, Inc. | Chamber for processing hard disk drive substrates |
US8604697B2 (en) | 2009-12-09 | 2013-12-10 | Jehara Corporation | Apparatus for generating plasma |
WO2011072143A2 (en) | 2009-12-09 | 2011-06-16 | Novellus Systems, Inc. | Novel gap fill integration |
WO2011070945A1 (ja) | 2009-12-11 | 2011-06-16 | 株式会社アルバック | 薄膜製造装置、薄膜の製造方法、及び半導体装置の製造方法 |
US8202803B2 (en) | 2009-12-11 | 2012-06-19 | Tokyo Electron Limited | Method to remove capping layer of insulation dielectric in interconnect structures |
US20110140229A1 (en) | 2009-12-16 | 2011-06-16 | Willy Rachmady | Techniques for forming shallow trench isolation |
US8274017B2 (en) | 2009-12-18 | 2012-09-25 | Applied Materials, Inc. | Multifunctional heater/chiller pedestal for wide range wafer temperature control |
US20110151677A1 (en) | 2009-12-21 | 2011-06-23 | Applied Materials, Inc. | Wet oxidation process performed on a dielectric material formed from a flowable cvd process |
US8501629B2 (en) | 2009-12-23 | 2013-08-06 | Applied Materials, Inc. | Smooth SiConi etch for silicon-containing films |
JP4927158B2 (ja) | 2009-12-25 | 2012-05-09 | 東京エレクトロン株式会社 | 基板処理方法、その基板処理方法を実行させるためのプログラムを記録した記録媒体及び基板処理装置 |
US8329262B2 (en) | 2010-01-05 | 2012-12-11 | Applied Materials, Inc. | Dielectric film formation using inert gas excitation |
JP5710209B2 (ja) | 2010-01-18 | 2015-04-30 | 東京エレクトロン株式会社 | 電磁波給電機構およびマイクロ波導入機構 |
JP5166458B2 (ja) | 2010-01-22 | 2013-03-21 | 株式会社東芝 | 半導体装置及びその製造方法 |
JP5608384B2 (ja) | 2010-02-05 | 2014-10-15 | 東京エレクトロン株式会社 | 半導体装置の製造方法及びプラズマエッチング装置 |
ATE551439T1 (de) | 2010-02-08 | 2012-04-15 | Roth & Rau Ag | PARALLELER PLATTENREAKTOR ZUR GLEICHMÄßIGEN DÜNNFILMABLAGERUNG MIT REDUZIERTER WERKZEUGAUFSTELLFLÄCHE |
US20110198034A1 (en) | 2010-02-11 | 2011-08-18 | Jennifer Sun | Gas distribution showerhead with coating material for semiconductor processing |
US8361338B2 (en) | 2010-02-11 | 2013-01-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Hard mask removal method |
JP5476152B2 (ja) | 2010-02-16 | 2014-04-23 | 積水化学工業株式会社 | 窒化シリコンのエッチング方法及び装置 |
US8456009B2 (en) | 2010-02-18 | 2013-06-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structure having an air-gap region and a method of manufacturing the same |
JP5662079B2 (ja) | 2010-02-24 | 2015-01-28 | 東京エレクトロン株式会社 | エッチング処理方法 |
US20110207332A1 (en) | 2010-02-25 | 2011-08-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Thin film coated process kits for semiconductor manufacturing tools |
CN102844848A (zh) | 2010-03-05 | 2012-12-26 | 应用材料公司 | 通过自由基成分化学气相沉积的共形层 |
JP5450187B2 (ja) | 2010-03-16 | 2014-03-26 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置およびプラズマ処理方法 |
US8354660B2 (en) | 2010-03-16 | 2013-01-15 | Sandisk 3D Llc | Bottom electrodes for use with metal oxide resistivity switching layers |
US8435902B2 (en) | 2010-03-17 | 2013-05-07 | Applied Materials, Inc. | Invertable pattern loading with dry etch |
US20130012030A1 (en) | 2010-03-17 | 2013-01-10 | Applied Materials, Inc. | Method and apparatus for remote plasma source assisted silicon-containing film deposition |
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US20110256421A1 (en) | 2010-04-16 | 2011-10-20 | United Technologies Corporation | Metallic coating for single crystal alloys |
US8288268B2 (en) | 2010-04-29 | 2012-10-16 | International Business Machines Corporation | Microelectronic structure including air gap |
US20110265884A1 (en) | 2010-04-30 | 2011-11-03 | Applied Materials, Inc. | Twin chamber processing system with shared vacuum pump |
US8475674B2 (en) | 2010-04-30 | 2013-07-02 | Applied Materials, Inc. | High-temperature selective dry etch having reduced post-etch solid residue |
US8721798B2 (en) | 2010-04-30 | 2014-05-13 | Applied Materials, Inc. | Methods for processing substrates in process systems having shared resources |
US20110265951A1 (en) | 2010-04-30 | 2011-11-03 | Applied Materials, Inc. | Twin chamber processing system |
US20110278260A1 (en) | 2010-05-14 | 2011-11-17 | Applied Materials, Inc. | Inductive plasma source with metallic shower head using b-field concentrator |
US8361906B2 (en) | 2010-05-20 | 2013-01-29 | Applied Materials, Inc. | Ultra high selectivity ashable hard mask film |
US20140154668A1 (en) | 2010-05-21 | 2014-06-05 | The Trustees Of Princeton University | Structures for Enhancement of Local Electric Field, Light Absorption, Light Radiation, Material Detection and Methods for Making and Using of the Same. |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US8373239B2 (en) | 2010-06-08 | 2013-02-12 | International Business Machines Corporation | Structure and method for replacement gate MOSFET with self-aligned contact using sacrificial mandrel dielectric |
JP5751895B2 (ja) | 2010-06-08 | 2015-07-22 | 株式会社日立国際電気 | 半導体装置の製造方法、クリーニング方法および基板処理装置 |
JP2011258768A (ja) | 2010-06-09 | 2011-12-22 | Sumitomo Electric Ind Ltd | 炭化珪素基板、エピタキシャル層付き基板、半導体装置および炭化珪素基板の製造方法 |
US20110304078A1 (en) | 2010-06-14 | 2011-12-15 | Applied Materials, Inc. | Methods for removing byproducts from load lock chambers |
JP5463224B2 (ja) | 2010-07-09 | 2014-04-09 | 日本発條株式会社 | 流路付きプレートの製造方法、流路付きプレート、温度調節プレート、コールドプレート、及びシャワープレート |
US20120009796A1 (en) | 2010-07-09 | 2012-01-12 | Applied Materials, Inc. | Post-ash sidewall healing |
US8278203B2 (en) | 2010-07-28 | 2012-10-02 | Sandisk Technologies Inc. | Metal control gate formation in non-volatile storage |
US9184028B2 (en) | 2010-08-04 | 2015-11-10 | Lam Research Corporation | Dual plasma volume processing apparatus for neutral/ion flux control |
US8869742B2 (en) | 2010-08-04 | 2014-10-28 | Lam Research Corporation | Plasma processing chamber with dual axial gas injection and exhaust |
US9449793B2 (en) | 2010-08-06 | 2016-09-20 | Lam Research Corporation | Systems, methods and apparatus for choked flow element extraction |
JP5198611B2 (ja) | 2010-08-12 | 2013-05-15 | 株式会社東芝 | ガス供給部材、プラズマ処理装置およびイットリア含有膜の形成方法 |
WO2012052858A1 (en) | 2010-08-16 | 2012-04-26 | L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Etching of oxide materials |
KR20120022251A (ko) | 2010-09-01 | 2012-03-12 | 삼성전자주식회사 | 플라즈마 식각방법 및 그의 장치 |
US8573152B2 (en) | 2010-09-03 | 2013-11-05 | Lam Research Corporation | Showerhead electrode |
US8580699B2 (en) | 2010-09-10 | 2013-11-12 | Applied Materials, Inc. | Embedded catalyst for atomic layer deposition of silicon oxide |
KR20120029291A (ko) | 2010-09-16 | 2012-03-26 | 삼성전자주식회사 | 반도체 소자 및 그 제조 방법 |
US8840754B2 (en) | 2010-09-17 | 2014-09-23 | Lam Research Corporation | Polar regions for electrostatic de-chucking with lift pins |
WO2012039932A2 (en) | 2010-09-21 | 2012-03-29 | Applied Materials, Inc. | Methods for forming layers on a substrate |
KR101209003B1 (ko) | 2010-10-14 | 2012-12-06 | 주식회사 유진테크 | 3차원 구조의 메모리 소자를 제조하는 방법 및 장치 |
US8633423B2 (en) | 2010-10-14 | 2014-01-21 | Applied Materials, Inc. | Methods and apparatus for controlling substrate temperature in a process chamber |
US8183134B2 (en) | 2010-10-19 | 2012-05-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and manufacturing method with improved epitaxial quality of III-V compound on silicon surfaces |
US20120097330A1 (en) | 2010-10-20 | 2012-04-26 | Applied Materials, Inc. | Dual delivery chamber design |
JP5544343B2 (ja) | 2010-10-29 | 2014-07-09 | 東京エレクトロン株式会社 | 成膜装置 |
WO2012058377A2 (en) | 2010-10-29 | 2012-05-03 | Applied Materials, Inc. | Methods for etching oxide layers using process gas pulsing |
US9111994B2 (en) | 2010-11-01 | 2015-08-18 | Magnachip Semiconductor, Ltd. | Semiconductor device and method of fabricating the same |
US8133349B1 (en) | 2010-11-03 | 2012-03-13 | Lam Research Corporation | Rapid and uniform gas switching for a plasma etch process |
US8389416B2 (en) | 2010-11-22 | 2013-03-05 | Tokyo Electron Limited | Process for etching silicon with selectivity to silicon-germanium |
KR20120058962A (ko) | 2010-11-30 | 2012-06-08 | 삼성전자주식회사 | 반도체 장치의 제조 방법 |
US8475103B2 (en) | 2010-12-09 | 2013-07-02 | Hamilton Sundstand Corporation | Sealing washer assembly for large diameter holes on flat surfaces |
JP2012129239A (ja) * | 2010-12-13 | 2012-07-05 | Sekisui Chem Co Ltd | エッチング装置及び方法 |
US8470713B2 (en) | 2010-12-13 | 2013-06-25 | International Business Machines Corporation | Nitride etch for improved spacer uniformity |
US8741778B2 (en) | 2010-12-14 | 2014-06-03 | Applied Materials, Inc. | Uniform dry etch in two stages |
US9719169B2 (en) | 2010-12-20 | 2017-08-01 | Novellus Systems, Inc. | System and apparatus for flowable deposition in semiconductor fabrication |
JP5728221B2 (ja) | 2010-12-24 | 2015-06-03 | 東京エレクトロン株式会社 | 基板処理方法及び記憶媒体 |
US20120177846A1 (en) | 2011-01-07 | 2012-07-12 | Applied Materials, Inc. | Radical steam cvd |
KR101529578B1 (ko) | 2011-01-14 | 2015-06-19 | 성균관대학교산학협력단 | 플라즈마 기판 처리 장치 및 방법 |
US20120180954A1 (en) | 2011-01-18 | 2012-07-19 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9018692B2 (en) | 2011-01-19 | 2015-04-28 | Macronix International Co., Ltd. | Low cost scalable 3D memory |
US8363476B2 (en) | 2011-01-19 | 2013-01-29 | Macronix International Co., Ltd. | Memory device, manufacturing method and operating method of the same |
WO2012098871A1 (ja) | 2011-01-20 | 2012-07-26 | 東京エレクトロン株式会社 | 真空処理装置 |
US20120201959A1 (en) * | 2011-02-04 | 2012-08-09 | Applied Materials, Inc. | In-Situ Hydroxylation System |
KR101732936B1 (ko) | 2011-02-14 | 2017-05-08 | 삼성전자주식회사 | 반도체 소자의 미세 패턴 형성 방법 |
US8771539B2 (en) * | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US9281207B2 (en) | 2011-02-28 | 2016-03-08 | Inpria Corporation | Solution processible hardmasks for high resolution lithography |
CN103403852B (zh) | 2011-03-01 | 2016-06-08 | 应用材料公司 | 双负载闸配置的消除及剥离处理腔室 |
TW201246362A (en) | 2011-03-01 | 2012-11-16 | Univ King Abdullah Sci & Tech | Silicon germanium mask for deep silicon etching |
EP2681088B1 (en) | 2011-03-02 | 2016-11-23 | Game Changers, Llc | Air cushion transport |
US9441296B2 (en) | 2011-03-04 | 2016-09-13 | Novellus Systems, Inc. | Hybrid ceramic showerhead |
FR2972563B1 (fr) | 2011-03-07 | 2013-03-01 | Altis Semiconductor Snc | Procédé de traitement d'une couche de nitrure de métal oxydée |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
KR101884003B1 (ko) | 2011-03-22 | 2018-07-31 | 어플라이드 머티어리얼스, 인코포레이티드 | 화학 기상 증착 챔버를 위한 라이너 조립체 |
US9330953B2 (en) | 2011-03-23 | 2016-05-03 | Sumitomo Osaka Cement Co., Ltd. | Electrostatic chuck device |
US8980418B2 (en) | 2011-03-24 | 2015-03-17 | Uchicago Argonne, Llc | Sequential infiltration synthesis for advanced lithography |
JP5815967B2 (ja) | 2011-03-31 | 2015-11-17 | 東京エレクトロン株式会社 | 基板洗浄装置及び真空処理システム |
JP5864879B2 (ja) | 2011-03-31 | 2016-02-17 | 東京エレクトロン株式会社 | 基板処理装置及びその制御方法 |
JP6003011B2 (ja) | 2011-03-31 | 2016-10-05 | 東京エレクトロン株式会社 | 基板処理装置 |
US9196463B2 (en) | 2011-04-07 | 2015-11-24 | Varian Semiconductor Equipment Associates, Inc. | System and method for plasma monitoring using microwaves |
US8460569B2 (en) | 2011-04-07 | 2013-06-11 | Varian Semiconductor Equipment Associates, Inc. | Method and system for post-etch treatment of patterned substrate features |
US8815720B2 (en) | 2011-04-12 | 2014-08-26 | Varian Semiconductor Equipment Associates, Inc. | Method of etching a workpiece |
US9695510B2 (en) | 2011-04-21 | 2017-07-04 | Kurt J. Lesker Company | Atomic layer deposition apparatus and process |
US8415250B2 (en) | 2011-04-29 | 2013-04-09 | International Business Machines Corporation | Method of forming silicide contacts of different shapes selectively on regions of a semiconductor device |
US8298954B1 (en) | 2011-05-06 | 2012-10-30 | International Business Machines Corporation | Sidewall image transfer process employing a cap material layer for a metal nitride layer |
US20120285621A1 (en) | 2011-05-10 | 2012-11-15 | Applied Materials, Inc. | Semiconductor chamber apparatus for dielectric processing |
US9653327B2 (en) * | 2011-05-12 | 2017-05-16 | Applied Materials, Inc. | Methods of removing a material layer from a substrate using water vapor treatment |
US9012283B2 (en) | 2011-05-16 | 2015-04-21 | International Business Machines Corporation | Integrated circuit (IC) chip having both metal and silicon gate field effect transistors (FETs) and method of manufacture |
US8663389B2 (en) | 2011-05-21 | 2014-03-04 | Andrew Peter Clarke | Method and apparatus for crystal growth using a membrane-assisted semi-closed reactor |
JP5563522B2 (ja) | 2011-05-23 | 2014-07-30 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US8562785B2 (en) | 2011-05-31 | 2013-10-22 | Lam Research Corporation | Gas distribution showerhead for inductively coupled plasma etch reactor |
KR101390900B1 (ko) | 2011-05-31 | 2014-04-30 | 세메스 주식회사 | 기판처리장치 |
US8466073B2 (en) | 2011-06-03 | 2013-06-18 | Applied Materials, Inc. | Capping layer for reduced outgassing |
US9589772B2 (en) | 2011-06-09 | 2017-03-07 | Korea Basic Science Institute | Plasma generation source including belt-type magnet and thin film deposition system using this |
WO2012176728A1 (ja) * | 2011-06-23 | 2012-12-27 | 旭化成株式会社 | 微細パタン形成用積層体及び微細パタン形成用積層体の製造方法 |
US8637372B2 (en) | 2011-06-29 | 2014-01-28 | GlobalFoundries, Inc. | Methods for fabricating a FINFET integrated circuit on a bulk silicon substrate |
US8883637B2 (en) | 2011-06-30 | 2014-11-11 | Novellus Systems, Inc. | Systems and methods for controlling etch selectivity of various materials |
US9117867B2 (en) | 2011-07-01 | 2015-08-25 | Applied Materials, Inc. | Electrostatic chuck assembly |
US9054048B2 (en) | 2011-07-05 | 2015-06-09 | Applied Materials, Inc. | NH3 containing plasma nitridation of a layer on a substrate |
KR20110086540A (ko) | 2011-07-12 | 2011-07-28 | 조인숙 | 불소화합물을 이용한 필름의 선택적인 식각 방법 |
US8741775B2 (en) | 2011-07-20 | 2014-06-03 | Applied Materials, Inc. | Method of patterning a low-K dielectric film |
US8974601B2 (en) | 2011-07-29 | 2015-03-10 | Semes Co., Ltd. | Apparatuses, systems and methods for treating substrate |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US20130034666A1 (en) | 2011-08-01 | 2013-02-07 | Applied Materials, Inc. | Inductive plasma sources for wafer processing and chamber cleaning |
KR101271247B1 (ko) | 2011-08-02 | 2013-06-07 | 주식회사 유진테크 | 에피택셜 공정을 위한 반도체 제조설비 |
CN102915902B (zh) | 2011-08-02 | 2015-11-25 | 中微半导体设备(上海)有限公司 | 一种电容耦合式的等离子体处理装置及其基片加工方法 |
US9117759B2 (en) | 2011-08-10 | 2015-08-25 | Micron Technology, Inc. | Methods of forming bulb-shaped trenches in silicon |
US20130045605A1 (en) | 2011-08-18 | 2013-02-21 | Applied Materials, Inc. | Dry-etch for silicon-and-nitrogen-containing films |
US8735291B2 (en) | 2011-08-25 | 2014-05-27 | Tokyo Electron Limited | Method for etching high-k dielectric using pulsed bias power |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) * | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US20130217243A1 (en) | 2011-09-09 | 2013-08-22 | Applied Materials, Inc. | Doping of dielectric layers |
US8808562B2 (en) | 2011-09-12 | 2014-08-19 | Tokyo Electron Limited | Dry metal etching method |
WO2013043330A1 (en) * | 2011-09-23 | 2013-03-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US20130260564A1 (en) | 2011-09-26 | 2013-10-03 | Applied Materials, Inc. | Insensitive dry removal process for semiconductor integration |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8664012B2 (en) | 2011-09-30 | 2014-03-04 | Tokyo Electron Limited | Combined silicon oxide etch and contamination removal process |
US8551891B2 (en) | 2011-10-04 | 2013-10-08 | Applied Materials, Inc. | Remote plasma burn-in |
US9653267B2 (en) | 2011-10-06 | 2017-05-16 | Applied Materials, Inc. | Temperature controlled chamber liner |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US20130087309A1 (en) | 2011-10-11 | 2013-04-11 | Applied Materials, Inc. | Substrate support with temperature control |
JP5740281B2 (ja) | 2011-10-20 | 2015-06-24 | 東京エレクトロン株式会社 | 金属膜のドライエッチング方法 |
US9666414B2 (en) | 2011-10-27 | 2017-05-30 | Applied Materials, Inc. | Process chamber for etching low k and other dielectric films |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US20130115372A1 (en) | 2011-11-08 | 2013-05-09 | Primestar Solar, Inc. | High emissivity distribution plate in vapor deposition apparatus and processes |
JP5779482B2 (ja) | 2011-11-15 | 2015-09-16 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置およびプラズマ処理方法 |
US8652298B2 (en) | 2011-11-21 | 2014-02-18 | Lam Research Corporation | Triode reactor design with multiple radiofrequency powers |
US8900364B2 (en) | 2011-11-29 | 2014-12-02 | Intermolecular, Inc. | High productivity vapor processing system |
US8440523B1 (en) | 2011-12-07 | 2013-05-14 | International Business Machines Corporation | Micromechanical device and methods to fabricate same using hard mask resistant to structure release etch |
US10825708B2 (en) | 2011-12-15 | 2020-11-03 | Applied Materials, Inc. | Process kit components for use with an extended and independent RF powered cathode substrate for extreme edge tunability |
KR20130072911A (ko) | 2011-12-22 | 2013-07-02 | 에스케이하이닉스 주식회사 | 비휘발성 메모리 장치 및 그 제조 방법 |
KR101878311B1 (ko) | 2011-12-30 | 2018-07-17 | 삼성전자주식회사 | high-K막을 스페이서 에치 스톱으로 이용하는 반도체 소자 형성 방법 및 관련된 소자 |
US8603891B2 (en) | 2012-01-20 | 2013-12-10 | Micron Technology, Inc. | Methods for forming vertical memory devices and apparatuses |
US8747686B2 (en) | 2012-01-27 | 2014-06-10 | Applied Materials, Inc. | Methods of end point detection for substrate fabrication processes |
JP6010406B2 (ja) | 2012-01-27 | 2016-10-19 | 東京エレクトロン株式会社 | マイクロ波放射機構、マイクロ波プラズマ源および表面波プラズマ処理装置 |
SG11201403527UA (en) | 2012-02-08 | 2014-09-26 | Iwatani Corp | Method for treating inner surface of chlorine trifluoride supply passage in apparatus using chlorine trifluoride |
US20130175654A1 (en) | 2012-02-10 | 2013-07-11 | Sylvain Muckenhirn | Bulk nanohole structures for thermoelectric devices and methods for making the same |
TWI602283B (zh) | 2012-03-27 | 2017-10-11 | 諾發系統有限公司 | 鎢特徵部塡充 |
US8937800B2 (en) | 2012-04-24 | 2015-01-20 | Applied Materials, Inc. | Electrostatic chuck with advanced RF and temperature uniformity |
KR20150013627A (ko) | 2012-04-26 | 2015-02-05 | 어플라이드 머티어리얼스, 인코포레이티드 | Esc 본딩 접착제 부식을 방지하기 위한 방법들 및 장치 |
US9161428B2 (en) | 2012-04-26 | 2015-10-13 | Applied Materials, Inc. | Independent control of RF phases of separate coils of an inductively coupled plasma reactor |
US9948214B2 (en) | 2012-04-26 | 2018-04-17 | Applied Materials, Inc. | High temperature electrostatic chuck with real-time heat zone regulating capability |
US20130284369A1 (en) | 2012-04-26 | 2013-10-31 | Applied Materials, Inc. | Two-phase operation of plasma chamber by phase locked loop |
US9394615B2 (en) | 2012-04-27 | 2016-07-19 | Applied Materials, Inc. | Plasma resistant ceramic coated conductive article |
US9976215B2 (en) | 2012-05-01 | 2018-05-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor film formation apparatus and process |
JP2013235912A (ja) | 2012-05-08 | 2013-11-21 | Tokyo Electron Ltd | 被処理基体をエッチングする方法、及びプラズマエッチング装置 |
US20130298942A1 (en) | 2012-05-14 | 2013-11-14 | Applied Materials, Inc. | Etch remnant removal |
FR2991320B1 (fr) | 2012-06-05 | 2014-06-27 | Commissariat Energie Atomique | Procede de preparation d'amines methylees |
US8974164B2 (en) | 2012-06-26 | 2015-03-10 | Newfrey Llc | Plastic high heat fastener |
US8916477B2 (en) | 2012-07-02 | 2014-12-23 | Novellus Systems, Inc. | Polysilicon etch with high selectivity |
US9034773B2 (en) | 2012-07-02 | 2015-05-19 | Novellus Systems, Inc. | Removal of native oxide with high selectivity |
US8802572B2 (en) | 2012-07-10 | 2014-08-12 | Applied Materials, Inc. | Method of patterning a low-k dielectric film |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9184030B2 (en) | 2012-07-19 | 2015-11-10 | Lam Research Corporation | Edge exclusion control with adjustable plasma exclusion zone ring |
US9631273B2 (en) | 2012-07-25 | 2017-04-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus for dielectric deposition process |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US8772888B2 (en) | 2012-08-10 | 2014-07-08 | Avalanche Technology Inc. | MTJ MRAM with stud patterning |
US8747680B1 (en) | 2012-08-14 | 2014-06-10 | Everspin Technologies, Inc. | Method of manufacturing a magnetoresistive-based device |
WO2014116304A2 (en) | 2012-08-23 | 2014-07-31 | Applied Materials, Inc. | Method and hardware for cleaning uv chambers |
WO2014035933A1 (en) | 2012-08-28 | 2014-03-06 | Applied Materials, Inc. | Methods and apparatus for forming tantalum silicate layers on germanium or iii-v semiconductor devices |
US20140062285A1 (en) | 2012-08-29 | 2014-03-06 | Mks Instruments, Inc. | Method and Apparatus for a Large Area Inductive Plasma Source |
JP6027374B2 (ja) | 2012-09-12 | 2016-11-16 | 東京エレクトロン株式会社 | プラズマ処理装置及びフィルタユニット |
US9034770B2 (en) * | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US20140099794A1 (en) | 2012-09-21 | 2014-04-10 | Applied Materials, Inc. | Radical chemistry modulation and control using multiple flow pathways |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US9018022B2 (en) | 2012-09-24 | 2015-04-28 | Lam Research Corporation | Showerhead electrode assembly in a capacitively coupled plasma processing apparatus |
TWI604528B (zh) | 2012-10-02 | 2017-11-01 | 應用材料股份有限公司 | 使用電漿預處理與高溫蝕刻劑沉積的方向性二氧化矽蝕刻 |
TWI591712B (zh) | 2012-10-03 | 2017-07-11 | 應用材料股份有限公司 | 使用低溫蝕刻劑沉積與電漿後處理的方向性二氧化矽蝕刻 |
US9165783B2 (en) | 2012-11-01 | 2015-10-20 | Applied Materials, Inc. | Method of patterning a low-k dielectric film |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
JP6035117B2 (ja) | 2012-11-09 | 2016-11-30 | 東京エレクトロン株式会社 | プラズマエッチング方法及びプラズマエッチング装置 |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US9777564B2 (en) | 2012-12-03 | 2017-10-03 | Pyrophase, Inc. | Stimulating production from oil wells using an RF dipole antenna |
WO2014092856A1 (en) | 2012-12-14 | 2014-06-19 | The Penn State Research Foundation | Ultra-high speed anisotropic reactive ion etching |
US9982343B2 (en) | 2012-12-14 | 2018-05-29 | Applied Materials, Inc. | Apparatus for providing plasma to a process chamber |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
JP6328931B2 (ja) | 2012-12-31 | 2018-05-23 | ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC | フォトレジストパターントリミング方法 |
US9165823B2 (en) | 2013-01-08 | 2015-10-20 | Macronix International Co., Ltd. | 3D stacking semiconductor device and manufacturing method thereof |
US9093389B2 (en) | 2013-01-16 | 2015-07-28 | Applied Materials, Inc. | Method of patterning a silicon nitride dielectric film |
JP6080571B2 (ja) | 2013-01-31 | 2017-02-15 | 東京エレクトロン株式会社 | 載置台及びプラズマ処理装置 |
US8970114B2 (en) | 2013-02-01 | 2015-03-03 | Lam Research Corporation | Temperature controlled window of a plasma processing chamber component |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
JP2014154421A (ja) | 2013-02-12 | 2014-08-25 | Tokyo Electron Ltd | プラズマ処理装置、プラズマ処理方法、および高周波発生器 |
US20140234466A1 (en) | 2013-02-21 | 2014-08-21 | HGST Netherlands B.V. | Imprint mold and method for making using sidewall spacer line doubling |
TWI487004B (zh) | 2013-03-01 | 2015-06-01 | Winbond Electronics Corp | 圖案化的方法及記憶體元件的形成方法 |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US8859433B2 (en) | 2013-03-11 | 2014-10-14 | International Business Machines Corporation | DSA grapho-epitaxy process with etch stop material |
US20140262031A1 (en) | 2013-03-12 | 2014-09-18 | Sergey G. BELOSTOTSKIY | Multi-mode etch chamber source assembly |
US8946023B2 (en) | 2013-03-12 | 2015-02-03 | Sandisk Technologies Inc. | Method of making a vertical NAND device using sequential etching of multilayer stacks |
US20140273451A1 (en) | 2013-03-13 | 2014-09-18 | Applied Materials, Inc. | Tungsten deposition sequence |
TWI625424B (zh) | 2013-03-13 | 2018-06-01 | 應用材料股份有限公司 | 蝕刻包含過渡金屬的膜之方法 |
US20140273487A1 (en) | 2013-03-13 | 2014-09-18 | Applied Materials, Inc. | Pulsed dc plasma etching process and apparatus |
US9006106B2 (en) | 2013-03-14 | 2015-04-14 | Applied Materials, Inc. | Method of removing a metal hardmask |
US9411237B2 (en) | 2013-03-14 | 2016-08-09 | Applied Materials, Inc. | Resist hardening and development processes for semiconductor device manufacturing |
US9556507B2 (en) | 2013-03-14 | 2017-01-31 | Applied Materials, Inc. | Yttria-based material coated chemical vapor deposition chamber heater |
US8946076B2 (en) | 2013-03-15 | 2015-02-03 | Micron Technology, Inc. | Methods of fabricating integrated structures, and methods of forming vertically-stacked memory cells |
WO2014145263A1 (en) | 2013-03-15 | 2014-09-18 | Dr. Py Institute, Llc | Single-use needle assembly and method |
US9276011B2 (en) | 2013-03-15 | 2016-03-01 | Micron Technology, Inc. | Cell pillar structures and integrated flows |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
JP5386046B1 (ja) | 2013-03-27 | 2014-01-15 | エピクルー株式会社 | サセプタ支持部およびこのサセプタ支持部を備えるエピタキシャル成長装置 |
US10941501B2 (en) | 2013-03-29 | 2021-03-09 | Analytical Specialties, Inc. | Method and composition for metal finishing |
US9245761B2 (en) | 2013-04-05 | 2016-01-26 | Lam Research Corporation | Internal plasma grid for semiconductor fabrication |
US9230819B2 (en) | 2013-04-05 | 2016-01-05 | Lam Research Corporation | Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing |
US20140308758A1 (en) | 2013-04-10 | 2014-10-16 | Applied Materials, Inc. | Patterning magnetic memory |
US8748322B1 (en) | 2013-04-16 | 2014-06-10 | Applied Materials, Inc. | Silicon oxide recess etch |
US20140311581A1 (en) | 2013-04-19 | 2014-10-23 | Applied Materials, Inc. | Pressure controller configuration for semiconductor processing applications |
US9720022B2 (en) | 2015-05-19 | 2017-08-01 | Lam Research Corporation | Systems and methods for providing characteristics of an impedance matching model for use with matching networks |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US20140342569A1 (en) | 2013-05-16 | 2014-11-20 | Applied Materials, Inc. | Near surface etch selectivity enhancement |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9082826B2 (en) | 2013-05-24 | 2015-07-14 | Lam Research Corporation | Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features |
JP6002087B2 (ja) | 2013-05-29 | 2016-10-05 | 東京エレクトロン株式会社 | グラフェンの生成方法 |
US20140357083A1 (en) | 2013-05-31 | 2014-12-04 | Applied Materials, Inc. | Directed block copolymer self-assembly patterns for advanced photolithography applications |
US10808317B2 (en) | 2013-07-03 | 2020-10-20 | Lam Research Corporation | Deposition apparatus including an isothermal processing zone |
US8871651B1 (en) | 2013-07-12 | 2014-10-28 | Globalfoundries Inc. | Mask formation processing |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9362163B2 (en) | 2013-07-30 | 2016-06-07 | Lam Research Corporation | Methods and apparatuses for atomic layer cleaning of contacts and vias |
KR102154112B1 (ko) | 2013-08-01 | 2020-09-09 | 삼성전자주식회사 | 금속 배선들을 포함하는 반도체 장치 및 그 제조 방법 |
US9070635B2 (en) * | 2013-08-09 | 2015-06-30 | United Microelectronics Corp. | Removing method |
US20150050812A1 (en) | 2013-08-13 | 2015-02-19 | Globalfoundries Inc. | Wafer-less auto clean of processing chamber |
US9543163B2 (en) | 2013-08-20 | 2017-01-10 | Applied Materials, Inc. | Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process |
WO2015031163A1 (en) | 2013-08-27 | 2015-03-05 | Tokyo Electron Limited | Method for laterally trimming a hardmask |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
JP5837012B2 (ja) | 2013-09-12 | 2015-12-24 | ラピスセミコンダクタ株式会社 | モニタリング方法、プラズマモニタリング方法、モニタリングシステム及びプラズマモニタリングシステム |
US9230980B2 (en) | 2013-09-15 | 2016-01-05 | Sandisk Technologies Inc. | Single-semiconductor-layer channel in a memory opening for a three-dimensional non-volatile memory device |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US9051655B2 (en) | 2013-09-16 | 2015-06-09 | Applied Materials, Inc. | Boron ionization for aluminum oxide etch enhancement |
US8980758B1 (en) | 2013-09-17 | 2015-03-17 | Applied Materials, Inc. | Methods for etching an etching stop layer utilizing a cyclical etching process |
TWI720422B (zh) | 2013-09-27 | 2021-03-01 | 美商應用材料股份有限公司 | 實現無縫鈷間隙填充之方法 |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
SG11201600440VA (en) | 2013-11-06 | 2016-02-26 | Mattson Tech Inc | Novel mask removal process strategy for vertical nand device |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9330937B2 (en) | 2013-11-13 | 2016-05-03 | Intermolecular, Inc. | Etching of semiconductor structures that include titanium-based layers |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US20150170926A1 (en) | 2013-12-16 | 2015-06-18 | David J. Michalak | Dielectric layers having ordered elongate pores |
US20150171008A1 (en) | 2013-12-17 | 2015-06-18 | GLOBAL FOUNDRIES Singapore Ptd. Ltd. | Integrated circuits with dummy contacts and methods for producing such integrated circuits |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US20150170879A1 (en) | 2013-12-17 | 2015-06-18 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US20150170943A1 (en) | 2013-12-17 | 2015-06-18 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9622375B2 (en) | 2013-12-31 | 2017-04-11 | Applied Materials, Inc. | Electrostatic chuck with external flow adjustments for improved temperature distribution |
US9111907B2 (en) | 2014-01-02 | 2015-08-18 | Globalfoundries Inc. | Silicide protection during contact metallization and resulting semiconductor structures |
US20150200042A1 (en) | 2014-01-10 | 2015-07-16 | Applied Materials, Inc. | Recessing ultra-low k dielectric using remote plasma source |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US20150214066A1 (en) | 2014-01-27 | 2015-07-30 | Applied Materials, Inc. | Method for material removal in dry etch reactor |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9305749B2 (en) | 2014-02-10 | 2016-04-05 | Applied Materials, Inc. | Methods of directing magnetic fields in a plasma source, and associated systems |
JP6059165B2 (ja) | 2014-02-19 | 2017-01-11 | 東京エレクトロン株式会社 | エッチング方法、及びプラズマ処理装置 |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
KR102175763B1 (ko) | 2014-04-09 | 2020-11-09 | 삼성전자주식회사 | 반도체 메모리 장치 및 이의 제조 방법 |
US9881788B2 (en) | 2014-05-22 | 2018-01-30 | Lam Research Corporation | Back side deposition apparatus and applications |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US20150345029A1 (en) | 2014-05-28 | 2015-12-03 | Applied Materials, Inc. | Metal removal |
US9773683B2 (en) | 2014-06-09 | 2017-09-26 | American Air Liquide, Inc. | Atomic layer or cyclic plasma etching chemistries and processes |
US9666449B2 (en) | 2014-06-17 | 2017-05-30 | Micron Technology, Inc. | Conductors having a variable concentration of germanium for governing removal rates of the conductor during control gate formation |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US20150371865A1 (en) | 2014-06-19 | 2015-12-24 | Applied Materials, Inc. | High selectivity gas phase silicon nitride removal |
US20150371861A1 (en) | 2014-06-23 | 2015-12-24 | Applied Materials, Inc. | Protective silicon oxide patterning |
KR102248205B1 (ko) | 2014-06-25 | 2021-05-04 | 삼성전자주식회사 | 수직 채널 및 에어 갭을 갖는 반도체 소자 |
KR20160002543A (ko) | 2014-06-30 | 2016-01-08 | 세메스 주식회사 | 기판 처리 장치 |
US20160005833A1 (en) | 2014-07-03 | 2016-01-07 | Applied Materials, Inc. | Feol low-k spacers |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US20160042968A1 (en) | 2014-08-05 | 2016-02-11 | Applied Materials, Inc. | Integrated oxide and si etch for 3d cell channel mobility improvements |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9558928B2 (en) | 2014-08-29 | 2017-01-31 | Lam Research Corporation | Contact clean in high-aspect ratio structures |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US10083818B2 (en) | 2014-09-24 | 2018-09-25 | Applied Materials, Inc. | Auto frequency tuned remote plasma source |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
CN105448737A (zh) | 2014-09-30 | 2016-03-30 | 联华电子股份有限公司 | 用以形成硅凹槽的蚀刻制作工艺方法与鳍式场效晶体管 |
US9240315B1 (en) | 2014-10-10 | 2016-01-19 | Applied Materials, Inc. | CVD oxide surface pre-conditioning by inductively coupled O2 plasma |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9652567B2 (en) | 2014-10-20 | 2017-05-16 | Lam Research Corporation | System, method and apparatus for improving accuracy of RF transmission models for selected portions of an RF transmission path |
US9508529B2 (en) | 2014-10-23 | 2016-11-29 | Lam Research Corporation | System, method and apparatus for RF power compensation in a plasma processing system |
US10102321B2 (en) | 2014-10-24 | 2018-10-16 | Lam Research Corporation | System, method and apparatus for refining radio frequency transmission system models |
US9368369B2 (en) | 2014-11-06 | 2016-06-14 | Applied Materials, Inc. | Methods for forming a self-aligned contact via selective lateral etch |
US9799509B2 (en) | 2014-11-26 | 2017-10-24 | Asm Ip Holding B.V. | Cyclic aluminum oxynitride deposition |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9620377B2 (en) * | 2014-12-04 | 2017-04-11 | Lab Research Corporation | Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch |
JP6320282B2 (ja) | 2014-12-05 | 2018-05-09 | 東京エレクトロン株式会社 | エッチング方法 |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9536749B2 (en) | 2014-12-15 | 2017-01-03 | Lam Research Corporation | Ion energy control by RF pulse shape |
US20160181116A1 (en) | 2014-12-18 | 2016-06-23 | Lam Research Corporation | Selective nitride etch |
US9396961B2 (en) | 2014-12-22 | 2016-07-19 | Lam Research Corporation | Integrated etch/clean for dielectric etch applications |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9431268B2 (en) | 2015-01-05 | 2016-08-30 | Lam Research Corporation | Isotropic atomic layer etch for silicon and germanium oxides |
US9425041B2 (en) | 2015-01-06 | 2016-08-23 | Lam Research Corporation | Isotropic atomic layer etch for silicon oxides using no activation |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US20160237570A1 (en) | 2015-02-13 | 2016-08-18 | Applied Materials, Inc. | Gas delivery apparatus for process equipment |
US9275834B1 (en) | 2015-02-20 | 2016-03-01 | Applied Materials, Inc. | Selective titanium nitride etch |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
TWI670749B (zh) | 2015-03-13 | 2019-09-01 | 美商應用材料股份有限公司 | 耦接至工藝腔室的電漿源 |
US9478433B1 (en) | 2015-03-30 | 2016-10-25 | Applied Materials, Inc. | Cyclic spacer etching process with improved profile control |
KR102452593B1 (ko) | 2015-04-15 | 2022-10-11 | 삼성전자주식회사 | 반도체 장치의 제조 방법 |
US20160307772A1 (en) | 2015-04-15 | 2016-10-20 | Applied Materials, Inc. | Spacer formation process with flat top profile |
US9576788B2 (en) | 2015-04-24 | 2017-02-21 | Applied Materials, Inc. | Cleaning high aspect ratio vias |
US9870899B2 (en) | 2015-04-24 | 2018-01-16 | Lam Research Corporation | Cobalt etch back |
US9659791B2 (en) | 2015-07-16 | 2017-05-23 | Applied Materials, Inc. | Metal removal with reduced surface roughness |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US9972504B2 (en) | 2015-08-07 | 2018-05-15 | Lam Research Corporation | Atomic layer etching of tungsten for enhanced tungsten deposition fill |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9837286B2 (en) | 2015-09-04 | 2017-12-05 | Lam Research Corporation | Systems and methods for selectively etching tungsten in a downstream reactor |
US9564338B1 (en) | 2015-09-08 | 2017-02-07 | Applied Materials, Inc. | Silicon-selective removal |
US9460959B1 (en) | 2015-10-02 | 2016-10-04 | Applied Materials, Inc. | Methods for pre-cleaning conductive interconnect structures |
US10192751B2 (en) | 2015-10-15 | 2019-01-29 | Lam Research Corporation | Systems and methods for ultrahigh selective nitride etch |
US20170133202A1 (en) | 2015-11-09 | 2017-05-11 | Lam Research Corporation | Computer addressable plasma density modification for etch and deposition processes |
US9601319B1 (en) | 2016-01-07 | 2017-03-21 | Lam Research Corporation | Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process |
JP6854611B2 (ja) * | 2016-01-13 | 2021-04-07 | 東京エレクトロン株式会社 | 基板処理方法、基板処理装置及び基板処理システム |
US10147588B2 (en) | 2016-02-12 | 2018-12-04 | Lam Research Corporation | System and method for increasing electron density levels in a plasma of a substrate processing system |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US9779956B1 (en) | 2017-02-06 | 2017-10-03 | Lam Research Corporation | Hydrogen activated atomic layer etching |
-
2016
- 2016-11-11 US US15/349,530 patent/US9768034B1/en active Active
-
2017
- 2017-09-18 US US15/707,638 patent/US10186428B2/en active Active
- 2017-11-08 KR KR1020217012704A patent/KR102313219B1/ko active IP Right Grant
- 2017-11-08 CN CN202310498893.6A patent/CN116564808A/zh active Pending
- 2017-11-08 WO PCT/US2017/060696 patent/WO2018089536A1/en active Application Filing
- 2017-11-08 CN CN201780069648.6A patent/CN110235228B/zh active Active
- 2017-11-08 KR KR1020197016252A patent/KR102247535B1/ko active IP Right Grant
- 2017-11-08 JP JP2019524352A patent/JP6882469B2/ja active Active
- 2017-11-10 TW TW110134518A patent/TWI778793B/zh active
- 2017-11-10 TW TW106138881A patent/TWI743249B/zh active
-
2021
- 2021-05-06 JP JP2021078358A patent/JP7343543B2/ja active Active
Patent Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9202708B1 (en) * | 2014-10-24 | 2015-12-01 | Applied Materials, Inc. | Doped silicon oxide etch |
Also Published As
Publication number | Publication date |
---|---|
US20180138055A1 (en) | 2018-05-17 |
KR102313219B1 (ko) | 2021-10-15 |
TWI743249B (zh) | 2021-10-21 |
US9768034B1 (en) | 2017-09-19 |
JP6882469B2 (ja) | 2021-06-02 |
WO2018089536A1 (en) | 2018-05-17 |
TWI778793B (zh) | 2022-09-21 |
CN116564808A (zh) | 2023-08-08 |
JP7343543B2 (ja) | 2023-09-12 |
CN110235228A (zh) | 2019-09-13 |
KR20210049975A (ko) | 2021-05-06 |
US10186428B2 (en) | 2019-01-22 |
TW202205428A (zh) | 2022-02-01 |
JP2019533910A (ja) | 2019-11-21 |
JP2021132220A (ja) | 2021-09-09 |
KR20190068639A (ko) | 2019-06-18 |
TW201826386A (zh) | 2018-07-16 |
KR102247535B1 (ko) | 2021-05-03 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN110235228B (zh) | 用于高深宽比结构的移除方法 | |
TWI781757B (zh) | 用於移除含鋁薄膜之系統及方法 | |
JP7401593B2 (ja) | 空隙を形成するためのシステム及び方法 | |
TWI785783B (zh) | 用於選擇性金屬化合物移除之系統及方法 | |
US20220293430A1 (en) | Isotropic silicon nitride removal | |
US10872778B2 (en) | Systems and methods utilizing solid-phase etchants | |
US20240282585A1 (en) | Treatments to improve etched silicon-and-germanium-containing material surface roughness | |
US20240290623A1 (en) | Processing methods to improve etched silicon-and-germanium-containing material surface roughness | |
JP2024527376A (ja) | 遷移金属窒化物材料の選択的除去 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |