KR100434110B1 - 반도체 장치의 제조방법 - Google Patents

반도체 장치의 제조방법 Download PDF

Info

Publication number
KR100434110B1
KR100434110B1 KR10-2002-0031421A KR20020031421A KR100434110B1 KR 100434110 B1 KR100434110 B1 KR 100434110B1 KR 20020031421 A KR20020031421 A KR 20020031421A KR 100434110 B1 KR100434110 B1 KR 100434110B1
Authority
KR
South Korea
Prior art keywords
film
silicon nitride
nitride film
semiconductor device
manufacturing
Prior art date
Application number
KR10-2002-0031421A
Other languages
English (en)
Other versions
KR20030094482A (ko
Inventor
백은경
박선후
김홍근
윤경중
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR10-2002-0031421A priority Critical patent/KR100434110B1/ko
Priority to US10/346,792 priority patent/US6774048B2/en
Publication of KR20030094482A publication Critical patent/KR20030094482A/ko
Application granted granted Critical
Publication of KR100434110B1 publication Critical patent/KR100434110B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3211Nitridation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers

Abstract

질화규소막과 산화막간의 계면 특성이 향상된 반도체 장치의 제조방법이 개시되어 있다. 먼저, 소정의 패턴이 형성된 반도체 기판상에 실리콘 질화막을 형성하도록 한다. 형성된 실리콘 질화막의 표면에 실리콘과 질소의 일부 결합을 끊어 댕글링 결합(dangling bond)을 형성한다. 이후 실란 화합물을 사용하여 플로우필 방식에 의한 실리콘 산화막을 형성하도록 한다. 플로우필 방식을 적용하기 전에 질화규소막의 표면을 처리하여 이후 적용되는 실란 화합물로부터 유도되는 히드록시실란 화합물과의 결합력을 강화시킨 것이다. 이를 통하여 갭을 채우는 특성을 향상시키고 이후 수행되는 어닐 공정시 발생되는 계면 결함을 방지할 수 있게 된다.

Description

반도체 장치의 제조방법{Method of Manufacturing Semiconductor Device}
본 발명은 반도체 장치의 제조방법에 관한 것으로서, 더욱 상세하게는 질화규소막과 그 상부에 형성되는 산화막간의 부착력을 증가시켜 계면 특성을 개선함으로써 품질을 향상시킬 수 있는 반도체 장치의 제조방법에 관한 것이다.
근래에 컴퓨터와 같은 정보 매체의 급속한 보급에 따라 반도체 메모리 소자도 비약적으로 발전하고 있다. 그 기능 면에 있어서, 반도체 메모리 소자는 고속으로 동작하는 동시에 대용량의 저장 능력을 가질 것이 요구된다. 이러한 요구에 부응하여 소자의 집적도, 신뢰성 및 응답 속도 등을 향상시키는 방향으로 제조 기술이 발전되어 왔다. 소자의 고집적화를 위해서는 셀 사이즈의 축소는 필연적이며, 각 셀의 사이즈가 감소함에 따라 기판 상에 형성되는 모든 패턴의 사이즈 및 마진도 감소하게 된다. 이에 비하여, 소자의 수직 규모, 즉 소자를 구성하는 각 부재들의 종횡비(aspect ratio)는 더욱 증가하게 된다.
VLSI 초기에 저전압 구동 디바이스로 채택되어온 폴리실리콘 게이트 구조는 전기적 특성, 신뢰성 및 집적도 등의 측면에서 우수하여 산업용을 중심으로 하는 마이크로 컴퓨터용 LSI 나 고밀도 메모리용 디바이스로서 크게 성장하여 현재 여러 분야에서 사용되고 있다. 또한, 폴리실리콘은 고융점 물질이기 때문에, 게이트 전극 형성시 소오스와 드레인 부분의 확산층을 함께 형성하는 자기정렬(self-align) 방식이 가능할 뿐만 아니라, 폴리실리콘을 게이트 전극으로 패터닝한 후, 상기 폴리실리콘을 열적으로 산화시키는 것이 가능하다. 따라서, 게이트 전극의 모서리 부분에서 반응성 이온 식각에 의해 발생한 손상을 보상함과 동시에, 게이트 전극에 전압을 인가할 때, 상기 모서리 부분에서의 높은 프린지 전계를 완화시켜 디바이스의 신뢰성을 증가시킬 수 있다.
한편, 최근 개발되고 있는 고집적 반도체 소자의 디자인 룰(design rule)은 약 0.15㎛ 이하의 수준으로 작아지고 있다. 이에 따라, BC공정 마진을 확보하기 위하여 자기-정렬 방식이 많이 사용되고 있다. 상기한 자기-정렬 방식에 의한 반도체장치의 콘택홀 제조 공정을 도면을 참고하여 상세히 살펴보면 다음과 같다.
도 1a 내지 1e에는 종래의 기술에 따른 반도체 장치의 콘택홀 형성방법을 예시하기 위한 공정 단면도를 나타내었다.
도 1a를 참고하면, 먼저 반도체 기판(11) 예컨대 실리콘 기판상에 게이트 산화막(21)을 형성한다. 다음에, 상기 게이트 산화막(21) 상에 도전막 및 캐핑 절연막(capping insulation layer)을 차례로 형성한다. 상기 도전막은 도핑된 폴리실리콘막 또는 폴리사이드막으로 형성한다. 상기 폴리사이드막은 도핑된 폴리실리콘막 및 내화성 금속 실리사이드막(refractory metal silicide layer)으로 구성된다. 상기 내화성 금속 실리사이드막으로는 텅스텐 실리사이드막, 티타늄 실리사이드막, 코발트 실리사이드막 등이 널리 사용된다.
상기 캐핑 절연막은 산화막에 대하여 높은 식각 선택비를 보이는 실리콘 질화막으로 형성하는 것이 바람직하다. 이어서, 상기 캐핑 절연막 및 도전막을 연속적으로 패터닝하여 게이트 산화막(21)의 소정 영역 상에 서로 소정의 간격을 유지하는 평행한 게이트 패턴(37)을 형성한다. 각각의 게이트 패턴(37)은 도전막 패턴(31) 및 캐핑 절연막 패턴(32)이 차례로 적층된 구조를 갖는다. 상기 도전막 패턴(31)은 게이트 전극 역할을 한다.
도 1b를 참고하면, 게이트 패턴(37)이 형성된 결과물의 전면에 실리콘 질화막을 형성한 다음, 상기 실리콘 질화막을 이방성 식각하여 게이트 패턴(37)의 측벽에 스페이서(33)를 형성한다. 이 때, 게이트 패턴(37) 사이의 게이트 산화막(21)은 과도하게 식각되어 반도체 기판(11)이 노출될 수도 있고 초기의 게이트 산화막보다얇은 산화막이 잔존할 수도 있다. 스페이서(33)를 형성하면, 도 1b에 도시된 바와 같이 도전막 패턴(31) 즉 게이트 패턴은 게이트 산화막 패턴(22), 캐핑 절연막 패턴(32) 및 스페이서(33)에 의하여 완전히 둘러싸이게 된다.
상기 스페이서(33)를 형성하기 위한 이방성 식각 공정을 진행하면 반도체 기판 표면에 식각 손상이 가해진다. 따라서, 상기 식각 손상을 제거하기 위하여 스페이서(33)가 형성된 결과물을 소정의 온도에서 열산화시킨다. 이 때, 게이트 패턴(37) 사이의 반도체 기판(11) 표면에 얇은 열산화막이 성장된다. 상기 얇은 열산화막을 스크린 산화막으로 사용하여 게이트 패턴(37) 사이의 반도체 기판(11)에 소오스/드레인 영역(도시하지 않음)을 형성하기 위한 이온 주입 공정을 실시한다.
도 1c를 참고하면, 이어서 상기 스페이서(33)가 형성된 결과물 전면에 식각 저지막(34)을, 예컨대 CVD 공정에 의한 실리콘 질화막을 형성한다. 상기 식각저지막(34)은 70∼150Å 정도의 얇은 두께로 형성하는 것이 바람직하다. 도면에서 G1으로 표시된 부분이 갭 사이즈를 의미한다.
도 1d를 참고하면 식각저지막(34) 상에 요부를 채우는 특성이 우수한 층간절연물질을 사용하여 층간절연막(41)을 형성하도록 한다. 기존에는 이를 위하여 고밀도 플라즈마 CVD 산화막으로 형성하거나, 고밀도 플라즈마 CVD 산화막 및 저압 CVD 산화막을 차례로 적층시켜 형성하기도 하였다. 그런데 이와 같은 플라즈마 CVD 방식에 의하면 플라즈마의 반응성이 우수하기 때문에 하부막과 충분한 접착력으로 부착될 수 있어서 계면에서의 들뜸 현상은 나타나지 않지만 식각저지막 사이의 갭이 작아짐에 따라 보이드가 형성된다는 문제점이 있다. 이에 따라 최근에는 패턴간에갭이 작은 경우에 플라즈마 CVD 방식이 아닌 플로우필 방식이 도입되어 사용된다.
이러한 플로우필 방식에 의한 층간절연막의 형성 방법에 관하여 좀 더 상세히 설명하면 다음과 같다. 이는 트리콘(Trikon) 사의 플로우필(Flowfill) 이라는 장비를 이용하여 실란과 과산화수소 가스의 반응에 의하여 막을 형성하는 방식으로서 하부막 패턴에 존재하는 작은갭을 채우기에 적합한 방식이다. 즉, SiH4, CH3SiH3등과 같은 실란 화합물과 과산화수소(H2O2)의 반응에 의하여 생성되는 SiO2또는 (SiOCH)n등과 같은 실리콘 산화막으로서, 먼저 실란 화합물과 과산화수소가 기체 상태에서 반응하여 Si(OH)4또는 CH3Si(OH)3와 같은 히드록시 실란 화합물을 형성한다. 이것이 하부막의 표면상에서 액상으로 반응을 일으키는데, 탈수에 의해 고분자화 되면서 SiO2와 같은 산화막으로서 증착된다. 이에 따라 갭이 매우 작은 패턴 상에 적용하여도 보이드의 형성이 없어서 최근에 널리 사용되는 방식이다.
이어서, 상기 층간절연막(41)을 평탄화시킨 다음에, 평탄화된 층간절연막을 패터닝할수 있도록 소정 형상의 포토레지스트 패턴(51)을 형성하도록 한다.
도 1e를 참고하면, 형성된 포토레지스트 패턴(51)을 이용하여 층간절연막(41)을 식각하여 층간절연막 패턴(42)을 형성함과 동시에 게이트 패턴(37) 사이의 식각저지막(34)을 노출시킨다. 그리고 상기 노출된 식각저지막(34)을 식각하여 게이트 패턴들(37) 사이의 반도체 기판(11)을 노출시키는 자기정렬 콘택홀을 형성한다.
이상과 같은 종래의 방식에 의하면 플로우필 방식에 의한 층간절연막의 증착시 친수성 단량체인 Si(OH)4, CH3Si(OH)3등이 갭사이로 잘 플루우되어 들어가야 하고 이러한 화합물이 하부의 질화규소 막과 용이하게 접착이 되어야 한다. 플로우필 방식에 의한 계면에서의 반응을 첨부된 도 2a 및 2b를 참고로 하여 좀 더 상세히 설명하기로 한다. 도면은 CH3Si(OH)3및 H2O2를 원료로 한 경우의 반응을 예시한 것으로서 도면에서 M은 CH3를 의미한다.
도 2a를 참고하면, 기재(substrate)상에서의 표면 반응을 메틸실란 및 과산화수소의 반응을 통하여 나타내었다. 메틸실란과 과산화수소가 반응하여 실란의 수소결합이 하나씩 차례대로 히드록시 결합으로 치환되는 것을 알 수 있다.
도 2b를 참고하면, 인접하는 CH3Si(OH)3화합물의 한쌍의 히드록시기로부터 물이 한분자 빠지면서 두 화합물은 산소 원자를 사이에 두고 결합을 형성하게 된다. 이러한 반응이 계속 진행되어 고분자화 됨으로써 실리콘 산화막을 형성하게 되는 것이다. 메틸실란을 출발물질로 사용하는 경우 (SiOCH)n(n은 양의 정수)을 기본 단위로 하는 산화막이 형성된다.
그런데 이 경우, 기재(substrate)가 SiN으로 되어 있어서 친수성이 낮으므로 플로우필 증착시 형성되는 친수성 단량체인 CH3Si(OH)3가 작은갭 사이로 만족할만큼 충분히 플로우되지 못하고 하부막과의 부착력이 충분히 양호하지 못하여 막의 증착후 수행되는 어닐링 공정시 하부막과의 계면이 들뜨게 된다는 문제점이 있다. 이러한 문제점을 개선하기 위하여 SiN 막의 형성후 N2O, O2등으로 플라즈마 처리를 하는 방식시 적용되고 있다.
도 3a 및 3b에 SiN 막의 형성후 상기한 N2O 플라즈마 처리의 수행 유무에 따른 계면에서의 접착 특성을 비교하여 나타내었다. 도 3a는 SiN막의 형성후 아무런 처리도 하지 않은 경우에 얻어지는 결과이고, 도 3b는 SiN막의 형성후 N2O 플라즈마 처리를 수행한 경우에 얻어지는 결과이다. 도면에서, 질화막과 산화막의 계면에 결함으로 표시된 부분이 들뜬 부분을 의미한다.
도면을 비교하여 볼 때, 플라즈마 처리를 수행하지 않은 경우에 비하여 플라즈마 처리를 수행한 경우에 질화막과 산화막의 계면에서의 접착 특성이 향상된 것을 확인할 수 있다. 이러한 계면 특성 향상 효과는 플라즈마 처리에 의하여 SiN 막의 표면상에 얇은 산화막이 형성되어 이후 적용되는 플로우필 방식에서 사용하는 물질과의 부착력이 향상되었기 때문인 것으로 생각된다.
그러나 플라즈마 처리를 수행한 경우에도 여전히 작은 갭이 형성되어 결함으로 남아 있음을 확인할 수 있다. 이러한 플라즈마 처리에 의하면 플라즈마가 이방성을 갖기 때문에 특히 갭의 사이즈가 작은 경우 벽쪽은 충분히 처리되지 않아서 계면에서 약간의 결함을 나타내는 것으로 이해된다.
한편, 일특개평9-162291호에서는 질화막과 층간절연막의 계면에 결함이 발생하는 것을 방지하기 위하여 플라즈마 CVD에 의한 절연막을 형성하고, ArF 엑시머 레이저 조사와 암모니아 가스를 도입하여 상기 절연막의 표면을 질화하는 공정과질화된 막상에 SOG 절연막을 형성하는 기술을 개시하고 있다.
이러한 방법에 의하면 질화막이 형성된 결과물 전면에 균일한 두께를 가지는 식각저지막을 형성할 수 있으며, 식각 저지막상에 고밀도 플라즈마 CVD 산화막의 형성시 식각저지막이 들뜨는 현상을 억제할 수 있는 것으로 기재되어 있다.
그러나 이는 공정이 다소 복잡하다는 문제점이 있으며 플로우필 방식에 의한 산화막을 층간절연막으로 도입하는 경우에는 적용하기 어렵다는 단점이 있다.
본 발명의 목적은 상기한 문제점을 감안한 것으로서, 실리콘 질화막상에 플로우필 방식에 의한 산화막의 형성시 계면에서 나타나는 결함을 방지할 수 있는 새로운 공정을 도입하는 것에 의해 품질이 향상된 반도체 장치의 제조방법을 제공하는 것이다.
도 1a 내지 1e는 종래의 기술에 따른 반도체 장치의 콘택홀 형성방법을 예시하기 위한 공정 단면도이다.
도 2a 및 2b는 플로우필 방식에 의한 산화막 형성 공정에서의 화학적인 반응의 추이를 설명하기 위한 화학 반응 메카니즘을 나타낸 것이다.
도 3a 및 3b는 종래의 기술에 따라 SiN막 상부에 플로우필 방식의 산화막을 형성하고 열처리한후 계면에서의 접착 특성을 비교하여 나타낸 사진으로서, SiN막의 형성후 아무런 처리도 수행되지 않은 경우(도 3a)와 N2O 플라즈마 처리가 수행된 경우(도 3b)에 대한 것이다.
도 4a 내지 4d는 본 발명의 바람직한 일실시예에 따른 반도체 장치의 콘택홀 형성방법을 예시하기 위한 공정 단면도이다.
도 5a 내지 5d는 SiN막 표면과 이를 플라즈마 처리한후 XPS 분석결과를 나타내는 그래프로서, 도 5a는 아무 처리도 하지 않은 SiN막 표면에 대한 분석 결과이고, 도 5b는 N2O 플라즈마 처리후 SiN막 표면에 대한 분석 결과이고, 도 5c는 본 발명의 제1 실시예에 따라 CDE 처리후 SiN막 표면에 대한 분석 결과이고, 도 5d는 본 발명의 제2 실시예에 따라 NOR 처리후 SiN막 표면에 대한 분석 결과이다.
도 6은 본 발명의 또다른 바람직한 실시예에 따른 반도체 장치의 콘택홀 형성방법을 예시하기 위한 공정 단면도이다.
도 7a 내지 7e는 본 발명의 바람직한 실시예에 따라 SiN막 상부에 플로우필 방식의 산화막을 형성하고 열처리한후 계면에서의 접착 특성을 비교하여 나타낸 단면도로서, 도 7a는 본 발명의 제1 실시예에 따라 SiN막 표면을 CDE 처리한 경우에 대한 결과이고, 도 7b는 본 발명의 제2 실시예에 따라 SiN막 표면을 NOR 처리한 경우에 대한 결과이고, 도 7c는 본 발명의 제3 실시예에 따라 SiN막 표면에 불소 이온 주입 처리한 경우에 대한 결과이고, 도 7d는 본 발명의 제4 실시예에 따라 SiN막 표면에 아르곤 이온 주입 처리한 경우에 대한 결과이고, 도 7e는 본 발명의 제5 실시예에 따라 SiN막 표면을 암모니아 플라즈마 처리한 경우에 대한 결과이다.
*도면의 주요 부분에 대한 부호의 설명*
11, 110 ... 반도체 기판 37, 370 ... 게이트
34, 340 ... 식각저지막 41, 410 ... 층간절연막
상기 목적을 달성하기 위하여 본 발명에서는
소정의 패턴이 형성된 반도체 기판상에 실리콘 질화막을 형성하는 단계;
형성된 실리콘 질화막의 표면에 실리콘과 질소의 일부 결합을 끊어 댕글링 결합(dangling bond)을 형성하는 단계; 및
실란 화합물을 사용하여 플로우필 방식에 의한 실리콘 산화막을 형성하는 단계를 포함하는 반도체 장치의 제조방법을 제공한다.
상기한 댕글링 결합의 형성을 위하여 리모트 플라즈마를 사용하여 상기 실리콘 질화막의 표면을 10∼20Å 범위로 등방성 식각 처리하는 것을 바람직한 일실시예로 한다.
이러한 식각 처리를 위하여, 사불화탄소(CF4), 산소, 질소 및 불화수소를 포함하는 혼합가스를 사용하여 제조되는 리모트 플라즈마를 사용하는 것이 가능하고, 다르게는 수소, 질소 및 삼불화질소(NF3)를 포함하는 혼합가스를 사용하여 제조되는 리모트 플라즈마를 적용하는 것도 적용가능하다.
그 외에도 상기 댕글링 결합의 형성을 위하여 저에너지 이온 주입 처리하는 것을 바람직한 실시예로 한다.
이러한 이온 주입 처리는 불활성 가스를 사용하여 1∼5KeV/1.0±0.5E15/cm2의 조건으로 수행되는 것이 바람직하며, 이온 주입 처리시의 주입 각도는 15±2°범위가 되도록 하는 것이 또한 바람직하다.
상기 댕글링 결합의 형성후에는 표면을 암모니아 플라즈마로 처리하는 단계를 더 수행하는 것도 가능하다.
상기한 본 발명의 목적은
소정의 패턴이 형성된 반도체 기판상에 실리콘 질화막을 형성하는 단계;
형성된 실리콘 질화막의 표면에 친수성을 부여하는 단계; 및
실란 화합물을 사용하여 플로우필 방식에 의한 실리콘 산화막을 형성하는 단계를 포함하는 반도체 장치의 제조방법에 의해서도 달성된다.
상기 실리콘 질화막의 표면에 친수성을 부여하기 위한 바람직한 일실시예로서 표면을 암모니아 플라즈마로 처리하는 것이 가능하다.
상기한 본 발명의 목적은 또한
반도체 기판상에 게이트 패턴을 형성하는 단계;
상기 게이트 패턴의 측벽상에 스페이서를 형성하는 단계;
전면에 실리콘 질화막을 형성하는 단계;
형성된 실리콘 질화막의 표면에서 실리콘과 질소의 일부 결합을 끊어 댕글링 결합(dangling bond)을 형성하는 단계; 및
실란 화합물을 사용하여 플로우필 방식에 의한 실리콘 산화막을 형성하는 단계를 포함하는 반도체 장치의 제조방법에 의해서도 달성된다.
상기 댕글링 결합의 형성후 표면을 암모니아 플라즈마로 처리하는 단계를 더 수행하는 것이 특히 바람직하다.
본 발명에서는 하부막의 형상에 따라 굴곡을 갖도록 형성되며 갭사이즈가 매우 작은 실리콘 질화막의 표면상에 플로우필 방식에 의해 산화막을 형성할 때, 실리콘 질화막의 표면상에 댕글링 결합을 형성하여 이후 처리되는 어닐 공정시 계면에서 막이 서로 분리되는 현상이 나타나지 않게 하고, 실리콘 질화막 표면에서의 친수성을 향상시키는 것에 의해 이후 도포되는 막이 잘 플로우될 수 있도록 하는 것에 의해 질화막과 산화막간의 계면 특성을 향상시키도록 하고 있다.
이하, 본 발명을 좀 더 상세히 설명하기로 한다.
본 발명에서 용이하게 적용될 수 있는 실리콘 질화막은 하부막의 전면상에 형성되기 때문에 하부막 패턴의 형상에 따라 형성된다. 이에 따라 하부막이 갭 사이즈가 작은 패턴 형상이라면 그 상부에 형성되는 질화막도 소정의 갭을 갖는 패턴모양으로 형성될 것이다. 본 발명의 방법은 형성된 질화막상의 패턴간에 갭이 매우 작은 경우에 용이하게 적용될 수 있는데 특히 갭사이즈가 50nm 이하, 바람직하게는 40∼50nm 범위인 경우에 적용된다. 이에 더하여, 이와 같이 작은 갭 사이즈를 가지면서도 갭의 어스펙트비가 높은 경우 본 발명의 방법을 적용하는 것에 의해 우수한 효과를 얻을 수 있을 것이다. 이를 고려할 때 실리콘 질화막의 패턴간에 형성되는 갭의 어스펙트비는 1:8∼14 범위인 경우에 특히 본 발명의 방법을 용이하게 적용할 수 있다.
한편, 실리콘 질화막상에 형성되는 실리콘 산화막은 실란 화합물 및 과산화수소 가스의 반응에 의하여 형성된 히드록시 실란 화합물{R4-nSi(OH)n, R은 알킬기이고, n=1, 2, 3 또는 4}, 특히 CH3Si(OH)3, Si(OH)n의 축합 반응에 의하여 형성되는 막으로서 이는 갭사이즈가 작은 패턴을 채우는 물질로서 플로우필 방식에서 많이 사용된다.
그런데 실리콘 질화막은 SiN 결합으로 이루어진 막으로서, 막표면에 결합이 가능한 사이트가 남아 있지 않기 때문에 이후에 적용되는 실란 화합물과 과산화물 의 반응에 의해 형성되는 히드록시 실란 화합물과 반응성이 거의 없다. 그러나 본 발명의 바람직한 실시예에 따라 실리콘 질화막의 형성후 리모트 플라즈마를 사용하여 막의 표면을 약 10∼20Å 범위로 식각하거나 이온 주입 공정을 수행하면 이후 적용되는 물질과의 반응이 가능한 새로운 결합 사이트가 생성되는데, 이러한 사이트를 댕글링 결합이라고 한다. 생성된 댕글링 결합으로 인하여 SiN 막의 상부에 적용되는 물질은 SiN 막과 강한 결합력으로 부착가능하게 된다. 이러한 부착은 이후 수행되는 열처리 공정에 의해서도 계면에서의 들뜸을 방지할 수 있을만큼 충분한 것이다.
상기 리모트 플라즈마에 의한 식각 처리를 위하여 사불화탄소(CF4), 산소, 질소 및 불화수소를 포함하는 혼합가스를 사용하여 제조되는 리모트 플라즈마를 사용하는 것이 가능하다. 구체적으로는 400W 이하의 파워, 90Pa 이하의 압력, 상온 조건에서 혼합가스 플라즈마를 생성시키도록 하는데, 바람직한 가스의 혼합비로는 10sccm 미만의 미량의 사불화탄소, 각각 150 sccm 이상의 산소와 질소 및 140 sccm 이하의 불화수소를 혼합 사용하는 경우를 제시할 수 있다. 이러한 리모트 플라즈마에 의하면 타겟이 있는 챔버내에서는 라디칼 반응이 주로 일어나는 것으로 생각된다.
다르게는 수소, 질소 및 삼불화질소(NF3)를 포함하는 혼합가스를 사용하여 제조되는 리모트 플라즈마를 적용하는 것도 적용가능하다. 구체적으로는 400∼2000W의 파워, 1 torr 이상의 압력, 25℃ 이하의 온도 조건을 적용하고, 바람직한 가스의 혼합비로는 10∼30 sccm 의 수소, 2000 sccm 이하의 질소, 200 sccm 이하의 삼불화질소 가스를 혼합하는 경우를 제시할 수 있다. 이러한 리모트 플라즈마에 의하면 타겟이 있는 챔버내에서는 주로 여기상태의 물질(excited species)이 반응을 일으키는 것으로 생각된다.
일반적으로 종래에 적용되던 플라즈마는 형성된 플라즈마가 직접 타겟이 되는 막상에 부딪히기 때문에 이방성을 갖지만 본 발명에서와 같이 적용되는 리모트 플라즈마는 별도의 챔버에서 형성된 플라즈마가 타겟이 있는 반응챔버로 주입되는 방식을 취하기 때문에 등방성을 갖게 된다. 따라서, 갭의 벽쪽도 충분한 정도로 처리가능하여 특히 갭의 벽쪽 계면에서의 결함을 방지해줄 수 있다.
SiN 막표면에 댕글링 결합을 형성하기 위한 다른 방법으로서 상기 SiN막의 표면을 저에너지 이온 주입 처리하는 것이 가능하다. 이는 막표면부의 SiN 결합을 물리적으로 끊어 주는 방식으로서 표면부에만 이온 주입이 되도록 저에너지로 이온 주입하는 것이다.
이러한 이온 주입 처리는 불활성 가스를 사용하여 1∼5KeV/1.0±0.5E15/cm2의 조건으로 수행되는 것이 바람직하며, 이온 주입 처리시의 주입 각도는 15±2°범위가 되도록 하는 것이 또한 바람직하다.
더욱 바람직하게, 본 발명의 방법에 의하면 SiN 막의 표면상에 친수성을 향상시키 주는 방식이 적용될 수 있다. 이는 SiN막상에 적용되는 히드록시 실란 화합물이 친수성 물질이라는 점에 착안한 것으로서, 이와 같은 친수성의 향상을 통하여 SiN 막상부에 적용되며 다수의 히드록시기를 갖는 극성 물질인 히드록시 실란 화합물이 SiN 표면상에 잘 부착될 수 있도록 하는 것이다. 이러한 친수성 향상을 위한 처리는 SiN 막을 형성한 후 독립적으로 수행될 수도 있으나 상기한 리모트 플라즈마에 의한 식각 처리나 저에너지 이온 주입 처리후에 병행하여 수행될 수도 있다. 이 경우, 어느 한가지 처리만 수행하는 것보다 더욱 향상된 효과를 얻을 수 있다.
그러나 본 발명자 등에 의한 다양한 실험 결과 리모트 플라즈마에 의한 댕글링 결합 형성 방식이 공정 적용면에서 가장 유리한 방식인 것으로 판단된다.
이하, 본 발명의 바람직한 실시예를 첨부된 도면을 참고로 하여 좀 더 상세히 설명하기로 한다.
본 발명의 방식을 적용하기 위한 바람직한 일실시예로서 자기-정렬 방식에 의한 반도체 장치의 콘택홀 제조 공정을 첨부된 도 4a 내지 4d를 참고하여 상세히 살펴보면 다음과 같다.
도 4a 내지 4d에는 본 발명의 바람직한 제1 실시예에 따른 반도체 장치의 콘택홀 형성방법을 예시하기 위한 공정 단면도를 나타낸다.
도 4a를 참고하면, 먼저 반도체 기판(110) 예컨대 실리콘 기판상에 게이트 산화막을 형성한다. 다음에, 상기 게이트 산화막 상에 도전막 및 캐핑 절연막을 차례로 형성한다. 상기 도전막은 도핑된 폴리실리콘막 또는 폴리사이드막으로 형성한다. 상기 폴리사이드막은 도핑된 폴리실리콘막 및 내화성 금속 실리사이드막으로 구성된다. 상기 내화성 금속 실리사이드막으로는 텅스텐 실리사이드막, 티타늄 실리사이드막, 코발트 실리사이드막 등이 널리 사용된다.
상기 캐핑 절연막은 산화막에 대하여 높은 식각 선택비를 보이는 실리콘 질화막으로 형성하는 것이 바람직하다. 이어서, 상기 캐핑 절연막 및 도전막을 연속적으로 패터닝하여 게이트 산화막의 소정 영역 상에 서로 소정의 간격을 유지하는 평행한 게이트 패턴(370)을 폭이 약 2000Å이고 두께가 약 4500Å의 사이즈로 형성한다. 각각의 게이트 패턴(370)은 도전막 패턴(310) 및 캐핑 절연막 패턴(320)이차례로 적층된 구조를 갖는다. 상기 도전막 패턴(310)은 게이트 전극 역할을 한다.
게이트 패턴(370)이 형성된 결과물의 전면에 실리콘 질화막을 형성한 다음, 상기 실리콘 질화막을 이방성 식각하여 게이트 패턴(370)의 측벽에 약 500Å 두께의 스페이서(330)를 형성한다. 이 때, 게이트 패턴(370) 사이의 게이트 산화막은 과도하게 식각되어 반도체 기판(110)이 노출될 수도 있고 초기의 게이트 산화막보다 얇은 산화막이 잔존할 수도 있다. 스페이서(330)를 형성하면, 도 4a에 도시된 바와 같이 도전막 패턴(310) 즉 게이트 패턴은 게이트 산화막 패턴(220), 캐핑 절연막 패턴(320) 및 스페이서(330)에 의하여 완전히 둘러싸이게 된다.
상기 스페이서(330)를 형성하기 위한 이방성 식각 공정을 진행하면 반도체 기판 표면에 식각 손상이 가해진다. 따라서, 상기 식각 손상을 제거하기 위하여 스페이서(330)가 형성된 결과물을 소정의 온도에서 열산화시킨다. 이 때, 게이트 패턴(370) 사이의 반도체 기판(110) 표면에 얇은 열산화막이 성장된다. 상기 얇은 열산화막을 스크린 산화막으로 사용하여 게이트 패턴(370) 사이의 반도체 기판(110)에 소오스/드레인 영역(도시하지 않음)을 형성하기 위한 이온 주입 공정을 실시한다.
도 4b를 참고하면, 이어서 상기 스페이서(330)가 형성된 결과물 전면에 식각 저지막(340)을, 예컨대 CVD 공정에 의한 실리콘 질화막을 형성한다. 상기 식각 저지막(340)은 약 70∼150Å 정도의 얇은 두께로 형성하는 것이 바람직하며 구체적으로는 약 100Å 정도의 두께로 형성한다.
도 4c를 참고하면, 이와 같이 형성된 SiN 막(340)상에 리모트 플라즈마(R/P)처리를 한다. 이러한 리모트 플라즈마 처리에 의하면 상기 실리콘 질화막의 표면이 10∼20Å 범위로 등방성 식각 처리되어 SiN막 표면 부분에 댕글링 결합을 형성하게 된다. 구체적으로는 식각 처리를 위하여 사불화탄소(CF4), 산소, 질소 및 불화수소를 포함하는 혼합가스로 제조되는 리모트 플라즈마를 사용하는 것이 가능하다. 구체적인 플라즈마 발생 조건으로는 400W의 파워를 15초 동안 인가하되, 압력은 75Pa, 온도는 25℃ 조건을 적용하였으며, 혼합 가스는 CF4/O2/N2/HF = 8/162/150/140 sccm 의 유량으로 적용하였다. 이하, 이러한 식각 방식을 CDE(chemical dry etching) 라고 일컫기로 한다.
도 4d를 참고하면, 식각저지막(340) 상에 요부를 채우는 특성이 우수한 층간절연물질을 사용하고 플로우필 방식을 도입하여 층간절연막(410)을 형성하도록 한다. 즉, SiH4및 과산화수소(H2O2)를 기체 상태에서 반응시켜여 Si(OH)4를 형성한다. 이것이 SiN막의 표면상에서 액상으로 반응을 일으키는데, 탈수에 의해 고분자화 되면서 SiO2막으로 증착된다. 본 실시예에서와 같이 SiN 막의 표면을 CDE 처리한 후 플로우필 방식에 의한 산화막을 형성하면 SiN 막상에 결합이 끊어져서 반응이 자유로는 사이트인 댕글링 결합이 존재하기 때문에 이후 적용되는 산화물과의 반응성이 우수하여 두 막질간의 부착력이 증가되고 이는 결국 계면에서의 결합력을 향상시키게 된다.
이어서, 약 700∼800℃의 온도 범위에서 약 30분간 열처리함으로써 탈수 반응을 수행하여 산화막으로 이루어진 층간절연막(410)을 형성하도록 한다. 상기 층간절연막(410)을 CMP(chemical mechanical polishing) 등의 방법을 사용하여 평탄화시키도록 한다.
이후 도 1d 및 1e에 나타난 바와 같은 통상의 공정에 따라, 평탄화된 층간절연막을 패터닝할수 있도록 소정 형상의 포토레지스트 패턴을 형성하고 형성된 포토레지스트 패턴을 이용하여 층간절연막을 식각하여 게이트 패턴 사이의 식각저지막을 노출시킨다. 그리고 노출된 식각저지막을 식각하여 게이트 패턴들 사이의 반도체 기판을 노출시키는 자기정렬 콘택홀을 형성하도록 한다.
본 발명의 바람직한 제2 실시예에서는 상기한 제1 실시예에서와 동일한 방법으로 수행하되, 수소, 질소 및 삼불화질소의 혼합가스를 사용하여 제조되는 리모트 플라즈마를 사용하였다. 이는 상기한 도 4a 내지 도 4d에 도시된 경우와 동일하게 적용하되, 도 4c에서 SiN 막의 형성후 막표면의 처리시 사불화탄소/산소/질소/불화수소 의 혼합가스 시스템을 적용하는 대신에 수소/질소/삼불화질소 가스를 10/1800/90 sccm 비율로 적용하였다. 이 때, 파워는 4000W, 압력은 4 torr, 온도는 15℃ 조건으로 90초 동안 적용하였다. 이러한 식각 방식을 NOR(native oxide remove) 이라고 일컫기로 한다.
이후 도 4d 이하의 공정을 수행하여 식각저지막상에 요부를 채우는 특성이 우수한 층간절연물질을 사용하고 플로우필 방식을 도입하여 층간절연막을 형성하도록 한다. 본 실시예에서와 같이 SiN 막의 표면을 NOR 처리한 후 플로우필 방식에 의한 산화막을 형성하면 SiN 막상에 결합을 이루지 않는 댕글링 결합이 존재하기 때문에 이후 적용되는 산화물과의 반응성이 우수하여 계면에서의 결합력이 향상되고 이는 결국 두 막질간의 부착력을 증가시키게 된다. 이어서, 약 700∼800℃의 온도 범위에서 약 30분간 열처리함으로써 탈수 반응을 완전히 진행시켜 산화막으로 이루어진 층간절연막을 형성하도록 한다.
이상과 같은 리모트 플라즈마에 의한 SiN 막표면의 처리에 의한 막표면에서의 변화를 관찰하기 위하여 XPS 분석을 수행하였다. 이를 종래의 방식에 의한 SiN 막과 비교하여 나타내었다. 도 5a 내지 5d는 SiN막 표면과 이를 플라즈마 처리한후 XPS 분석결과를 그래프로 나타낸 것이다.
도 5a는 종래의 기술에 따라 아무 처리도 하지 않은 SiN막 표면에 대한 결과이고, 도 5b는 종래의 개선된 기술에 따라 N2O 플라즈마 처리후 SiN막 표면에 대한 결과이고, 도 5c는 본 발명의 제1 실시예에 따라 CDE 처리후 SiN막 표면에 대한 결과이고, 도 5d는 본 발명의 제2 실시예에 따라 NOR 처리후 SiN막 표면에 대한 결과이다.
먼저, 도 5a는 SiN막을 형성한 후 아무 처리도 하지 않은 경우에 대응되는 분석 결과로서, 그래프 a는 실리콘 원자 농도를 나타내고 그래프 b는 질소 원자 농도를 나타내며, 그래프 c, d 및 e는 각각 산소, 탄소 및 불소를 나타낸다.
도 5b는 SiN막을 형성한 후 N2O 플라즈마 처리를 한 경우에 대응되는 분석 결과로서, 도 5a와 비교할 때, 실리콘 농도(그래프 a), 질소 농도(그래프 b), 탄소 농도(그래프 d) 등에 비하여 표면에서 산소의 농도(그래프 c)가 크게 증가된 것을 확인 할 수 있다. 이는 N2O 플라즈마 처리에 의해 막표면에 옥사이드 결합이 형성되었음을 의미한다. 즉, 종래의 N2O 플라즈마 처리에 의하면 막표면상에 옥사이드 결합을 형성하는 것에 의해 이후 적용되는 후속막과의 친화력이 증가되 이를 통하여 계면 특성이 향상됨을 확인할 수 있다.
이에 비하여, SiN막의 형성후 CDE 및 NOR 처리한 경우에 대응되는 도 5c 및 5d에 나타난 분석 결과에 의하면 도 5b에서와는 달리 표면에서의 산소 농도 (그래프 c) 증가가 거의 없으며, 실리콘 원자, 질소 원자, 탄소 원자 등이 도 5a의 결과와 거의 유사한 양상을 보인다는 것을 확인할 수 있다. 이는 본 발명의 실시예 1 및 2에 의한 리모트 플라즈마 처리를 통해서는 SiN막상에 옥사이드 결합이 형성되지 않는다는 것을 확인할 수 있다.
상기한 리모트 플라즈마를 도입하는 실시예 외에도 본 발명에 의하면 SiN 막 표면상에 댕글링 결합을 형성하기 위하여 막 표면부를 저에너지로 이온 주입 처리하는 것이 가능하다.
도 6c에는 본 발명의 또 다른 바람직한 실시예에 따른 반도체 장치의 콘택홀 형성방법을 예시하기 위한 공정 단면도를 나타내었다.
먼저, 도 4a 및 4b에 나타난 공정에 따라 게이트 패턴(370) 상에 SiN막(340)을 형성하도록 한다. 이후, SiN 막표면부에 댕글링 결합을 형성하기 위하여 저에너지로 이온 주입 공정(IIP)을 수행하도록 한다. 이러한 이온 주입 처리는 물리적으로 결합을 끊어주어 결합 사이트를 형성하도록 하는 방식이다. 이온 주입 공정은 불활성 가스를 사용하여 1∼5KeV/1.0±0.5E15/cm2의 조건으로 수행되는 것이 바람직하며, 이온 주입 처리시의 주입 각도는 15±2°범위가 되도록 하는 것이 또한 바람직하다. 구체적인 이온 주입 조건으로는 F/1KeV/1.0E15/cm2또는 Ar/5KeV/1.0E15/cm2의 조건과 약 15°의 이온주입 각도를 적용하여 막표면에 댕글링 결합을 형성하도록 한다(각각 제3 및 4 실시예).
이후 상기한 제1 실시예에서와 동일한 방식으로 식각저지막(340) 상에 요부를 채우는 특성이 우수한 층간절연물질을 사용하고 플로우필 방식을 도입하여 층간절연막(410)을 형성하도록 한다. 즉, SiH4및 과산화수소(H2O2)를 기체 상태에서 반응시켜여 Si(OH)4를 형성한다. 이것이 SiN막의 표면상에서 액상으로 반응을 일으키는데, 탈수에 의해 고분자화 되면서 SiO2막으로 증착된다. 본 실시예에서와 같이 SiN 막의 표면을 저에너지 이온 주입 처리한 후 플로우필 방식에 의한 산화막을 형성하면 SiN 막상에 결합을 이루지 않는 댕글링 결합손이 존재하기 때문에 이후 적용되는 산화물과의 반응성이 우수하여 계면에서의 결합력이 향상되고 이는 결국 두 막질간의 부착력을 증가시키게 된다.
이어서, 약 700∼800℃의 온도 범위에서 약 30분간 열처리함으로써 탈수 반응이 완전히 진행되어 산화막으로 이루어진 층간절연막(410)을 형성하도록 한다.
본 발명의 또 다른 바람직한 제5 실시예로서 SiN 표면에 친수성을 부여하는 방식이 적용될 수 있다.
이는 SiN막의 형성후 암모니아(NH3) 플라즈마 처리 공정을 수행하는 것으로구현될 수 있다. 암모니아 플라즈마 처리의 경우에는 SiN 막 표면상에 댕글링 본드를 형성하는 것보다는 막 표면상에 나이트라이드막이 약간 자라는 것으로 생각된다. 즉, 막표면에 N-H 와 같은 친수성 결합이 형성되어 후속 공정에서 적용되는 하이드록시기를 갖는 물질과의 친화력이 향상되는 것으로 생각된다. 암모니아 플라즈마 처리에 의한 친수성 증가를 확인하기 위하여 SiN막을 포함하여 여러 가지 처리를 수행한 막상에 물방울을 떨어뜨리고 표면과 물방울이 이루는 각인 콘택 앵글을 측정한 결과를 표 1에 나타내었다. 참고로, 콘택 앵글이 작을수록 물방울이 막상에 퍼지려는 성질이 강하다는 것을 의미하므로 막의 친수성이 높은 것으로 해석한다.
표면처리 상태 콘택 앵글(°)
SiN 37.7
SiN/N2O 플라즈마 20초 24.4
SiN/N2O 플라즈마 20초/F 이온주입 16.8
SiN/N2O 플라즈마 100초 17.8
SiN/O2플라즈마 2분 11.6
SiN/Ar 이온주입 34.3
SiN/F 이온주입 32.3
SiN/NOR 28.4
SiN/CDE 34.4
SiN/H2플라즈마 20.9
SiN/700℃ 어닐링/H2플라즈마 25초 18.8
SiN/NH3플라즈마 2분 <3
상기 표 1에서, 표면 처리를 하지 않은 SiN의 친수성이 가장 낮은 것을 확인할 수 있으며, CDE 처리, NOR 처리, 이온 주입 공정 등에 의해서는 친수성이 거의 향상되지 않음을 확인할 수 있다. 이에 비하여 N2O 플라즈마 처리에 의해서는 친수성이 어느 정도 향상됨을 확인할 수 있다. 그러나 본 실시예에 따라 암모니아 플라즈마 처리한 경우에는 친수성이 획기적으로 월등하게 향상됨을 알 수 있다.
도 7a 내지 7e는 본 발명의 바람직한 각 실시예에 따라 SiN막 상부에 플로우필 방식의 산화막을 형성하고 열처리한후 질화막과 산화막 사이 계면에서의 접착 특성을 비교하여 나타내었다. 도 7a는 본 발명의 제1 실시예에 따라 SiN막 표면을 CDE 처리한 경우에 대한 결과이고, 도 7b는 본 발명의 제2 실시예에 따라 SiN막 표면을 NOR 처리한 경우에 대한 결과이고, 도 7c는 본 발명의 제3 실시예에 따라 SiN막 표면에 불소 이온 주입 처리한 경우에 대한 결과이고, 도 7d는 본 발명의 제4 실시예에 따라 SiN막 표면에 아르곤 이온 주입 처리한 경우에 대한 결과이고, 도 7e는 본 발명의 제5 실시예에 따라 SiN막 표면을 암모니아 플라즈마 처리한 경우에 대한 결과이다.
도면을 통하여 확인할 수 있는 바와 같이 본 발명의 방법에 의하면 SiN막과 후속되는 산화막과의 계면 특성이 향상되어 막의 들뜸이나 벌어짐이 전혀 없음을 알 수 있다.
이상에서 설명한 바와 같이 본 발명의 방법에 의하면 실리콘 질화막상에 플로우필 방식에 의한 산화막의 형성시 질화규소막과 그 상부에 형성되는 산화막간의 계면 특성이 향상되고 이들간의 부착이 개선되어 특성이 향상된 소자의 제조가 가능하게 된다.
이상, 본 발명의 바람직한 실시예를 참조하여 설명하였지만 해당 기술분야의 숙련된 당업자라면 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을이해할 수 있을 것이다.

Claims (22)

  1. 소정의 패턴이 형성된 반도체 기판상에 실리콘 질화막을 형성하는 단계;
    형성된 실리콘 질화막의 표면에 실리콘과 질소의 일부 결합을 끊어 댕글링 결합(dangling bond)을 형성하는 단계; 및
    실란 화합물을 사용하여 플로우필 방식에 의한 실리콘 산화막을 형성하는 단계를 포함하는 반도체 장치의 제조방법.
  2. 제1항에 있어서, 댕글링 결합의 형성을 위하여 리모트 플라즈마를 사용하여 상기 실리콘 질화막의 표면을 10∼20Å 범위로 등방성 식각 처리하는 것을 특징으로 하는 반도체 장치의 제조방법.
  3. 제2항에 있어서, 사불화탄소(CF4), 산소, 질소 및 불화수소를 포함하는 혼합가스를 사용하여 제조되는 리모트 플라즈마를 사용하는 것을 특징으로 하는 반도체 장치의 제조방법.
  4. 제2항에 있어서, 수소, 질소 및 삼불화질소(NF3)를 포함하는 혼합가스를 사용하여 제조되는 리모트 플라즈마를 사용하는 것을 특징으로 하는 반도체 장치의 제조방법.
  5. 제1항에 있어서, 상기 댕글링 결합의 형성을 위하여 저에너지 이온 주입 처리하는 것을 특징으로 하는 반도체 장치의 제조방법.
  6. 제5항에 있어서, 상기 이온 주입 처리가 불활성 가스를 사용하여 1∼5KeV/1.0±0.5E15/cm2의 조건으로 수행되는 것을 특징으로 하는 반도체 장치의 제조방법.
  7. 제5항에 있어서, 상기 이온 주입 처리시의 주입 각도가 15±2°범위인 것을 특징으로 하는 반도체 장치의 제조방법.
  8. 제1항에 있어서, 상기 댕글링 결합의 형성후 표면을 암모니아 플라즈마로 처리하는 단계를 더 수행하는 것을 특징으로 하는 반도체 장치의 제조방법.
  9. 제1항에 있어서, 상기 실리콘 산화막은 실란 화합물 및 과산화수소 가스의 반응에 의하여 형성된 히드록시 실란 화합물{R4-nSi(OH)n, R은 알킬기이고, n=1, 2, 3 또는 4}의 축합 반응에 의하여 형성되는 막임을 특징으로 하는 반도체 장치의 제조방법.
  10. 제1항에 있어서, 상기 실리콘 질화막은 하부 패턴의 형상에 따라 패턴을 갖도록 형성되고 형성된 패턴간에 형성되는 갭의 사이즈는 40∼50nm 범위인 것을 특징으로 하는 반도체 장치의 제조방법.
  11. 제10항에 있어서, 상기 실리콘 질화막의 패턴간에 형성되는 갭의 어스펙트비는 1:8∼14 범위인 것을 특징으로 하는 반도체 장치의 제조방법.
  12. 제1항에 있어서, 상기 실리콘 질화막은 하부막의 전면상에 제조되고 하부막을 노출시키지 않는 것을 특징으로 하는 반도체 장치의 제조방법.
  13. 소정의 패턴이 형성된 반도체 기판상에 실리콘 질화막을 형성하는 단계;
    형성된 실리콘 질화막의 표면에 친수성을 부여하는 단계; 및
    실란 화합물을 사용하여 플로우필 방식에 의한 실리콘 산화막을 형성하는 단계를 포함하는 반도체 장치의 제조방법.
  14. 제13항에 있어서, 상기 실리콘 질화막의 표면을 암모니아 플라즈마로 처리하는 것을 특징으로 하는 반도체 장치의 제조방법.
  15. 제13항에 있어서, 상기 실리콘 질화막은 하부 패턴의 형상에 따라 패턴을 갖도록 형성되고 형성된 패턴간의 거리는 40∼50nm 범위인 것을 특징으로 하는 반도체 장치의 제조방법.
  16. 제15항에 있어서, 상기 실리콘 질화막의 패턴간에 형성되는 갭의 어스펙트비는 1:8∼14 범위인 것을 특징으로 하는 반도체 장치의 제조방법.
  17. 반도체 기판상에 게이트 패턴을 형성하는 단계;
    상기 게이트 패턴의 측벽상에 스페이서를 형성하는 단계;
    전면에 실리콘 질화막을 형성하는 단계;
    형성된 실리콘 질화막의 표면에서 실리콘과 질소의 일부 결합을 끊어 댕글링 결합(dangling bond)을 형성하는 단계; 및
    실란 화합물을 사용하여 플로우필 방식에 의한 실리콘 산화막을 형성하는 단계를 포함하는 반도체 장치의 제조방법.
  18. 제17항에 있어서, 상기 실리콘 질화막은 하부 패턴의 형상에 따라 패턴을 갖도록 형성되고 형성된 패턴간의 거리는 40∼50nm 범위인 것을 특징으로 하는 반도체 장치의 제조방법.
  19. 제17항에 있어서, 상기 실리콘 질화막의 패턴간에 형성되는 갭의 어스펙트비는 1:8∼14 범위인 것을 특징으로 하는 반도체 장치의 제조방법.
  20. 제17항에 있어서, 댕글링 결합의 형성을 위하여 리모트 플라즈마를 사용하여 상기 실리콘 질화막의 표면을 10∼20Å 범위로 등방성 식각 처리하는 것을 특징으로 하는 반도체 장치의 제조방법.
  21. 제17항에 있어서, 상기 댕글링 결합의 형성을 위하여 저에너지 이온 주입 처리하는 것을 특징으로 하는 반도체 장치의 제조방법.
  22. 제17항에 있어서, 상기 댕글링 결합의 형성후 표면을 암모니아 플라즈마로 처리하는 단계를 더 수행하는 것을 특징으로 하는 반도체 장치의 제조방법.
KR10-2002-0031421A 2002-06-04 2002-06-04 반도체 장치의 제조방법 KR100434110B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR10-2002-0031421A KR100434110B1 (ko) 2002-06-04 2002-06-04 반도체 장치의 제조방법
US10/346,792 US6774048B2 (en) 2002-06-04 2003-01-17 Method of manufacturing a semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2002-0031421A KR100434110B1 (ko) 2002-06-04 2002-06-04 반도체 장치의 제조방법

Publications (2)

Publication Number Publication Date
KR20030094482A KR20030094482A (ko) 2003-12-12
KR100434110B1 true KR100434110B1 (ko) 2004-06-04

Family

ID=29578236

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2002-0031421A KR100434110B1 (ko) 2002-06-04 2002-06-04 반도체 장치의 제조방법

Country Status (2)

Country Link
US (1) US6774048B2 (ko)
KR (1) KR100434110B1 (ko)

Families Citing this family (162)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005093909A (ja) * 2003-09-19 2005-04-07 Tokyo Electron Ltd 基板処理方法及び基板処理装置
US7604908B2 (en) * 2005-03-09 2009-10-20 Tokyo Electron Limited Fine pattern forming method
US8252696B2 (en) * 2007-10-22 2012-08-28 Applied Materials, Inc. Selective etching of silicon nitride
JP5671202B2 (ja) * 2007-10-26 2015-02-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated フォトレジストテンプレートマスクを用いて頻度を倍にする方法
CN101855706A (zh) * 2007-11-08 2010-10-06 朗姆研究公司 使用氧化物垫片减小节距
US7923321B2 (en) * 2008-11-03 2011-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for gap filling in a gate last process
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8420541B2 (en) * 2011-05-06 2013-04-16 Nanya Technology Corporation Method for increasing adhesion between polysilazane and silicon nitride
US8771536B2 (en) * 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9252250B2 (en) 2012-12-12 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Tunneling field effect transistor (TFET) with ultra shallow pockets formed by asymmetric ion implantation and method of making same
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8993425B2 (en) * 2012-12-18 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Tunneling field effect transistor (TFET) formed by asymmetric ion implantation and method of making same
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11152455B2 (en) 2019-09-23 2021-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method to reduce breakdown failure in a MIM capacitor
US11430729B2 (en) 2020-09-16 2022-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. MIM capacitor with a symmetrical capacitor insulator structure

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09162291A (ja) * 1995-12-06 1997-06-20 Ricoh Co Ltd 半導体装置の製造方法
KR19980030411A (ko) * 1996-10-29 1998-07-25 김영환 반도체 장치의 보호막 형성 방법
KR0172535B1 (ko) * 1995-06-20 1999-03-30 김주용 반도체 소자의 보호막 형성방법
JP2000106364A (ja) * 1998-09-29 2000-04-11 Sony Corp 絶縁膜の製造方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3761918B2 (ja) * 1994-09-13 2006-03-29 株式会社東芝 半導体装置の製造方法
US5705430A (en) * 1995-06-07 1998-01-06 Advanced Micro Devices, Inc. Dual damascene with a sacrificial via fill
US6140024A (en) * 1997-12-31 2000-10-31 Texas Instruments Incorporated Remote plasma nitridation for contact etch stop
US6331492B2 (en) * 1997-12-31 2001-12-18 Texas Instruments Incorporated Nitridation for split gate multiple voltage devices
US6590229B1 (en) * 1999-01-21 2003-07-08 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and process for production thereof
JP2001068398A (ja) * 1999-08-27 2001-03-16 Hitachi Ltd 半導体集積回路装置の製造方法およびマスクの製造方法
US6444592B1 (en) * 2000-06-20 2002-09-03 International Business Machines Corporation Interfacial oxidation process for high-k gate dielectric process integration
US6362085B1 (en) * 2000-07-19 2002-03-26 Taiwan Semiconductor Manufacturing Company Method for reducing gate oxide effective thickness and leakage current
US6537833B1 (en) * 2001-06-19 2003-03-25 Advanced Micro Devices, Inc. Method and apparatus for characterizing an interconnect structure profile using scatterometry measurements
US6630361B1 (en) * 2001-06-28 2003-10-07 Advanced Micro Devices, Inc. Use of scatterometry for in-situ control of gaseous phase chemical trim process
US6500529B1 (en) * 2001-09-14 2002-12-31 Tonoga, Ltd. Low signal loss bonding ply for multilayer circuit boards
US6673638B1 (en) * 2001-11-14 2004-01-06 Kla-Tencor Corporation Method and apparatus for the production of process sensitive lithographic features

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0172535B1 (ko) * 1995-06-20 1999-03-30 김주용 반도체 소자의 보호막 형성방법
JPH09162291A (ja) * 1995-12-06 1997-06-20 Ricoh Co Ltd 半導体装置の製造方法
KR19980030411A (ko) * 1996-10-29 1998-07-25 김영환 반도체 장치의 보호막 형성 방법
JP2000106364A (ja) * 1998-09-29 2000-04-11 Sony Corp 絶縁膜の製造方法

Also Published As

Publication number Publication date
US20030224617A1 (en) 2003-12-04
KR20030094482A (ko) 2003-12-12
US6774048B2 (en) 2004-08-10

Similar Documents

Publication Publication Date Title
KR100434110B1 (ko) 반도체 장치의 제조방법
JP3875455B2 (ja) 半導体装置の製造方法
US6137176A (en) Semiconductor device and method of fabricating the same
US7598151B2 (en) Semiconductor device fabrication method
US7682927B2 (en) Method of manufacturing semiconductor device
KR100338771B1 (ko) 수소 어닐링 단계를 포함하는 공정이 간단한 트렌치소자분리방법
US6136700A (en) Method for enhancing the performance of a contact
JP4669679B2 (ja) 窒化珪素膜の製造方法及び半導体装置の製造方法
CN1273866C (zh) 镶嵌蚀刻方法中各向异性氮化物的蚀刻工艺
JP2001274381A (ja) 半導体装置の製造方法
JP2000164716A (ja) 半導体装置及びその製造方法
US8324061B2 (en) Method for manufacturing semiconductor device
US6326670B1 (en) Semiconductor device and method for manufacturing the same
US7008878B2 (en) Plasma treatment and etching process for ultra-thin dielectric films
US7566924B2 (en) Semiconductor device with gate spacer of positive slope and fabrication method thereof
KR100670925B1 (ko) 반도체 장치 및 이의 제조 방법
JP2000188333A (ja) 半導体装置及びその製造方法
US20050170608A1 (en) Semiconductor device and, manufacturing method thereof
JP2822910B2 (ja) 半導体装置の層間絶縁膜の形成方法
KR100464862B1 (ko) 반도체 장치의 제조 방법
US7544556B1 (en) Process for forming CMOS devices using removable spacers
JP2005142549A (ja) 半導体装置の製造方法
KR20070018223A (ko) 반도체 소자의 제조방법
US7135407B2 (en) Method of manufacturing a semiconductor device
KR20040008519A (ko) 반도체 소자의 소자분리막 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130430

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20140430

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20150430

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20160429

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20170427

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20180430

Year of fee payment: 15

FPAY Annual fee payment

Payment date: 20190429

Year of fee payment: 16