JP2004533123A - 銅接続用の障壁エンハンスメント工程 - Google Patents

銅接続用の障壁エンハンスメント工程 Download PDF

Info

Publication number
JP2004533123A
JP2004533123A JP2003505996A JP2003505996A JP2004533123A JP 2004533123 A JP2004533123 A JP 2004533123A JP 2003505996 A JP2003505996 A JP 2003505996A JP 2003505996 A JP2003505996 A JP 2003505996A JP 2004533123 A JP2004533123 A JP 2004533123A
Authority
JP
Japan
Prior art keywords
enhancement layer
metal
layer
copper
barrier layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003505996A
Other languages
English (en)
Inventor
チュ エイチ ティン
イーゴー イヴァーノフ
Original Assignee
マトソン テクノロジー インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マトソン テクノロジー インコーポレーテッド filed Critical マトソン テクノロジー インコーポレーテッド
Publication of JP2004533123A publication Critical patent/JP2004533123A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76868Forming or treating discontinuous thin films, e.g. repair, enhancement or reinforcement of discontinuous thin films
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

本発明の超小形電子構造における電極形成層へ銅を導入するための金属パターン形成工程は、銅の電極形成層を電気化学的にデポジションする前に、銅合金またはCo−W−Pのような合金のエンハンスメント層を、障壁層の上へ、PVD、CVDまたは電気化学的デポジションを用いて形成する工程を含む。エンハンスメント層は、10Å〜100Åの厚さを有し、障壁層における不連続、割れ目、結晶粒界欠陥をコンフォーマルにカバーする。エンハンスメント層は、その上へ銅の電極形成層のような金属層を電気化学的デポジションによって付着可能な導電面を提供する。代替的に、銅の電極形成に先立って、シード層をエンハンスメント層の上にデポジションしてもよい。

Description

【技術分野】
【0001】
本発明は、実在する超薄障壁層の上に薄膜のエンハンスメント層をデポジションして、障壁層の欠陥を修復し、障壁特性を向上させるための、電気化学的デポジション工程に関する。デポジションされた薄膜のエンハンスメント層は、薄い障壁層として、及び、後続する銅めっき工程のためのシード層(種層、seed layer)として役立つ。
【0002】
関連する出願の参照
本出願は、2001年7月25日出願の、米国暫定出願第60/298,138号に基づき優先権を主張する。
【背景技術】
【0003】
電極形成(メタライゼーション)パターンは、多数のデバイスを接続して集積回路を形成するために必要である。高性能超々LSI(ULSI)チップの場合には、6または6以上の電極形成層が一般に用いられる。半導体産業においては、更にデバイスの寸法を減少し、集積回路により多くのデバイスを詰め込むことに努力指向されているので、電極形成層の数は増加すると予測される。
【0004】
集積回路のチップの性能は、接続における信号伝達遅延によって制限される。信号伝達遅延は、「RC」遅延としても知られている。回路の速度を改善するためには、接続に関係するR(抵抗)とC(容量)の両方を減らすことが重要である。最近、銅はアルミニウムよりも低い抵抗と高い電流容量とを有するので、アルミニウム電極形成に代えて銅電極形成が集積回路の製造に導入されている。
【0005】
銅電極形成は、アルミニウム電極形成とは異なる工程を要する。アルミニウム接続の形成に用いられるような金属のデポジションとそれに引き続くパターニングに代えて、銅接続は一般に金属パターン形成(ダマシーン;damascene)工程を用いて形成される。金属パターン形成工程においては、導体パターンが最初に誘電体材料の中へ蝕刻される。次いで、蝕刻されたパターンに銅が充填される。余剰の銅は次いでフィールドの上から、化学機械研磨(「CMP」)を用いて除去される。集積回路チップの中に形成された種々の電極形成層を接続するために、バイアホールが用いられる。導線パターンとバイアホールパターンとが別個に充填、研磨される場合には、この工程は一般に「単金属パターン形成」と呼ばれる。導線パターンとバイアホールパターンの両方が同時に充填、研磨される場合には、この工程は一般に「複金属パターン形成」と呼ばれる。
【0006】
既知の金属パターン形成工程においては、銅を導入する前に、パターニングされた誘電体層表面の上に、障壁層がデポジションされ、次いでシード層がデポジションされる。障壁層は、銅がデバイス領域の中へ拡散することを防止するために必要である。銅がシリコンと接触していると、銅はシリコンのデバイス作用をスポイルする。通常、薄い超硬合金または窒化金属が障壁層として選ばれる。代表的な障壁層材料には、タンタル、窒化タンタル、タングステン、窒化タングステン、チタン、窒化チタンが含まれる。シード層は、電気化学的デポジション反応のために導電性を供給し、引き続く銅電気メッキのための核生成サイト(ニュークリエーションサイト;nucleation sites)を供給するために必要である。通常、シード層として機能するための薄い銅層が障壁層の上にデポジションされる。
【0007】
銅の金属パターン形成に対する最も重要な要求の1つは、蝕刻された微小な形状寸法の線またはトレンチ及び高アスペクト比(深さを幅で除算して計算)の穴の中へ銅を完全にデポジションするということである。電気メッキ工程は、物理蒸着法(「PVD」)または化学気相成長法(「CVD」)に比して良好なギャップ充填性を有するので、一般に電気メッキ工程が用いられる。電気化学的デポジション工程は、トレンチの外部よりも微小なトレンチの内部へより多くの銅をデポジションすることができるので、しばしば「超充填」と呼ばれる。
【0008】
PVD技術には、例えば、DC/RFプラズマスパッタリング、バイアススパッタリング、マグネトロンスパッタリング、イオンプレーティング、またはイオン化メタルプラズマスパッタリングのような、種々の蒸着及びスパッタリング技術が含まれる。一般にPVD工程は、その異方性および指向性のために、ノンコンフォーマルな(形状に整合しない)デポジションを生じる。CVD技術には、例えば、熱CVD、プラズマCVD、低圧CVD、高圧CVD、金属−有機CVDが含まれる。CVD工程は、多くの場合、フィールド上及び開口の側壁及び底面を含む全面上にわたって概ね均一な厚さの、コンフォーマルな(形状に整合した)デポジションを生じる。
【0009】
現在、障壁層及びシード層は、スパッタリングやイオン化スパッタリングのようなPVD工程によって主にデポジションされる。しばしば、障壁層及びシード層は、表面のコンタミネーションを避けるために真空を維持したまま、2つの異なる真空チャンバーの中で、連続してデポジションされる。このようなデポジション工程におけるクリティカルなファクターは、蝕刻パターンの内側、特に蝕刻された線またはトレンチ及びバイアホールの側壁と底面における膜の厚さである。一般に、このような蝕刻パターンの中には、誘電体材料の平らなフィールド領域の上におけるよりも薄い膜層がPVD工程によって形成される。このような層のステップカバレッジ(段差被覆性)が従来から問題となっている。膜は連続していなければならず、欠陥があってはならない。シード層における空隙または欠陥は、めっきされた銅の膜に空隙または欠陥をもたらすであろう。
【0010】
ステップカバレッジを改善するために、障壁層及びシード層をCVD工程によってデポジションすることが試みられている。しかしながら、現在に到るまでCVD工程はPVD工程よりも良好な結果を生じるには到っておらず、またCVD工程はPVD工程よりも費用がかかる。CVD工程によってデポジションされた銅のシード層は、通常、低密着性と、高不純度と、低品質の結晶方位を有し、これらは、このようなシード層の上に追加の銅が電気化学的にデポジションされたときに問題をもたらす。時には、PVDがCVDと共に用いられ、CVD工程によってデポジションされた銅のシード層の上に、PVD工程によって別の銅のシード層をデポジションする。これは、CVD工程に更に費用を追加することになる。従って、銅接続用の障壁層及びシード層のためのPVD工程は、ステップカバレッジに関する上記の問題があるにもかかわらず、依然として望ましいものとして残されている。
【0011】
PVDデポジション技術に対して改良がなされているが、この改良はPVDによってデポジションされた障壁層及びシード層のフィルム被覆性の問題を解決するのに十分ではない。デバイスの寸法は減少し続けているので、将来においては、トレンチ側壁上の障壁層は10ナノメータ以下にすることを要し、より厳密な要求に合致するための結合技術が要求されるであろう。
【0012】
米国特許6,136,707号は、CVDによって形成された第1の銅のシード層を、PVDによって形成された第2の銅のシード層と結合する方法を開示している。米国特許6,197,181号は、アルカリめっき液から電解的にデポジションされた第1の銅のシード層を、PVDによって形成された第2の銅のシード層と結合する方法を開示している。このように、これらの特許は、PVDによる銅のシード層の良好な付着性を達成するために、両者共、追加の工程を要求している。しかしながら、これらの特許に開示された方法は、欠陥のある障壁層、または障壁層と銅シード層との間の低品質の界面によって引き起こされる、いずれの問題も解決してはいない。
【0013】
従って、高アスペクト比の穴及びトレンチの中へ、銅を電気化学的にデポジションする良好な方法が産業界から求められている。
【0014】
【特許文献1】
米国特許6,136,707号
【特許文献2】
米国特許6,197,181号
【発明の開示】
【発明が解決しようとする課題】
【0015】
本発明は、従来の技術における上述の問題点を解消して、高アスペクト比の穴及びトレンチの中へ、銅を電気化学的にデポジションする良好な方法を提供することを目的とする。
【課題を解決するための手段】
【0016】
本発明は、1または複数の微小凹部構造が設けられた表面を含む超小形電子加工品への金属付加工程及び金属付加装置を含んでなる。この超小形電子加工品は、通常は、シリコンまたはヒ化ガリウム半導体ウエーハのような半導体ウエーハである。望ましくは、付加する金属は銅であり、この銅は、半導体ウエーハの中のホール、トレンチ、バイアホールその他の構造の中に、単金属パターン形成工程または複金属パターン形成工程を用いて電極層を形成するために付加される。
【0017】
本発明による超小形電子加工品への金属付加工程は、
(a)微小凹部構造の壁面を含む、超小形電子加工品の表面に障壁層を形成する工程と、
(b)上記障壁層の上に、合金からなるエンハンスメント層を形成する工程と、
(c)上記エンハンスメント層の上に金属を電気めっきして、上記微小凹部構造を充填する工程と、
を含んでなる。
【0018】
望ましくは、上記エンハンスメント層は、無電解めっきや電気めっきのような、電気化学的デポジション工程を用いて、100Åまたはそれ以下の厚さ、望ましくは10Å〜100Åの厚さに形成する。あるいは、上記エンハンスメント層は、CVD工程またはPVD工程を用いて形成してもよい。
【0019】
1つの実施の形態においては、上記エンハンスメント層は、Cu−Al、Cu−Mg、Cu−Znの少なくとも1つのような銅合金から形成する。他の1つの実施の形態においては、上記エンハンスメント層は、Co−Pのような2元合金組成物、またはCo−W−Pのような3元合金組成物から形成する。
【0020】
上記エンハンスメント層は、上記障壁層が割れ目、不連続または結晶粒界欠陥を有していたとしても、上記障壁層をコンフォーマルに覆う。シリコン半導体ウエーハについては、上記障壁層は、チタン、窒化チタンまたはその他の既知の障壁層材料から形成することができる。上記エンハンスメント層は、望ましくは銅である金属をその上にデポジションすることを可能にするのに十分な導電性を有する。上記エンハンスメント層の上に金属をデポジションした後、フィールド面から、例えば化学機械研磨によって、余剰の金属を除去する。デポジションされた金属は、超小形電子構造の中に、所望の接続または電極形成層を形成して残存する。
【0021】
本発明の他の実施の形態においては、超小形電子加工品への金属付加工程は、
(a)上記微小凹部構造の壁面を含む上記超小形電子加工品の表面に障壁層を形成する工程と、
(b)上記障壁層の上にエンハンスメント層を形成する工程と、
(c)上記エンハンスメント層の上にシード層を形成する工程と、
(d)上記シード層の上に金属を電気めっきして、上記微小凹部構造を充填する工程と、
を含んでなる。
【0022】
この実施の形態においては、上記シード層は、別の合金の層で形成してもよいし、あるいは、超小形電子構造の中へデポジションすることを意図された金属の層で形成してもよい。つまり、上記シード層は、Co−Pのような2元合金でもよいし、Co−W−Pのような3元合金でもよい。上記シード層は、望ましくは、50Å〜500Åの厚さに形成する。
【0023】
上記金属パターン形成工程は、超小形電子回路または部品を製造するための複数の装置を含んで成る製造ラインにおいて実行することが可能であり、この製造ラインにおいて上記複数の装置の1または複数の装置は、上記超小形電子回路または部品を形成するために用いられる超小形電子加工品の面へ金属パターン形成工程によって接続電極を取り付けるために用いられる。上記超小形電子加工品は、望ましくは、超小形電子回路または部品を形成するための電極形成に適したホール、トレンチ、バイアホールが形成された、シリコンまたはヒ化ガリウム半導体ウエーハである。この場合、上記1または複数の装置は:
第1のデポジション工程を用いて、上記超小形電子加工品の表面へ、上記接続電極の大部分を形成する電気化学的デポジションに一般的に適さない障壁層を付加する手段と、
第2のデポジション工程を用いて、上記障壁層の上に、上記接続電極の大部分に該当する所定の厚さに金属を付加する後続の電気化学的付加に一般的に適した合金組成物からなるエンハンスメント層を付加する手段と、
上記エンハンスメント層の上へ金属を電気化学的に付加する手段と、
を含んで成る。
【0024】
望ましくは、上記エンハンスメント層を付加する手段は、無電解めっきまたは電気めっき装置のような、電気化学的デポジション用の装置である。あるいは、上記エンハンスメント層を付加する手段は、CVDまたはPVD工程用の装置であってもよい。上記エンハンスメント層を付加する手段は、上記障壁層の上に上記エンハンスメント層を、100Åまたはそれ以下の厚さ、望ましくは10Å〜100Åの厚さに、コンフォーマルに付加することが可能である。上記エンハンスメント層は、望ましくは、Cu−Al、Cu−Mg、Cu−Znの少なくとも1つのような銅合金、Co−Pのような2元合金、Co−W−Pのような3元合金、あるいはこれらの合金の混合物から形成される。
【0025】
上記エンハンスメント層の上へ金属を電気化学的に付加する手段は、金属パターン形成工程において、上記金属として銅を付加することが可能である。電極形成層または超小形電子構造の中へ銅が導入された後、上記超小形電子加工品のフィールド面から銅金属の部分を除去する手段が設けられる。望ましくは、銅金属の部分を除去する手段は、化学機械研磨装置を含んで成る。
【0026】
超小形電子回路または部品を形成するために用いられる超小形電子加工品の面へ、金属パターン形成工程によって、接続電極を取り付けるための上記装置は、上記障壁層を付加するための第1のチャンバーと、上記エンハンスメント層を付加するための第2のチャンバーを含めることができる。更に、選択的に追加のシード層と電極形成層の銅とを、上記超小形電子加工品が上記エンハンスメント層を付加するための上記第2のチャンバー内に存在する間にデポジションすることができる。かくして、上記エンハンスメント層と、上記シード層と、上記銅金属の電気化学的デポジションを、装置内の単一のチャンバー内において実行することができる。
【発明を実施するための最良の形態】
【0027】
本発明は、以下の図面と関連づけて把握される詳細な説明と請求項を参照することによって、より十分に理解されるであろう。
【0028】
図1Aは、蝕刻して誘電体トレンチパターンが形成されたシリコン半導体ウエーハを示す断面図である。
図1Bは、タンタルまたは窒化タンタルのような薄い障壁層が表面上に均一にデポジションされたトレンチを有するシリコン半導体ウエーハを示す断面図である。
図2は、薄い障壁層で塗工されたトレンチを有し、薄い障壁層に通常形成される表面欠陥が見られる、シリコン半導体ウエーハの断面図である。
図2Aは、図2の塗工されたシリコン半導体ウエーハのトレンチの拡大断面図である。
図3は、最初に薄い障壁層で、次いで本発明による障壁エンハンスメント層で塗工されたトレンチを有する、シリコン半導体ウエーハを示す断面図である。
図4は、トレンチが電気化学的デポジション方法を用いて銅で充填された、図3のシリコン半導体ウエーハを示す断面図である。
図5は、表面を研磨して余剰の銅を除去した後の、図4のシリコン半導体ウエーハを示す断面図であり、仕上げされた金属パターン形成導体が残されている。
図6は、他の1つの実施の形態を示す断面図であり、シリコン半導体ウエーハは仕上げされた金属パターン形成導体を有し、トレンチが銅で充填される前の障壁エンハンスメント層の上に銅のシード層がデポジションされている。
図7は、障壁層の上へ、Co−W−P合金からなる障壁エンハンスメント層を、75℃でデポジションした場合のデポジションレートを、オングストローム対時間(分(min.))で示したグラフである。
【0029】
図1Aを参照すると、拡大部分断面図で示された、超小形電子加工品である半導体ウエーハは、例えばSiOのようなシリコン誘電体材料10からなる。シリコン誘電体材料10の中には微小凹部構造であるトレンチ12が形成されている。
【0030】
誘電体材料10の表面は、望ましくはPVD工程を用いて、薄い障壁層14で塗工される。なお、CVD工程を用いて塗工してもよい。障壁層は、一般に、薄い超硬合金又は窒化金属からなる。代表的な障壁層の材料には、タンタル、窒化タンタル、窒化タンタルケイ素、タングステン、窒化タングステン、窒化タングステンケイ素、チタン、窒化チタン、窒化チタンケイ素、及びその他の第3窒化物が含まれる。
【0031】
図1Bに示されているように、障壁層14は、不連続または表面欠陥のない連続した層または膜として形成されることが望ましい。このような面被覆は、障壁層にとっての理想的なものである。実際には、障壁層の厚さは、フィールド上及びトレンチ内の平らな底面上においては、一般に100Å〜500Åであり、トレンチの側壁上においては、アスペクト比及び開口の大きさに応じて100Åまたはそれ以下である。また、開口が極めて小で深さが大であるトレンチにおいては、側壁上にデポジションされた膜が極めて薄くなる。その結果、不連続及び表面欠陥がもたらされる。
【0032】
図2、2Aを参照すると、誘電体材料10の上に形成された障壁層16は、トレンチ12の内部において面被覆欠陥を有しているように示されている。図2に示されているように、障壁層16は、トレンチの側壁と底面を滑らかには覆っていない。割れ目18が底面の角に残されており、そこでは障壁層は誘電体材料を覆っていない。不連続20は、側壁に沿う被覆における裂け目である。結晶粒界22は、後に既知の金属パターン形成工程において障壁層の上に形成される銅シード層の適切な付着を阻害する表面欠陥を代表する。
【0033】
結晶粒界拡散は、結晶粒界以外の大部分を通しての拡散よりもずっと速いので、障壁層における大部分の欠陥は、結晶粒界における銅の拡散に関連付けられる。結晶粒界に「詰め込み」を行なって、結晶粒界欠陥を有する障壁層の障壁特性を改良することが提案されている。例えば、TiNの障壁層は、結晶粒界に酸素を「詰め込み」するために、通常、酸素雰囲気中でアニールされる。結晶粒界における拡散を減少させる他の1つの方法は、最初の障壁金属に他の材料を添加して合金を形成するものである。添加された材料は、通常、結晶粒界に集中する。(偏析とも呼ばれる。)合金の成分は、種々の要求を満たすために調整可能である。Cu−Sn、Cu−Zn、Cu−Mg、Cu−Alのような代表的な合金を、銅のための拡散障壁として使用することができる。合金中に添加された金属は、結晶粒界面または自由界面上の集中し、銅原子の移動を防止する。Cu−SnとCu−Znは、酸素の拡散を妨げることによって空気中におけるCuの腐食を遅らせることが知られている。Alは、結晶粒界及び表面において偏析する傾向があるので、最近はCu−Alが銅のための拡散障壁として研究されている。
【0034】
障壁層の上にシード層をデポジションすることにおける最も難しい問題の1つは、最初の障壁層とその上のシード層との間の良好な付着を得ることにある。めっきされた銅は、障壁層の表面に良く付着しない。このことが、米国特許6,197,181号に記載されたシードエンハンスメント層が障壁層の上に直接デポジションされず、PVDでデポジションされた銅シード層の上にデポジションされる理由である。障壁層の上へCVDで直接デポジションされた銅のシード層も良く付着せず、CVDによる銅のシード層の付着を改良するために、しばしばPVDによる銅のシード層が用いられる。
【0035】
本発明によれば、図3に示すように、障壁層16の上に障壁エンハンスメント層24が、CVD工程、PVD工程または電気化学的工程のいずれかを用いて、コンフォーマル(形状に整合して)にデポジションされる。電気化学的工程またはCVD工程が望ましい。無電解めっき、電気メッキのような電気化学的デポジション工程が最も望ましい。障壁エンハンスメント層は10Å〜100Åの厚さであり、障壁層16に存在する割れ目18、不連続20、結晶粒界22のような欠陥をカバーする。この障壁エンハンスメント層は良好なステップカバレッジを有する。
【0036】
障壁エンハンスメント層24は、拡散障壁層の性能を向上するとともに、後続の銅めっき工程のためのシード層として機能することを意図されたものである。従って、障壁エンハンスメント層をデポジションすることによって、別の銅のシード層の必要性を排除することができる。
【0037】
障壁エンハンスメント層は、障壁層に付着し、後続の銅めっきを可能にする、導電性金属から形成される。望ましくは、障壁エンハンスメント層は、コバルト−リン(Co−P)、コバルト−タングステン−リン(Co−W−P)の1つから選ばれた2元または3元合金材料から形成され、あるいは、Cu−Al、Cu−Mg、Cu−Zn、Cu−Snの少なくとも1つのような銅合金及びこれらの混合物から形成される。
【0038】
望ましくは、障壁エンハンスメント層としてデポジションされる合金材料は、Co−W−Pである。Co−W−Pのための電気化学的デポジション工程は、米国特許5,695,810号に詳細に記載されており、ここに同特許を参照して記載する。この合金の典型的なデポジション温度は、室温〜90℃の間にある。しかしながら、90℃においては、蒸発による水成電解質の損失が過大であり、75℃程度が望ましい。デポジションされたCo−W−P層の厚さは、所定のデポジション化学についてのデポジション時間及び温度を調節することによって調整可能である。図7にグラフで示すように、Co−W−P合金材料は、電気化学的デポジション工程において、75℃で、TiN障壁層の上に、毎分100Å〜200Åのデポジションレートでデポジションされる。
【0039】
電気化学的デポジション工程は、障壁エンハンスメント層をデポジションするために望ましい。この工程は、標準的な銅めっき工程及び銅接続の製作に既に使用されている装置と両立可能である。従って、障壁エンハンスメント層のための新しい電気化学的デポジション工程は、既存のシステムに新しい処理チャンバーを装備することによって、既存のめっき装置と容易に統合することが可能である。適当な統合装置形態が、米国特許6,017,437号の図12に示されている。統合装置形態は、装置の設備費用を低減し、単純なウエーハ処理の連続した流れを可能にする。障壁エンハンスメント層がデポジションされた後に、ウエーハは、銅めっきモジュールへ直接搬送されて、めっき装置を離れることなくめっき工程が完了する。
【0040】
障壁層16の上に障壁エンハンスメント層24が付加された後、蝕刻パターンは、図4に示すように、電気されたメッキ銅で満たされる。その後、フィールド面は、望ましくは化学機械研磨(「CMP」)によって研磨されて、余剰の銅が除去される。CMPが完了した後の金属パターン形成導体が、図5に示されている。
【0041】
他の1つの実施の形態においては、2つの別々の層が障壁層の上へデポジションされる。図6の拡大断面図に示されているように、ウエーハの誘電体材料10の中には、トレンチ12が形成されている。障壁層16は、トレンチの平らな底面と側壁上にデポジションされ、先の実施の形態において記したように、結晶粒界、割れ目及び不連続を有している。この場合も、障壁層16の上に障壁エンハンスメント層24が付加される。その後、シード層28が障壁エンハンスメント層24の上に形成される。シード層28は、障壁エンハンスメント層24を形成するために用いられたような合金として形成してもよいが、銅で形成してもよい。シード層は、CVD工程、PVD工程、または電気化学的デポジション工程で形成してもよいが、電気化学的デポジション工程が望ましい。さらに、障壁エンハンスメント層とシード層を、両方に適用可能なデポジション工程を用いて、望ましくは同じ装置の中でデポジションすると、より経済的である。
【実施例1】
【0042】
単一の障壁エンハンスメント層をTiN障壁層の上にデポジションした。このTiN障壁層は、2酸化ケイ素誘電体材料の上にスパッタリングで形成した。その後、TiN障壁層の表面を洗浄した。その後、薄い無電解Co−W−P層をTiN障壁層の上にデポジションした。デポジションに使用した電解液の組成は下記の通りであった。
CoClx6HO 30g/l
(NHWO 10g/l
NaxHO 80g/l
NaHPOxHO 20g/l
KOH to pH=9.5
デポジション温度は75℃、デポジション時間は約1分であった。デポジション膜(約100Å)は良好な拡散特性を有し、引き続く銅めっきのためのシード層として成功裡に使用された。
【実施例2】
【0043】
スパッタリングで形成したタンタル障壁層を2酸化ケイ素誘電体基板の上に貼付した。タンタル上へCo−W−Pを直接デポジションすると付着が良くないことが知られているので、コバルトの薄層(約100Å)をタンタルの表面にスパッタリングした。次いで、スパッタリングで形成したCo層の表面上に、Co−W−P層を、75℃で、約1分間、無電解めっきによってデポジションした。複合膜(約200Å)は、良好な付着をもたらした。次いで、Co−W−P層の上へ、銅を直接電気メッキした。この例においては、Co層は障壁エンハンスメント層で、Co−W−P層は銅めっきのためのシード層であった。
【0044】
この例は、本発明の第2実施例によれば:(1)障壁エンハンスメント層とシード層との2つの異なる層を使用することができ;(2)障壁エンハンスメント層とシード層とをデポジションするために、異なるデポジション技術が用いられた、ことを例示している。
以上、本発明が、詳細な説明と望ましい実施の形態の実施例とによって、ここに例示された。当業者の技量の範囲内において、形態及び詳細における種々の変更が可能であろう。従って、本発明は、請求項によって把握されるべきであって、実施例または実施のための最良の形態の記述によって把握されるべきではない。
【図面の簡単な説明】
【0045】
【図1A】蝕刻して誘電体トレンチパターンが形成されたシリコン半導体ウエーハを示す断面図である。
【図1B】タンタルまたは窒化タンタルのような薄い障壁層が表面上に均一にデポジションされたトレンチを有するシリコン半導体ウエーハを示す断面図である。
【図2】薄い障壁層で塗工されたトレンチを有し、薄い障壁層に通常形成される表面欠陥を示が見られる、シリコン半導体ウエーハの断面図である。
【図2A】図2の塗工されたシリコン半導体ウエーハのトレンチの拡大断面図である。
【図3】最初に薄い障壁層で、次いで本発明による障壁エンハンスメント層で塗工されたトレンチを有する、シリコン半導体ウエーハを示す断面図である。
【図4】トレンチが電気化学的デポジション方法を用いて銅で充填された、図3のシリコン半導体ウエーハを示す断面図である。
【図5】表面を研磨して余剰の銅を除去した後の、図4のシリコン半導体ウエーハを示す断面図であり、仕上げされた金属パターン形成導体が残されている。
【図6】他の1つの実施の形態を示す断面図であり、シリコン半導体ウエーハは仕上げされた金属パターン形成導体を有し、トレンチが銅で充填される前の障壁エンハンスメント層の上に銅のシード層がデポジションされている。
【図7】障壁層の上へ、Co−W−P合金からなる障壁エンハンスメント層を、75℃でデポジションした場合のデポジションレートを、オングストローム対時間(分(min.))で示したグラフである。

Claims (61)

  1. 1または複数の微小凹部構造が設けられた表面を有する超小形電子加工品への金属付加工程において、
    (a)上記微小凹部構造の壁面を含む上記超小形電子加工品の表面に障壁層を形成する工程と、
    (b)上記障壁層の上に、合金からなるエンハンスメント層を形成する工程と、
    (c)上記エンハンスメント層の上に金属を電気めっきして、上記微小凹部構造を充填する工程と、
    を含んでなることを特徴とする、超小形電子加工品への金属付加工程。
  2. 上記エンハンスメント層は、電気化学的デポジション工程を用いて形成されることを特徴とする、請求項1に記載の超小形電子加工品への金属付加工程。
  3. 上記電気化学的デポジション工程は、無電解めっきと電気めっきとからなるグループから選択されることを特徴とする、請求項2に記載の超小形電子加工品への金属付加工程。
  4. 上記エンハンスメント層は、CVD工程を用いて形成されることを特徴とする、請求項1に記載の超小形電子加工品への金属付加工程。
  5. 上記エンハンスメント層は、PVD工程を用いて形成されることを特徴とする、請求項1に記載の超小形電子加工品への金属付加工程。
  6. 上記エンハンスメント層は、100Åまたはそれ以下の厚さに形成されることを特徴とする、請求項1に記載の超小形電子加工品への金属付加工程。
  7. 上記エンハンスメント層は、10Å〜100Åの厚さに形成されることを特徴とする、請求項1に記載の超小形電子加工品への金属付加工程。
  8. 形成された上記障壁層は、割れ目、不連続または結晶粒界欠陥を有し、上記エンハンスメント層は、上記障壁層をコンフォーマルに覆うことを特徴とする、請求項1に記載の超小形電子加工品への金属付加工程。
  9. 上記エンハンスメント層は、銅合金から形成されることを特徴とする、請求項1に記載の超小形電子加工品への金属付加工程。
  10. 上記銅合金は、Cu−Al、Cu−Mg、Cu−Zn、Cu−Sn、及びこれらの合金の混合物から成るグループの中から選ばれることを特徴とする、請求項9に記載の超小形電子加工品への金属付加工程。
  11. 上記エンハンスメント層は、2元合金組成物から形成されることを特徴とする、請求項1に記載の超小形電子加工品への金属付加工程。
  12. 上記2元合金は、Co−Pであることを特徴とする、請求項11に記載の超小形電子加工品への金属付加工程。
  13. 上記エンハンスメント層は、3元合金組成物から形成されることを特徴とする、請求項1に記載の超小形電子加工品への金属付加工程。
  14. 上記3元合金は、Co−W−Pであることを特徴とする、請求項13に記載の超小形電子加工品への金属付加工程。
  15. 上記エンハンスメント層の上に電気めっきされる上記金属は銅であることを特徴とする、請求項1に記載の超小形電子加工品への金属付加工程。
  16. 更に、
    (d)上記超小形電子加工品の表面から上記金属の部分を除去する工程、
    を含んでなることを特徴とする、請求項1に記載の超小形電子加工品への金属付加工程。
  17. 上記金属の部分の除去は、化学機械研磨によることを特徴とする、請求項16に記載の超小形電子加工品への金属付加工程。
  18. 上記超小形電子加工品はシリコンまたはヒ化ガリウム半導体ウエーハであることを特徴とする請求項1に記載の超小形電子加工品への金属付加工程。
  19. 請求項1に記載の超小形電子加工品への金属付加工程によって、超小形電子加工品に形成されたことを特徴とする、電極形成層。
  20. 1または複数の微小凹部構造が設けられた表面を有する超小形電子加工品への金属付加工程において、
    (a)上記微小凹部構造の壁面を含む上記超小形電子加工品の表面に障壁層を形成する工程と、
    (b)上記障壁層の上にエンハンスメント層を形成する工程と、
    (c)上記エンハンスメント層の上にシード層を形成する工程と、
    (d)上記シード層の上に金属を電気めっきして、上記微小凹部構造を充填する工程と、
    を含んでなることを特徴とする、超小形電子加工品への金属付加工程。
  21. 上記エンハンスメント層は、電気化学的デポジション工程を用いて形成されることを特徴とする、請求項20に記載の超小形電子加工品への金属付加工程。
  22. 上記電気化学的デポジション工程は、無電解めっきと電気めっきとからなるグループから選択されることを特徴とする、請求項21に記載の超小形電子加工品への金属付加工程。
  23. 上記エンハンスメント層は、CVD工程を用いて形成されることを特徴とする、請求項20に記載の超小形電子加工品への金属付加工程。
  24. 上記エンハンスメント層は、PVD工程を用いて形成されることを特徴とする、請求項20に記載の超小形電子加工品への金属付加工程。
  25. 上記エンハンスメント層は、100Åまたはそれ以下の厚さに形成されることを特徴とする、請求項20に記載の超小形電子加工品への金属付加工程。
  26. 上記エンハンスメント層は、10Å〜100Åの厚さに形成されることを特徴とする、請求項20に記載の超小形電子加工品への金属付加工程。
  27. 形成された上記障壁層は、割れ目、不連続または結晶粒界欠陥を有し、上記エンハンスメント層は、上記障壁層をコンフォーマルに覆うことを特徴とする、請求項20に記載の超小形電子加工品への金属付加工程。
  28. 上記エンハンスメント層は、銅合金から形成されることを特徴とする、請求項20に記載の超小形電子加工品への金属付加工程。
  29. 上記銅合金は、Cu−Al、Cu−Mg、Cu−Zn、Cu−Sn、及びこれらの合金の混合物から成るグループの中から選ばれることを特徴とする、請求項28に記載の超小形電子加工品への金属付加工程。
  30. 上記エンハンスメント層は、2元合金組成物から形成されることを特徴とする、請求項20に記載の超小形電子加工品への金属付加工程。
  31. 上記2元合金は、Co−Pであることを特徴とする、請求項30に記載の超小形電子加工品への金属付加工程。
  32. 上記エンハンスメント層は、3元合金組成物から形成されることを特徴とする、請求項20に記載の超小形電子加工品への金属付加工程。
  33. 上記3元合金は、Co−W−Pであることを特徴とする、請求項32に記載の超小形電子加工品への金属付加工程。
  34. 上記エンハンスメント層の上に電気めっきされる上記金属は銅であることを特徴とする、請求項20に記載の超小形電子加工品への金属付加工程。
  35. 更に、
    (d)上記超小形電子加工品の表面から上記金属の部分を除去する工程、
    を含んでなることを特徴とする、請求項20に記載の超小形電子加工品への金属付加工程。
  36. 上記金属の部分の除去は、化学機械研磨によることを特徴とする、請求項35に記載の超小形電子加工品への金属付加工程。
  37. 上記超小形電子加工品はシリコンまたはヒ化ガリウム半導体ウエーハであることを特徴とする請求項20に記載の超小形電子加工品への金属付加工程。
  38. 請求項20に記載の超小形電子加工品への金属付加工程によって、超小形電子加工品に形成されたことを特徴とする、電極形成層。
  39. 超小形電子回路または部品を製造するための複数の装置を含んで成る製造ラインにおいて、上記複数の装置の1または複数の装置は、上記超小形電子回路または部品を形成するために用いられる超小形電子加工品の面へ金属パターン形成工程によって接続電極を取り付けるために用いられ、上記1または複数の装置は:
    第1のデポジション工程を用いて、上記超小形電子加工品の表面へ、上記接続電極の大部分を形成する電気化学的デポジションに一般的に適さない障壁層を付加する手段と、
    第2のデポジション工程を用いて、上記障壁層の上に、上記接続電極の大部分に該当する所定の厚さに金属を付加する後続の電気化学的付加に一般的に適した合金組成物からなるエンハンスメント層を付加する手段と、
    上記エンハンスメント層の上へ金属を電気化学的に付加する手段と、
    を含んで成ることを特徴とする製造ライン。
  40. 上記エンハンスメント層を付加する手段は、電気化学的デポジション用の装置であることを特徴とする、請求項39に記載の製造ライン。
  41. 上記エンハンスメント層を付加する手段は、無電解めっき工程と電気めっき工程とからなるグループから選択された電気化学的デポジション工程を実行することを特徴とする、請求項40に記載の製造ライン。
  42. 上記エンハンスメント層を付加する手段は、CVD工程用の装置であること特徴とする、請求項39に記載の製造ライン。
  43. 上記エンハンスメント層を付加する手段は、PVD工程用の装置であること特徴とする、請求項39に記載の製造ライン。
  44. 上記エンハンスメント層を付加する手段は、上記障壁層の上に上記エンハンスメント層を、100Åまたはそれ以下の厚さに、コンフォーマルに付加することが可能であることを特徴とする、請求項39に記載の製造ライン。
  45. 上記エンハンスメント層は、Cu−Al、Cu−Mg、Cu−Zn、Cu−Sn、Co−P、Co−W−P、及びこれらの合金の混合物から成るグループの中から選ばれた合金から形成されることを特徴とする、請求項39に記載の製造ライン。
  46. 上記エンハンスメント層の上へ金属を電気化学的に付加する手段は、上記金属として銅を付加することが可能であることを特徴とする、請求項39に記載の製造ライン。
  47. 更に、上記超小形電子加工品の表面から上記金属の部分を除去する手段を含んでなることを特徴とする、請求項39に記載の製造ライン。
  48. 上記金属の部分を除去する手段は、化学機械研磨装置を含んで成ることを特徴とする、請求項47に記載の製造ライン。
  49. 上記超小形電子加工品はシリコンまたはヒ化ガリウム半導体ウエーハであることを特徴とする請求項39に記載の製造ライン。
  50. 超小形電子回路または部品を形成するために用いられる超小形電子加工品の面へ、金属パターン形成工程によって、接続電極を取り付けるための装置において:
    第1のデポジション工程を用いて、上記超小形電子加工品の表面へ、上記接続電極の大部分を形成する電気化学的デポジションに一般的に適さない障壁層を付加する手段と、
    第2のデポジション工程を用いて、上記障壁層の上に、上記接続電極の大部分に該当する所定の厚さに金属を付加する後続の電気化学的付加に一般的に適した合金組成物からなるエンハンスメント層を付加する手段と、
    上記エンハンスメント層の上へ金属を電気化学的に付加する手段と、
    を含んで成ることを特徴とする装置。
  51. 上記エンハンスメント層を付加する手段は、電気化学的デポジション装置であることを特徴とする、請求項50に記載の装置。
  52. 上記エンハンスメント層を付加する手段は、無電解めっきと電気めっきとからなるグループから選択された電気化学的デポジションを実行することを特徴とする、請求項51に記載の装置。
  53. 上記電気化学的デポジション装置は、1個のチャンバーと、1または複数の電極と、1または複数のカソードと、上記1または複数の電極及び上記1または複数のカソードを上記超小形電子加工品へ連結するプロセス流体とを含んで成ることを特徴とする、請求項51に記載の装置。
  54. 上記プロセス流体は、銅またはCu−Al、Cu−Mg、Cu−Zn、Cu−Sn、Co−P、Co−W−P、及びこれらの混合物からなるグループから選択された合金をめっきするための電解液であることを特徴とする、請求項53に記載の装置。
  55. 上記エンハンスメント層を付加する手段は、上記エンハンスメント層を、上記障壁層の上に、100Åまたはそれ以下の厚さに、コンフォーマルに付加することが可能であることを特徴とする請求項50に記載の装置。
  56. 上記エンハンスメント層は、Cu−Al、Cu−Mg、Cu−Zn、Cu−Sn、Co−P、Co−W−Pからなるグループから選択された合金から形成されることを特徴とする請求項50に記載の装置。
  57. 上記エンハンスメント層の上への金属の電気化学的付加手段は、上記金属として銅を付加することが可能であることを特徴とする、請求項50に記載の装置。
  58. 上記障壁層を付加する手段は上記装置の第1のチャンバー内にあり、上記エンハンスメント層を付加する手段は上記装置の第2のチャンバー内にあることを特徴とする、請求項50に記載の装置。
  59. 上記エンハンスメント層を付加する手段は上記装置の第1のチャンバー内にあり、上記エンハンスメント層の上へ金属を電気化学的に付加する手段は上記装置の第2のチャンバー内にあることを特徴とする、請求項50に記載の装置。
  60. 上記エンハンスメント層を付加する手段は上記装置の第1のチャンバー内にあり、上記エンハンスメント層の上へ金属を電気化学的に付加する手段は上記装置の上記第1のチャンバー内の上記エンハンスメント層を付加する手段と同じ手段からなることを特徴とする、請求項50に記載の装置。
  61. 上記超小形電子加工品はシリコンまたはヒ化ガリウム半導体ウエーハであることを特徴とする、請求項50に記載の装置。
JP2003505996A 2001-06-14 2002-06-14 銅接続用の障壁エンハンスメント工程 Pending JP2004533123A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US29813801P 2001-06-14 2001-06-14
PCT/US2002/018793 WO2002103782A2 (en) 2001-06-14 2002-06-14 Barrier enhancement process for copper interconnects

Publications (1)

Publication Number Publication Date
JP2004533123A true JP2004533123A (ja) 2004-10-28

Family

ID=23149211

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003505996A Pending JP2004533123A (ja) 2001-06-14 2002-06-14 銅接続用の障壁エンハンスメント工程

Country Status (5)

Country Link
US (2) US20030010645A1 (ja)
JP (1) JP2004533123A (ja)
CN (1) CN1516895A (ja)
DE (1) DE10296935T5 (ja)
WO (1) WO2002103782A2 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007243187A (ja) * 2006-03-10 2007-09-20 Internatl Business Mach Corp <Ibm> ミドル・オブ・ザ・ライン(mol)用途のための無電解コバルト含有ライナ
JP2008034633A (ja) * 2006-07-28 2008-02-14 Nec Electronics Corp 半導体装置およびその製造方法
JP2010275572A (ja) * 2009-05-26 2010-12-09 Jx Nippon Mining & Metals Corp 貫通シリコンビアを有するめっき物及びその形成方法
JP2011040748A (ja) * 2009-08-11 2011-02-24 Internatl Business Mach Corp <Ibm> ヘテロ接合バイポーラ・トランジスタ及びその製造方法
US7993571B2 (en) 2003-03-26 2011-08-09 Saint-Gobain Ceramic & Plastics, Inc. Silicon carbide ceramic components having oxide layer
US9048295B2 (en) 2012-10-30 2015-06-02 Mitsubishi Electric Corporation Method of manufacturing semiconductor device

Families Citing this family (193)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030190426A1 (en) * 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US6905622B2 (en) * 2002-04-03 2005-06-14 Applied Materials, Inc. Electroless deposition method
US6899816B2 (en) * 2002-04-03 2005-05-31 Applied Materials, Inc. Electroless deposition method
US20030207206A1 (en) * 2002-04-22 2003-11-06 General Electric Company Limited play data storage media and method for limiting access to data thereon
US6821909B2 (en) * 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
US20060283716A1 (en) * 2003-07-08 2006-12-21 Hooman Hafezi Method of direct plating of copper on a ruthenium alloy
US7827930B2 (en) * 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7654221B2 (en) * 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US20070111519A1 (en) * 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
US7465358B2 (en) * 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US7064065B2 (en) * 2003-10-15 2006-06-20 Applied Materials, Inc. Silver under-layers for electroless cobalt alloys
US20050095830A1 (en) * 2003-10-17 2005-05-05 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
TW200530427A (en) * 2003-10-17 2005-09-16 Applied Materials Inc Selective self-initiating electroless capping of copper with cobalt-containing alloys
US7205233B2 (en) * 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US7193323B2 (en) * 2003-11-18 2007-03-20 International Business Machines Corporation Electroplated CoWP composite structures as copper barrier layers
US20060003570A1 (en) * 2003-12-02 2006-01-05 Arulkumar Shanmugasundram Method and apparatus for electroless capping with vapor drying
US20050161338A1 (en) * 2004-01-26 2005-07-28 Applied Materials, Inc. Electroless cobalt alloy deposition process
US20050181226A1 (en) * 2004-01-26 2005-08-18 Applied Materials, Inc. Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber
US7256111B2 (en) * 2004-01-26 2007-08-14 Applied Materials, Inc. Pretreatment for electroless deposition
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US20050170650A1 (en) * 2004-01-26 2005-08-04 Hongbin Fang Electroless palladium nitrate activation prior to cobalt-alloy deposition
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050253268A1 (en) * 2004-04-22 2005-11-17 Shao-Ta Hsu Method and structure for improving adhesion between intermetal dielectric layer and cap layer
US20060240187A1 (en) * 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US20060252252A1 (en) * 2005-03-18 2006-11-09 Zhize Zhu Electroless deposition processes and compositions for forming interconnects
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
US7659203B2 (en) * 2005-03-18 2010-02-09 Applied Materials, Inc. Electroless deposition process on a silicon contact
US7867906B2 (en) * 2005-06-22 2011-01-11 Nec Corporation Semiconductor device and method for manufacturing same
US20070071888A1 (en) * 2005-09-21 2007-03-29 Arulkumar Shanmugasundram Method and apparatus for forming device features in an integrated electroless deposition system
US20070099806A1 (en) * 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
US7867900B2 (en) * 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US20090117731A1 (en) * 2007-11-01 2009-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor interconnection structure and method for making the same
US20090127711A1 (en) * 2007-11-15 2009-05-21 International Business Machines Corporation Interconnect structure and method of making same
WO2011052471A1 (ja) * 2009-10-27 2011-05-05 株式会社アルバック 配線層、半導体装置、半導体装置を有する液晶表示装置
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
CN102412191B (zh) * 2011-05-13 2014-02-05 上海华力微电子有限公司 可检测大马士革籽晶层和阻挡层的透射电镜样品制备方法
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) * 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
CN102496597A (zh) * 2011-12-30 2012-06-13 中国科学院宁波材料技术与工程研究所 一种集成电路中Cu互连线扩散障碍层的构筑方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9324606B2 (en) * 2014-01-09 2016-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned repairing process for barrier layer
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
JP7050786B2 (ja) * 2016-12-16 2022-04-08 ハルドール・トプサー・アクチエゼルスカベット 固体酸化物セルスタック用のインターコネクタ上のコーティングの堆積
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
DE102017213631A1 (de) * 2017-08-07 2019-02-07 Robert Bosch Gmbh Mikromechanische Vorrichtung und entsprechendes Herstellungsverfahren
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN110528041A (zh) * 2019-08-13 2019-12-03 广州兴森快捷电路科技有限公司 用于晶元的电镀加工方法、晶元及线路板
CN113299598A (zh) * 2020-02-24 2021-08-24 长鑫存储技术有限公司 一种半导体结构制造方法
US20220165852A1 (en) * 2020-11-23 2022-05-26 Applied Materials, Inc. Methods and apparatus for metal fill in metal gate stack

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5695810A (en) * 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US5969422A (en) * 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6010960A (en) * 1997-10-29 2000-01-04 Advanced Micro Devices, Inc. Method and system for providing an interconnect having reduced failure rates due to voids
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6181012B1 (en) * 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
DE69929607T2 (de) * 1998-06-30 2006-07-27 Semitool, Inc., Kalispell Metallisierungsstrukturen für mikroelektronische anwendungen und verfahren zur herstellung dieser strukturen
US6174799B1 (en) * 1999-01-05 2001-01-16 Advanced Micro Devices, Inc. Graded compound seed layers for semiconductors
US6596624B1 (en) * 1999-07-31 2003-07-22 International Business Machines Corporation Process for making low dielectric constant hollow chip structures by removing sacrificial dielectric material after the chip is joined to a chip carrier
JP4428832B2 (ja) * 1999-08-27 2010-03-10 富士通株式会社 金属配線構造、半導体装置及び半導体装置の製造方法
US6740580B1 (en) * 1999-09-03 2004-05-25 Chartered Semiconductor Manufacturing Ltd. Method to form copper interconnects by adding an aluminum layer to the copper diffusion barrier
US6355153B1 (en) * 1999-09-17 2002-03-12 Nutool, Inc. Chip interconnect and packaging deposition methods and structures
US6136707A (en) * 1999-10-02 2000-10-24 Cohen; Uri Seed layers for interconnects and methods for fabricating such seed layers
US6562715B1 (en) * 2000-08-09 2003-05-13 Applied Materials, Inc. Barrier layer structure for copper metallization and method of forming the structure

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7993571B2 (en) 2003-03-26 2011-08-09 Saint-Gobain Ceramic & Plastics, Inc. Silicon carbide ceramic components having oxide layer
JP2007243187A (ja) * 2006-03-10 2007-09-20 Internatl Business Mach Corp <Ibm> ミドル・オブ・ザ・ライン(mol)用途のための無電解コバルト含有ライナ
JP2008034633A (ja) * 2006-07-28 2008-02-14 Nec Electronics Corp 半導体装置およびその製造方法
JP2010275572A (ja) * 2009-05-26 2010-12-09 Jx Nippon Mining & Metals Corp 貫通シリコンビアを有するめっき物及びその形成方法
JP2011040748A (ja) * 2009-08-11 2011-02-24 Internatl Business Mach Corp <Ibm> ヘテロ接合バイポーラ・トランジスタ及びその製造方法
US9048295B2 (en) 2012-10-30 2015-06-02 Mitsubishi Electric Corporation Method of manufacturing semiconductor device
KR101542765B1 (ko) * 2012-10-30 2015-08-07 미쓰비시덴키 가부시키가이샤 반도체 소자의 제조방법, 반도체 소자

Also Published As

Publication number Publication date
WO2002103782A3 (en) 2003-10-16
US20030010645A1 (en) 2003-01-16
WO2002103782A2 (en) 2002-12-27
US20060076244A1 (en) 2006-04-13
DE10296935T5 (de) 2004-04-22
CN1516895A (zh) 2004-07-28

Similar Documents

Publication Publication Date Title
JP2004533123A (ja) 銅接続用の障壁エンハンスメント工程
US7105434B2 (en) Advanced seed layery for metallic interconnects
US6924226B2 (en) Methods for making multiple seed layers for metallic interconnects
US6136707A (en) Seed layers for interconnects and methods for fabricating such seed layers
US7199052B2 (en) Seed layers for metallic interconnects
US7129165B2 (en) Method and structure to improve reliability of copper interconnects
US6479902B1 (en) Semiconductor catalytic layer and atomic layer deposition thereof
US10199275B2 (en) Systems and methods for producing flat surfaces in interconnect structures
JP2001185553A (ja) 電気めっき充填を改善する方法
US6582579B1 (en) Methods for repairing defects on a semiconductor substrate
US6440289B1 (en) Method for improving seed layer electroplating for semiconductor
US20050029662A1 (en) Semiconductor production method
EP1087432A1 (en) A method for improving the quality of a metal layer deposited from a plating bath
JP2002033323A (ja) 銅相互接続部を有する半導体デバイスの製造方法
JP2000299321A (ja) 集積回路の銅による金属化方法
JP3820329B2 (ja) 半導体基板のめっき方法
EP1029948A2 (en) Using electroplated cu as cold layer for cold/hot deposition
KR20010112653A (ko) 반도체 장치의 제조 방법
JP3445557B2 (ja) チタン−タンタル障壁層薄膜及びその形成方法
JP2001524754A (ja) Cvdアルミニウム及びpvdアルミニウム集積を用いた新しいホール充填技術
JP2001023925A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050524

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090217

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090707