JP2003517190A - ミクロ電子工学の適用のための金属被覆構造物及びその構造物の形成法 - Google Patents

ミクロ電子工学の適用のための金属被覆構造物及びその構造物の形成法

Info

Publication number
JP2003517190A
JP2003517190A JP2000561644A JP2000561644A JP2003517190A JP 2003517190 A JP2003517190 A JP 2003517190A JP 2000561644 A JP2000561644 A JP 2000561644A JP 2000561644 A JP2000561644 A JP 2000561644A JP 2003517190 A JP2003517190 A JP 2003517190A
Authority
JP
Japan
Prior art keywords
copper
metal
zinc
layer
ultra
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000561644A
Other languages
English (en)
Inventor
クリシユナムーアシイ,アヒラ
ドウケツト,デイビツド・ジエイ
ムラルカ,シヤム・ピー
Original Assignee
セミトウール・インコーポレーテツド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by セミトウール・インコーポレーテツド filed Critical セミトウール・インコーポレーテツド
Publication of JP2003517190A publication Critical patent/JP2003517190A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/56Electroplating: Baths therefor from solutions of alloys
    • C25D3/58Electroplating: Baths therefor from solutions of alloys containing more than 50% by weight of copper
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/18Electroplating using modulated, pulsed or reversing current
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Electrochemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Parts Printed On Printed Circuit Boards (AREA)
  • Laminated Bodies (AREA)
  • Fixed Capacitors And Capacitor Manufacturing Machines (AREA)

Abstract

(57)【要約】 ミクロ電子工学回路に使用のための金属被覆構造物が示されている。当該金属被覆構造物は誘電体層、誘電体層の外側に配置された超薄膜接着層、及び、超薄膜接着層の外側に配置された低−Me濃度の銅−Me合金層、を含んでなる。Meは銅以外の金属であり、好ましくは亜鉛である。Meの濃度は約5原子パーセント未満、好ましくは約2原子パーセント未満、そして更に好ましくは、約1原子パーセント未満である。金属被覆構造物の好ましい態様においては、誘電体層、超薄膜接着層及び銅−Me合金層はすべて、相互に直接に隣接して配置されている。所望の場合には、銅のフィルムのような一次導体を、前記の層系列の外側に形成することができる。本発明はまた、銅−Me合金層をめっきするために使用することができる電気めっき浴のみならず、前記の構造物を形成するための方法を意図している。

Description

【発明の詳細な説明】
【0001】
【関連出願に対するクロスレフェレンス】
本出願は1998年5月30日出願の出願番号60/091,691号、“E
lectrochemical Co−Deposition Of A Co
pper−Based Alloy Metallization(銅を基礎に
した合金の金属塗膜の電気化学的同時めっき)”と題する米国暫定出願から、並
びに、1998年12月31日出願の、出願番号60/114,512号、“M
etallizaion Structures for Microelec
tronic Applications and Process for
Forming the Structures(ミクロ電子工学の適用のため
の金属被覆構造物及びその構造物の形成方法)”と題する米国暫定出願からの優
先権を主張する。 連邦支援研究又は開発に関する報告は出願不能である。
【0002】 (発明の背景) 集積回路は半導体材料内及び、半導体材料の表面に重なる誘電体材料内に形成
された装置の相互に結合された総体である。半導体材料内に形成することができ
る装置はMOSトラジスター、二極トラジスター、ダイオード及び拡散抵抗を含
む。誘電体内に形成することができる装置は薄膜抵抗及びコンデンサーを含む。
具体的には、100を越える集積回路のダイ(ICチップ)が、単一の8インチ
直径のシリコンウェファー上に構成される。各立方体中に使用される装置は誘電
体内に形成された導体路により相互結合されている。具体的には、誘電体層によ
り分離された継続的レベルをもつ、2段階以上の導体路が相互結合体として使用
されている。このような相互結合体を形成するために使用された金属塗膜は同様
に、その他の基材の材料上の読み取り/書き込みヘッドのような離散したミクロ
電子工学部品の形成に適用性をもつ。最近の実施においては、具体的には、アル
ミナム合金及び酸化ケイ素がそれぞれ導体及び誘電体として使用されている。
【0003】 単一の立方体上において、装置間の電気信号の伝播の遅延は集積回路の性能を
制約する。伝播のこのような遅延はまた、離散したミクロ電子工学部品の性能を
制約する。より具体的には、これらの遅延は、集積回路又はミクロ電子工学部品
がこれらの電気信号を処理又は実施することができる速度を制約する。より大き
い伝播の遅延は、集積回路が電気信号を処理することができる速度を減少し、一
方、より小さい伝播の遅延は、この速度を増加する。従って、集積回路製造業者
は伝播の遅延を減少させる方法を探求する。
【0004】 各相互結合路に対し、信号伝播の遅延は時間の遅延τにより特徴を示すことが
できる。E.H.Stevens,Interconnect Technol
ogy,QMC,Inc.July 1993を参照されたい。時間の遅延に対
する適切な表現τは、それが集積回路上のトランジスター間の信号の伝達に関す
るので、等式
【0005】
【数1】
【0006】 により与えられる。
【0007】 この等式において、R及びCはそれぞれ、相互結合路に対する等しい抵抗及び
容量であり、ISAT及びVSATはそれぞれ、飽和(最大)電流及び、相互結合路に
信号を適用するトランジスターに対する電流飽和開始時の電源への排流ポテンシ
ャルである。経路の抵抗は導体材料の抵抗率ρに比例する。経路の容量は誘電体
材料の相対誘電体誘電率、Keに比例する。τの小さい値は相互結合線が、VSAT / /RISATの比率を小さくさせるのに十分大きい電流密度を運搬することを要す
る。従って、高性能の集積回路の製造には、高い電流密度及び低−Ke誘電体を
担持することができる低−ρ導体を使用しなければならないことになる。
【0008】 前記の基準を満たすために最も好ましい導体結合構造物として、低Ke誘電体
内の銅の相互結合線が、酸化ケイ素誘電体内のアルミナム−合金の線と置き換わ
る可能性がある。“Copper Goes Mainstream:Low−
k to Follow(銅が主流であり、低−kが続く)”, Semico
nductor International, November 1997
,pp.67−70を参照されたい。銅フィルムの抵抗率は1.7ないし2.0
μΩcmの範囲にあり、一方アルミナム−合金フィルムの抵抗率は3.0ないし
3.5μΩcmの範囲で、より高い。
【0009】 銅の好都合な特性にもかかわらず、大規模な製造工程において実行可能になる
ためには、銅の相互結合体に幾つかの課題を課さなければならない。
【0010】 銅の拡散がこのような課題の一つである。電界の影響下でそしてごく僅かに高
温において、銅は酸化ケイ素中を早急に移動する。銅はまた、低Ke誘電体中を
も早急に移動すると考えられる。このような銅拡散はケイ素内に形成された装置
の誤作動を引き起こす。
【0011】 もう一つの課題は水溶液中に浸漬される時又は酸素含有大気にさらされる時に
、早急に酸化する、銅の傾向である。銅の酸化表面は非導電性になり、それによ
り、同様なディメンションの非酸化銅経路に比較すると、与えられた導体路の電
流運搬能を制約する。
【0012】 集積回路に銅を使用することに伴う更なる課題は、誘電体材料を伴う多層の集
積回路構造物中に銅を使用することが困難であることである。銅めっきの伝統的
な方法を使用すると、銅が誘電体材料にごく弱く付着する。
【0013】 最後に、銅は揮発性のハロゲン化化合物を形成しないので、銅の直接的プラズ
マエッチングを銅の細線のパターン形成に使用することができない。このように
、銅は進歩した集積回路装置に必要な、ますます小型になっていく幾何学的構造
物に使用することが困難である。
【0014】 半導体産業は幾つかの前記の課題に取り組み、銅の相互結合体のための一般に
標準の相互結合体構造物を採用した。この目的に対して、当該産業は、銅の細線
パターン形成は誘電体に溝及びビア(vias)をエッチングし、銅めっきで溝
及びビアを充填し、そして化学的−機械的研磨(CMP)により誘電体の上部表
面から銅を除去することにより実施することができることを発見した。二重波形
模様と呼ばれる相互結合構成物(interconnect architecture)を、このような
相互結合構造物を達成し、それにより誘電体内に銅線を形成するために使用する
ことができる。
【0015】 二重波形模様構成物の形成の工程の少なくとも一つは、特に面倒である。より
具体的には、高いアスペクト比(深度/直径)のビア及び高いアスペクト比(深
度/幅)の溝への薄い、均一なバリヤー及び種層のめっきが困難である。このよ
うな溝及びビアの上方部分は、それぞれの溝及び/又はビアが所望の材料で完全
に充填又は層状にされる前につまみ取られる傾向がある。この課題は更に、溝及
びビア中に形成された相互結合構造物が複数層を含む時には悪化される。既知の
バリヤー材料の導電率は銅の導電率に比較すると無視できるほどのものであり、
従って狭い相互結合線の導電性は銅と誘電体との間に介入するにちがいないバリ
ヤー層により顕著に減少される。
【0016】 本発明者は銅の薄い合金のめっきがこれらの課題を解決する可能性があること
を発見した。より具体的には、本発明者は、銅への、非常に少量の亜鉛の添加が
、拡散及び自己不活性化の課題を解決する補助をすることを確認し、更に、これ
らの特質を利用する金属被覆構造物を暗示した。更に、本発明者は、提唱された
金属被覆構造物を形成するために使用されるその他の方法と一緒に使用すること
ができる、銅/亜鉛合金をめっきするために使用することができる電気めっき法
を開発した。
【0017】 (発明の簡単な要約) ミクロ電子工学回路に使用のための金属被覆構造物が示される。当該金属被覆
構造物は、誘電体層、誘電体層の外側に配置された超薄膜接着層、及び、超薄膜
接着層の外側に配置された低−Me濃度の、銅−Me合金層、を含んでなる。そ
のMeは銅以外の金属であり、好ましくは、亜鉛である。Meの濃度は約5原子
パーセント未満、好ましくは約2原子パーセント未満、そして更により好ましく
は、約1原子パーセント未満である。金属被覆構造物の好ましい一態様において
は、誘電体層、超薄膜接着層及び銅−Me合金層はすべて、相互に直接に隣接さ
れて配置されている。所望の場合には、銅フィルムのような一次導体を前記の層
系列の外側に形成することができる。本発明はまた、銅−Me合金層をめっきす
るために使用することができる電気めっき浴のみならず前記の構造物を形成する
方法を意図している。
【0018】 (詳細な説明) 図1は本発明の一態様に従って構成された多層金属被覆構造物を示す。示され
るように、全体として20で示される金属被覆構造物は、誘電体層25の外側に
、そして好ましくはそれの上に直接に配置された導体材料の複数の薄い層を含ん
でなる。ここに示された特定の態様においては、誘電体層25はケイ素半導体ウ
ェファーのような基材30の外側に、そして好ましくはその上に配置されている
。金属被覆構造物20は広範囲の種類の薄膜層及び/又は基材材料の外側に配置
することができ、そして更に種々の表面幾何学模様を形成するように構成するこ
とができることが認められるであろう。従って、金属被覆構造物20は広範な群
のミクロ電子工学部品及び/又は相互結合体に適用性をもつ。
【0019】 誘電体層25の組成は概括的に、金属被覆構造物20の機能に依存する。金属
被覆構造物20が電気的相互結合体網の柱又は線を達成するために使用される場
合は、誘電体層25は好ましくは、低−K材料からなる。しかし、金属被覆構造
物がコンデンサーのような離散したミクロ電子工学部品を達成するために使用さ
れる場合には、誘電体層25は好ましくは、高−K材料からなる。誘電体層25
と、超薄接着層30のようなその次の層との間の接着性を増加するために、誘電
体層の表面を接着促進工程にかけることができる。例えば、誘電体表面を高いオ
ゾン含量をもつ大気中での処理にかけることができる。代替的には、ある形態の
穏やかな機械的又は化学的研磨工程を使用することができる。
【0020】 図1に示されるように、金属被覆構造物20は、誘電体層25の外側に配置さ
れた超薄膜接着層35、超薄膜接着層35の外側に配置された低亜鉛濃度の銅−
亜鉛合金層40、及び、銅−亜鉛合金層40の外側に配置された、場合により使
用される一次導体層45、からなる。図1において、ここで示された好ましい態
様においては、層35、40及び45はそれぞれ、相互に直接に隣接している。
従って、銅−亜鉛合金層40は接着層35上に直接配置され、場合により使用さ
れる一次導体層45は銅−亜鉛合金層40上に直接配置されている。
【0021】 銅−亜鉛合金層40の特性を考慮すると、超薄接着層35を使用することが可
能になる。好ましくは、接着層35の厚さは、数枚の単層に制約される。例えば
、接着層の厚さは10〜20オングストロームの間、そしてより好ましくは、約
15オングストローム未満にすることができる。
【0022】 接着層35は主として、銅−亜鉛合金層40を誘電体層25に接着させるため
の接着促進剤として機能する。接着層材料の銅バリヤー特性は概括的に、その接
着特性ほど重要ではない。これは、銅−亜鉛合金層40の固有の自己不活性化及
び銅閉じ込め特性による。本発明者は、誘電体と結合して、要求される接着性を
提供するであろう導体材料もまた通常、銅−亜鉛合金層40の銅と良好に接着す
るであろうことを発見した。しかし、多数のこのような材料は銅より高い抵抗率
をもつ。しかし、接着材料の超薄層が使用されるので、接着層35は多層金属被
覆構造物20の抵抗に著しくは貢献しない。従って、幾つかの金属及び合金を接
着層材料として使用することができる。これらは、Al、B、Hf、Ta、Ti
、Zn、Cu、Pd、SiC、TiZn、V、Nb、Sb、Sn、耐火金属の窒
化物、炭化物、ホウ化物、及び金属複合構造物、を含む。概括的に述べると、接
着層の材料は、誘電体−金属界面で形成するであろう化合物の形成の、大量の自
由エネルギーをもつあらゆる金属又は合金(例えば、ポリマー/チタン界面、又
は酸化ケイ素/アルミナム界面それぞれの上に形成する炭化チタン又は酸化アル
ミナム)の可能性がある。選択される具体的な材料に応じて、接着層35をPV
D又はCVDのような1種類以上の、一般に知られためっき法を使用して適用す
ることができる。技術が進歩するに従って、接着層35は最終的には、電気化学
的めっき法を使用する適用を受けやすい可能性がある。
【0023】 場合により使用される一次導電層45は銅−亜鉛合金層40上にめっきされて
、電気信号の一次導電路として働き、従って、金属被覆構造物20の全体的抵抗
を減少する、抵抗率のより低い材料すらを提供することができる。場合により使
用される一次導電層45は、銅−亜鉛合金層40が、回路又は部品の要請を満た
すのに十分低い抵抗率をもつ状況においては必要でないかもしれない。大部分の
適用においては、図示された態様の導電層45は好ましくは、銅である。銅の層
はあらゆる既知の膜めっき法を使用してめっきすることができる。しかし、それ
は好ましくは、電気化学めっき法を使用してめっきされる。
【0024】 金属被覆構造物20に使用される独特な層の一つは、銅−亜鉛合金層40であ
る。銅−亜鉛合金層40は非常に低い亜鉛含量を含む。好ましくは、その亜鉛含
量は約5原子パーセント未満である。より好ましくは、その亜鉛含量は約2原子
パーセント未満である。本発明者は、約1原子パーセント未満の亜鉛含量をもつ
これらの銅−亜鉛合金ですら、金属被覆構造物20中に含まれるのにそれらを適
したものにさせる特性を示すことを発見した。亜鉛含量の選択に対する均衡した
方法が一般に必要である。合金中の亜鉛含量が大きいほど、生成する層の抵抗率
が大きい。合金層の抵抗率を減少させるためには、合金の亜鉛含量を最小にしな
ければならない。しかし、減少した亜鉛含量により、酸化抵抗及び銅封じ込め特
性が劣化し始める。従って、亜鉛含量は酸化抵抗及び銅−封じ込め特性に対して
層の抵抗率を平衡させるように選択しなければならない。従って、合金のために
選択された亜鉛含量は金属被覆構造物20の性能の要求に依存してくる。
【0025】 非常に薄い接着層35と一緒に使用することを可能にさせるのは、銅−亜鉛合
金層40の特性である。超薄接着層を使用することにより、金属被覆構造物20
の層の系列を使用して充填されている微細構造物は、中間の銅−亜鉛合金層を伴
わないで純粋の銅層を使用する時に必要である、より厚い接着/バリヤー層を使
用する時に得ることができるよりも、低導電率材料に対して、より大きい割合の
高導電率材料を含む。
【0026】 銅−亜鉛合金層40は、スパッター法又は、下記に、より詳細に説明されるで
あろう電気化学めっき法を使用してめっきすることができる。層40がスパッタ
ーめっきされる時は、合金の組成は概括的に、ターゲットの組成により決定され
る。従って、異なる亜鉛含量をもつ合金層は概括的に、異なる銅−亜鉛組成のタ
ーゲットを使用してスパッターめっきされる。
【0027】 層をめっきするために使用される具体的なめっき法にもかかわらず、本発明者
は、銅−亜鉛合金層40の抵抗率を低温焼きなまし法を使用して減少させること
ができることを発見した。図2は、銅−亜鉛合金が誘電体材料上にスパッターめ
っきされた数々の試料について、焼きなまし温度の関数としての、銅−亜鉛合金
層の抵抗率の間の相関を示すグラフである。スパッターは、10分間の、10-7 Torrの基礎圧力及び5mTorrのアルゴン圧力を伴って2.5kW(0.
5kvolt×5amp)で実施された。焼きなましは30分間の間、与えられ
た温度で実施された。スパッターのターゲットは5原子パーセントの亜鉛含量を
有した。
【0028】 図示のように、前記の条件下での焼きなましは概括的に、最初にめっきされた
ような層の抵抗率と比較して、銅−合金層の抵抗率を改善した。結果は、焼きな
まし温度の増加に伴って、抵抗率の概括的減少を示している。しかし、抵抗率は
約350℃〜400℃より上では、焼きなまし温度の増加に伴って有意に増加し
なかった。従って、これらの温度より上でもたらされた減少していく抵抗率の増
加が与えられるので、この温度範囲以下の焼きなまし温度で銅−亜鉛合金層を焼
きなまして、それにより、ミクロ電子工学加工物(例えば半導体ウェファー)の
可能な熱予算を増加することが好ましいことが発見された。焼きなまし法は、1
枚以上の全体的ミクロ電子工学加工物の層が劣化したり、あるいは高い焼きなま
し温度にさらされる時に応力を受ける場合には、更に低い温度ですら実施するこ
とができる。例えば、多数の低−K誘電体材料は約250℃〜350℃より上の
温度で劣化を開始する。従って、焼きなましはそれらの温度より下で実施しなけ
ればならない。
【0029】 銅−亜鉛合金層40はスパッターめっき法を使用してめっきすることができる
が、酸の銅電気めっきが繁用されるようになり、それはその他の種類のめっき法
よりも数々の利点を有する。それは比較的早く、安価で、維持及び制御が容易で
、毒性が少なく、そして、良好な均一性、強度及び延性のめっき体を生成する。
しかし、銅及び亜鉛は電気化学系列において広く分離されている(標準水素電極
、SHEにおいてはECu/Cu2+=+0.34そしてEZn/z2+=−0.76mV)
。従って、両金属が単純なカチオン、すなわちCu2+及びZn2+として存在する
酸の媒体中では、銅及び亜鉛の両方を同時めっきすることができない。電気めっ
き液から異なるめっきポテンシャルをもつ2種の異なる金属を電気化学的にめっ
きしようと試みる場合は、低い程度のめっきポテンシャルをもつ金属は概括的に
、他方の金属の、より大きい程度のめっきポテンシャルに達する前に、溶液から
析出して、有意な量の気体が発生するであろう。従って、単純なカチオンを含ん
でいるシステムに添加される時に、金属が同時めっきされることができるように
、異なるめっきポテンシャルを相互に隣接させるような化学薬品を考えることが
必要になる。この目的のために、シアン化物、エチレンジアミン、EDTA等の
ような錯体形成剤(配位子)を使用することができる。これらの配位子は銅イオ
ンと配位して、銅の配位錯体と呼ばれる物体を形成し、それにより銅の活性及び
、従って電極ポテンシャルを減少させる。言い換えると、錯体形成剤の存在下で
は、還元ポテンシャル及び、従って金属が電気めっきされるポテンシャルがより
負の領域に移動される。それらが移動される程度は、異なる元素に対して異なる
。本発明者は、この現象を利用して、5原子パーセント未満、そして、より好ま
しくは、約1原子パーセント以下の、非常に少量の亜鉛で薄膜銅層を電気めっき
した。 銅−亜鉛合金層40を形成するために、銅及び亜鉛の両方の電気めっき
を容易にするために、銅−亜鉛合金を電気化学的にめっきするための独特な電気
めっき液が開発された。好ましい溶液は、構造物20の合金層40のために使用
されたその他の銅に基づいた合金の電気化学的めっきにおける場合と同様に、図
1に示された金属被覆構造物20の銅−亜鉛合金層40の電気化学的めっきに使
用することができる。溶液の好ましい組成に従うと、溶液は次の成分を含む。
【0030】 表1 好ましい電気めっき液成分 濃度 機能 MeSO4 10〜40g/l 銅と合金を作ることができる金属、 Meの源として使用される(Me= 亜鉛、アルミナム、ホウ素、マグネ シウム、Ce、等) CuSO4 5〜20g/l 金属合金のための銅源として使用 (NH42SO4 20〜40g/l 錯体形成剤として使用 添加剤 0.1〜1ml/l 好ましくは、ED又はEDTA、 湿潤剤並びに錯体形成剤(場合によ り使用される成分)の両方として 役立つ NH4OH 50〜100ml/l 約8のpHないし約11のpHの間 に維持しなければならない溶液のp Hを調節するために使用、この成分 は錯体形成剤としても働く 前記の溶液により、低い抵抗率(例えば、1.8〜2.4μohm/cm)を
もちしかしまた、所望の酸化抵抗及び銅封じ込め特性をもつ銅−亜鉛合金層40
をめっきするために広範囲のめっきパラメーターを使用することができる。好ま
しくは、合金は一定のポテンシャルの(一定電流の逆の)波形を使用してめっき
される。使用されるめっきポテンシャルは好ましくは、300mVと900mV
カソードの間である。DCめっき波形を使用することができるが、前進パルス波
形をもつことが好ましい。前進パルス波形は50/20msecないし90/1
0msecのオン/オフ周期をもつことができる。0.6/0.3msecの波
形が使用される時に、特に良好なビア及び溝の微細構造物の充填がもたらされる
【0031】 図3A及び3Bは、生成する銅−亜鉛合金層の抵抗率に対するパルスパラメー
ターの効果を表すグラフであり、一方、図4は、生成する層の亜鉛組成物に対す
るパルスパラメーターの効果を示すグラフである。それぞれの図に示された一番
右側のパルスパラメーターの結果は前進パルスのみならず、逆パルスをもつめっ
き波形を含んだ。図4から見られるように、合金の亜鉛含量はパルスパラメータ
ーを変動させることにより操作することができる。
【0032】 めっき法には不活性のアノードを使用することはできるが、消耗性のアノード
が好ましい。消耗性のアノードは純粋の銅又は銅−リンからなることができるが
、銅−リンアノードが、より良い微細構造物の充填及びより良い銅−合金層の特
性を提供する。
【0033】 前記の電気めっき液中に使用された具体的な成分の特徴を示すために、様々な
試験が実施された。例えば、硫酸銅溶液中の銅のロッドの分極動態は図5に示さ
れる。浴中の銅の濃度の増加がアノード側へSSP(定常状態ポテンシャル)を
移動させた。研究されたポテンシャル領域においてはどんな水素の発生もあるよ
うにはみられなかった。
【0034】 様々な量の硫酸亜鉛及び硫酸銅を含むめっき液中の銅のロッドのカソードの分
極化が図6〜11に示されている。亜鉛対銅の比率が8:1ないし5:1の範囲
内にある時は、分極曲線が3カ所の最小点を通過し、最高の負のポテンシャルに
おける最初のものが亜鉛析出/溶解に相当し、他方の2種類が銅と亜鉛の合金の
定常状態領域に相当する。溶液中の銅の含量の増加は、より正方向のポテンシャ
ルに、上方に曲線を移動させる。比率は変動するが、これらの実施例すべての銅
の総量は同一で、5g/lである。銅濃度がこのレベルから10g/l(30:
10の組み合わせにおいては比率は3:1であるが、硫酸銅の総濃度は10g/
lである)に増加される時にのみ、負のポテンシャルにおける2種類の最小値が
消失し、高い、正のポテンシャルに唯一のSSPを残す。これは溶液が銅溶解/
析出平衡を達成する事実による。従って、2個のパラメーター、溶液中の硫酸銅
対硫酸亜鉛の比率及び硫酸銅の濃度、が確認された。銅の濃度が10g/lより
上に増加されない限り、より高い、負のポテンシャルにおける最小値を回避する
ことができるようには見えない。硫酸亜鉛への銅の添加増加により(比率1:1
で、銅20g/lレベルで)電流は有意に増加されない。これは、錯体形成によ
るものであるが、めっきによる濃度の減少が電流密度を有意に変動させることが
できない、溶液の安定度の利点が存在する。従って、めっきの同様な組成物を得
るためには、溶液を、広範なポテンシャルにわたって作業させるような組成物を
使用することが最善である。
【0035】 図12は1g/lの硫酸銅を含む溶液中の銅の分極反応を示している。水酸化
アンモニウムの存在がアミノ錯体の形成を誘導し、一方エチレンアミンの添加が
溶液中に存在する金属イオンのエチレンアミン錯体の形成を誘導する。錯体イオ
ンが形成される時にはいつでも(溶液中に存在する配位子との金属イオンの反応
により)、金属イオンの活性は減少され、これが順次、めっきポテンシャルを減
少させる。錯体形成はまた、過剰ポテンシャルを増加し、アノード電流密度を減
少する。これらの効果はこの分極曲線に認めることができる。アミンのみが存在
する場合は、定常状態のポテンシャルは−IV(SCE)の周辺である。従って
、これに対してより負のポテンシャルにおいて、銅のめっきが可能である。水酸
化アンモニウムが試験溶液中に存在する場合は、SSPはより高い負の値、−0
.47V(SCE)に降下される。これは、アンモニアと形成された錯体が、よ
り高い負のポテンシャルにおいてのみ、還元されることができることを示してい
る。次の等式は、錯体から銅の析出を導く反応系列を示す。
【0036】
【数2】
【0037】 図13は、45g/lのZnSO4及び様々な量のCuSO4を含むめっき液中
の電位動力学的分極曲線を示している。曲線は亜鉛、銅及び/又はこれらの金属
の合金のものに対応する活性析出/溶解領域を示している。亜鉛錯体の亜鉛への
還元は、浴が硫酸亜鉛のみを含み硫酸銅を含まない時に、−1.3V(SCE)
までの負のポテンシャルにおいて起こった。1及び2g/lの硫酸銅の添加は、
よりアノードの値、−1.2及び−1.0V(SCE)それぞれへの、このポテ
ンシャルの移動をもたらした。純粋亜鉛のものに対応する定常状態の領域は同時
の銅析出により隠蔽された。これが起こった程度は浴中の銅の量に依存した。従
って、より高いポテンシャルで析出した亜鉛が溶解する前ですら、銅/銅合金は
析出し始めた。カソードに分極された試料の横断面を観察するために、カソード
領域の電流が100μA(大体、−0.5V SCE)より下に下降した時に実
験を終結した。次いで、試料を表面から内部の層を観察するために研磨した。図
14に示されるように、層は、めっき表面から銅のロッド/めっき体界面の方向
を観察されると、銅、Cu−Zn合金及び亜鉛であるように見られた。
【0038】 銅−亜鉛合金層40の電気化学めっきは広範囲の種類の電気めっき反応容器中
で実施することができる。前述の電気化学めっき法を実施するのに特に適した1
種類以上の電気めっき容器を取り込んでいる集積処理器具はKalispell
,MontanaのSemitool,Inc.,から市販されている。これら
の器具は商品名LT−210TM及びEquinoxTMとして市販されており、ミ
クロ電子工学回路及び部品の製造に使用される広範囲の電気めっき法を実施する
ように容易に適応される。好都合には、これらの器具に使用された反応容器は電
気化学めっき法の間中、加工体を回転するので、それにより、生成するフィルム
の均一性を高める。加工物上に銅−亜鉛合金層40(又はその他の合金)をめっ
きする時に加工体を回転することは好ましい。生成する銅−Zn合金層40の品
質を更に高めるために、これらの器具の1個又は2個以上の電気化学めっき反応
室を、それにより、生成する合金層の所望の特徴を高めるために、電気化学めっ
き法の間に電気めっき液に超音波エネルギーを提供する超音波発生機を付けるこ
とができる。
【0039】 電気めっき反応容器に加えて、これらの器具はしばしば、典型的に電気化学め
っきと関連したその他の工程を実施するために使用される、例えば、前湿潤化室
、すすぎ室、等のようなその他の付随的処理室を含む。その他のミクロ電子工学
加工物と同様に、半導体ウェファーは、反応容器内で1個1個これらの器具中で
加工され、ロボットの移動機構により、加工体ステーションと搬入/搬送ステー
ションとの間のみならず、加工ステーションの間を移動される。加工室の部品の
みならず、ロボット移動機構、電気めっき反応容器及びそこで使用されるめっき
レシピーはすべて、1種類以上の、プログラム可能な処理ユニットの制御下にあ
る。 それらの基本となる説から逸脱せずに、前述の発明に、数々の修正を実施
することができる。本発明は、1種類以上の特定の態様について、実質的に詳細
に説明されたが、当業者は、付記の請求項に提示された発明の範囲及び精神から
逸脱せずにそれらに変更を加えることができることを認めるであろう。
【図面の簡単な説明】
【図1】 本発明の一態様に従って構成された多層金属被覆構造物を示す。
【図2】 焼きなまし温度の関数としての銅−亜鉛合金層の抵抗率との間の相関を示すグ
ラフである。
【図3A及び3B】 本発明の一態様に従う成分の組成をもつ電気めっき液を使用してめっきされた
、電気化学的にめっきされた銅−亜鉛合金層の抵抗率に対するパルスパラメータ
ーの効果を示すグラフである。
【図4】 本発明の一態様に従う成分の組成をもつ電気めっき液を使用してめっきされた
電気化学的にめっきされた銅−亜鉛合金の亜鉛組成物に対するパルスパラメータ
ーの効果を示すグラフである。
【図5】 硫酸銅溶液中の銅のロッドの分極動態を示すグラフである。
【図6〜11】 様々な量の硫酸亜鉛及び硫酸銅を含むめっき液中の銅のロッドのカソードの分
極を示すグラフである。
【図12】 1g/lの硫酸銅及びその他の溶液の成分を含む溶液中の銅の分極反応を示す
グラフである。
【図13】 45g/lのZnSO4及び様々な量のCuSO4を含むめっき液に対する分極
曲線を示すグラフである。
【図14】 開示された合金の電気めっき液の一態様により実施された試験における銅のロ
ッド上にめっきされた電気化学的にめっきされた層の組成を示す。
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/288 H01G 4/06 102 (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),CN,JP,U S (72)発明者 ムラルカ,シヤム・ピー アメリカ合衆国ニユーヨーク州12065クリ フトンパーク・シヤドーブルツクドライブ 7 Fターム(参考) 4F100 AA07C AA07H AB01B AB01C AB17C AB18C AB31B AB31C AH08C AH08H AR00A AR00B AR00D BA03 BA04 BA07 BA10C BA10D BA13 CA30H EH71C GB41 JG01A JG01B JG01D JL11A JM02B 4M104 BB04 DD37 DD52 DD78 5E082 AB03 BB10 BC19 BC32 EE05 EE25 EE26 EE39 FG03 PP03 PP09 5F033 HH07 HH08 HH11 HH12 HH17 HH18 HH21 HH31 HH32 HH36 MM00 MM12 MM13 PP15 PP27 QQ73 WW02 WW04

Claims (59)

    【特許請求の範囲】
  1. 【請求項1】 ミクロ電子工学の回路に使用のための金属被覆構造物で、 誘電体層、 誘電体層の外側に配置された超薄膜接着層、及び 超薄膜接着層の外側に配置された低−Me濃度の銅−Me合金層、 を含んでなる金属被覆構造物。
  2. 【請求項2】 誘電体層が低−K誘電体材料から形成されている、請求項1
    記載の金属被覆構造物。
  3. 【請求項3】 誘電体層が高−K誘電体材料から形成されている、請求項1
    記載の金属被覆構造物。
  4. 【請求項4】 銅−Me合金層のMe含量が約5原子パーセント以下である
    、請求項1記載の金属被覆構造物。
  5. 【請求項5】 銅−Me合金層の亜鉛含量が約2原子パーセント以下である
    、請求項1記載の金属被覆構造物。
  6. 【請求項6】 銅−Me合金層の亜鉛含量が約1原子パーセント以下である
    、請求項1記載の金属被覆構造物。
  7. 【請求項7】 超薄接着層が約10〜20オングストロームの間の厚さをも
    つ、請求項1記載の金属構造物。
  8. 【請求項8】 超薄接着層が約15オングストローム未満の厚さをもつ、請
    求項7記載の金属被覆構造物。
  9. 【請求項9】 超薄接着層が金属からなる、請求項1記載の金属被覆構造物
  10. 【請求項10】 超薄接着層が金属合金からなる、請求項1記載の金属被覆
    構造物。
  11. 【請求項11】 ミクロ電子工学回路への使用のための金属被覆構造物で、
    誘電体層、 誘電体層の外側に配置された超薄膜接着層、及び 超薄膜接着層の外側に配置された低−亜鉛濃度の、銅−亜鉛合金層、 を含んでなる金属被覆構造物。
  12. 【請求項12】 請求項11に記載され、低−亜鉛濃度の銅−亜鉛合金層の
    外側に配置された一次銅の導体を更に含んでなる、金属被覆構造物。
  13. 【請求項13】 誘電体層が低−K誘電体材料から形成されている、請求項
    11記載の金属被覆構造物。
  14. 【請求項14】 誘電体層が高−K誘電体材料から形成されている、請求項
    11記載の金属被覆構造物。
  15. 【請求項15】 銅−亜鉛合金層の亜鉛含量が約5原子パーセント以下であ
    る、請求項11記載の金属被覆構造物。
  16. 【請求項16】 銅−亜鉛合金層の亜鉛含量が約2原子パーセント以下であ
    る、請求項11記載の金属被覆構造物。
  17. 【請求項17】 銅−亜鉛合金層の亜鉛含量が約1原子パーセント以下であ
    る、請求項11記載の金属被覆構造物。
  18. 【請求項18】 超薄接着層が約10〜20オングストロームの間の厚さを
    もつ、請求項11記載の金属被覆構造物。
  19. 【請求項19】 超薄接着層が約15オングストローム未満の厚さをもつ、
    請求項18記載の金属被覆構造物。
  20. 【請求項20】 超薄接着層が金属からなる、請求項11記載の金属被覆構
    造物。
  21. 【請求項21】 超薄接着層が金属合金からなる、請求項11記載の金属被
    覆構造物。
  22. 【請求項22】 ミクロ電子工学回路への使用のための金属被覆構造物で、
    誘電体層、 誘電体層に隣接して配置された超薄膜接着層、及び 超薄膜接着層に隣接して配置された低−亜鉛濃度の、銅−亜鉛合金層、 を含んでなる金属被覆構造物。
  23. 【請求項23】 請求項22に記載され、低−亜鉛濃度の銅−亜鉛合金層に
    隣接した一次銅の導体層を更に含んでなる、金属被覆構造物。
  24. 【請求項24】 誘電体層が低−K誘電体材料から形成されている、請求項
    22記載の金属被覆構造物。
  25. 【請求項25】 誘電体層が高−K誘電体材料から形成されている、請求項
    22記載の金属被覆構造物。
  26. 【請求項26】 銅−亜鉛合金層の亜鉛含量が約5原子パーセント以下であ
    る、請求項22記載の金属被覆構造物。
  27. 【請求項27】 銅−亜鉛合金層の亜鉛含量が約2原子パーセント以下であ
    る、請求項22記載の金属被覆構造物。
  28. 【請求項28】 銅−亜鉛合金層の亜鉛含量が約1原子パーセント以下であ
    る、請求項22記載の金属被覆構造物。
  29. 【請求項29】 超薄接着層が約10〜20オングストロームの間の厚さを
    もつ、請求項22記載の金属被覆構造物。
  30. 【請求項30】 超薄接着層が約15オングストローム未満の厚さをもつ、
    請求項29記載の金属被覆構造物。
  31. 【請求項31】 超薄接着層が、誘電体−接着層界面において形成するであ
    ろう化合物に対して、高い大きさの、形成の自由エネルギーをもつ材料から形成
    されている、請求項22記載の金属被覆構造物。
  32. 【請求項32】 超薄接着層が金属からなる、請求項22記載の金属被覆構
    造物。
  33. 【請求項33】 超薄接着層が金属合金からなる、請求項22記載の金属被
    覆構造物。
  34. 【請求項34】 誘電体層をミクロ電子工学加工物上に配置すること、 誘電体層の外側に超薄接着層を配置すること、 超薄接着層の外側に低Me濃度の、銅−Me合金層を配置すること(ここで、
    Meは銅以外の金属である)、 の段階を含んでなる、ミクロ電子工学加工物上に金属被覆構造物を形成する方法
  35. 【請求項35】 超薄接着層が誘電体層に直かに隣接して配置され、銅−M
    e合金層が超薄接着層に直かに隣接して配置される、請求項34記載の金属被覆
    構造物を形成する方法。
  36. 【請求項36】 Meの濃度が約5原子パーセント未満である、請求項35
    記載の金属被覆構造物の形成方法。
  37. 【請求項37】 Meの濃度が約2原子パーセント未満である、請求項35
    記載の金属被覆構造物の形成方法。
  38. 【請求項38】 Meの濃度が約1原子パーセント未満である、請求項35
    記載の金属被覆構造物の形成方法。
  39. 【請求項39】 Meが亜鉛である、請求項35記載の金属被覆構造物の形
    成方法。
  40. 【請求項40】 Meが亜鉛である、請求項36記載の金属被覆構造物の形
    成方法。
  41. 【請求項41】 Meが亜鉛である、請求項37記載の金属被覆構造物の形
    成方法。
  42. 【請求項42】 Meが亜鉛である、請求項38記載の金属被覆構造物の形
    成方法。
  43. 【請求項43】 銅−Me合金層が電気化学めっき法を使用してめっきされ
    る、請求項34記載の金属被覆構造物の形成方法。
  44. 【請求項44】 電気化学めっき法が一定ポテンシャルの波形を使用する、
    請求項43記載の金属被覆構造物の形成方法。
  45. 【請求項45】 一定ポテンシャルの波形が前進パルスをもつ波形を含んで
    なる、請求項44記載の金属被覆構造物の形成方法。
  46. 【請求項46】 銅−Me合金層が電気化学めっき法を使用してめっきされ
    る、請求項35記載の金属被覆構造物の形成方法。
  47. 【請求項47】 銅−Me合金層が電気化学めっき法を使用してめっきされ
    る、請求項41記載の金属被覆構造物の形成方法。
  48. 【請求項48】 ミクロ電子工学加工物の表面上に低−Me濃度の銅−Me
    合金層をめっきするための電気めっき浴で、Meが銅以外の金属であり、 金属Me源としてMeSO4、 銅源としてCuSO4、 錯体形成剤として(NH42SO4、及び pH調整剤としてNH4OH、 を含んでなる浴。
  49. 【請求項49】 MeSO4がZnSO4である、請求項48記載の電気めっ
    き浴。
  50. 【請求項50】 MeSO4中のMeが、亜鉛、アルミナム、ホウ素、マグ
    ネシウム、及びセシウムからなる群から選択される、請求項48記載の電気めっ
    き浴。
  51. 【請求項51】 請求項48に記載され、湿潤剤及び錯体形成剤の両方とし
    て役割を果す添加剤を更に含んでなる、電気めっき浴。
  52. 【請求項52】 添加剤がED及びEDTAからなる群から選択される化学
    薬品である、請求項51記載の電気めっき浴。
  53. 【請求項53】 電子工学加工物の表面上に低−Me濃度の銅−Me合金層
    をめっきするための電気めっき浴で、Meは銅以外の金属であり、 金属Me源として、約10〜40g/lの間の濃度で電気めっき浴中に存在す
    るMeSO4、 銅の源として、約5〜20g/lの間の濃度で電気めっき浴中に存在するCu
    SO4、 錯体形成剤として、約20〜40g/lの間の濃度で電気めっき浴中に存在す
    る(NH42SO4、及び pH調整剤として、約50〜100g/lの間の濃度で電気めっき浴中に存在
    する(NH42SO4、 を含んでなる浴。
  54. 【請求項54】 MeSO4がZnSO4である、請求項53記載の電気めっ
    き浴。
  55. 【請求項55】 MeSO4中のMeが、亜鉛、アルミナム、ホウ素、マグ
    ネシウム、及びセシウムからなる群から選択される、請求項53記載の電気めっ
    き浴。
  56. 【請求項56】 請求項53に記載され、湿潤剤及び錯体形成剤の両方とし
    て働く添加剤を更に含んでなる電気めっき浴。
  57. 【請求項57】 添加剤がED及びEDTAからなる群から選択される化学
    薬品である、請求項56記載の電気めっき浴。
  58. 【請求項58】 添加剤が約0.1〜1ml/lの間の濃度で電気めっき浴
    中に存在する、請求項57記載の電気めっき浴。
  59. 【請求項59】 電気めっき浴のpHが約11である、請求項53記載の電
    気めっき浴。
JP2000561644A 1998-06-30 1999-06-30 ミクロ電子工学の適用のための金属被覆構造物及びその構造物の形成法 Pending JP2003517190A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US9169198P 1998-06-30 1998-06-30
US60/091,691 1998-06-30
US11451298P 1998-12-31 1998-12-31
US60/114,512 1998-12-31
PCT/US1999/014939 WO2000005747A2 (en) 1998-06-30 1999-06-30 Metallization structures for microelectronic applications and process for forming the structures

Publications (1)

Publication Number Publication Date
JP2003517190A true JP2003517190A (ja) 2003-05-20

Family

ID=26784237

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000561644A Pending JP2003517190A (ja) 1998-06-30 1999-06-30 ミクロ電子工学の適用のための金属被覆構造物及びその構造物の形成法

Country Status (6)

Country Link
US (3) US6319387B1 (ja)
EP (1) EP1112125B1 (ja)
JP (1) JP2003517190A (ja)
AT (1) ATE316426T1 (ja)
DE (1) DE69929607T2 (ja)
WO (1) WO2000005747A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001230252A (ja) * 2000-02-16 2001-08-24 Fujitsu Ltd Cu系埋込配線を有する半導体装置及びCu系埋込配線のパルスメッキ方法

Families Citing this family (229)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429120B1 (en) * 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
WO2000005747A2 (en) * 1998-06-30 2000-02-03 Semitool, Inc. Metallization structures for microelectronic applications and process for forming the structures
US8852417B2 (en) 1999-04-13 2014-10-07 Applied Materials, Inc. Electrolytic process using anion permeable barrier
US20060157355A1 (en) 2000-03-21 2006-07-20 Semitool, Inc. Electrolytic process using anion permeable barrier
US8236159B2 (en) 1999-04-13 2012-08-07 Applied Materials Inc. Electrolytic process using cation permeable barrier
US6265297B1 (en) * 1999-09-01 2001-07-24 Micron Technology, Inc. Ammonia passivation of metal gate electrodes to inhibit oxidation of metal
US7211512B1 (en) * 2000-01-18 2007-05-01 Micron Technology, Inc. Selective electroless-plated copper metallization
US7262130B1 (en) * 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US6376370B1 (en) * 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US6503375B1 (en) * 2000-02-11 2003-01-07 Applied Materials, Inc Electroplating apparatus using a perforated phosphorus doped consumable anode
US20060189129A1 (en) * 2000-03-21 2006-08-24 Semitool, Inc. Method for applying metal features onto barrier layers using ion permeable barriers
US7135404B2 (en) * 2002-01-10 2006-11-14 Semitool, Inc. Method for applying metal features onto barrier layers using electrochemical deposition
US20050145499A1 (en) * 2000-06-05 2005-07-07 Applied Materials, Inc. Plating of a thin metal seed layer
US20050109627A1 (en) * 2003-10-10 2005-05-26 Applied Materials, Inc. Methods and chemistry for providing initial conformal electrochemical deposition of copper in sub-micron features
US6709563B2 (en) * 2000-06-30 2004-03-23 Ebara Corporation Copper-plating liquid, plating method and plating apparatus
US6358821B1 (en) * 2000-07-19 2002-03-19 Chartered Semiconductor Manufacturing Inc. Method of copper transport prevention by a sputtered gettering layer on backside of wafer
TWI238459B (en) * 2000-11-15 2005-08-21 Intel Corp Copper alloy interconnections for integrated circuits and methods of making same
US6515373B2 (en) * 2000-12-28 2003-02-04 Infineon Technologies Ag Cu-pad/bonded/Cu-wire with self-passivating Cu-alloys
US6566248B1 (en) * 2001-01-11 2003-05-20 Advanced Micro Devices, Inc. Graphoepitaxial conductor cores in integrated circuit interconnects
US6740221B2 (en) 2001-03-15 2004-05-25 Applied Materials Inc. Method of forming copper interconnects
US7189647B2 (en) 2001-04-05 2007-03-13 Novellus Systems, Inc. Sequential station tool for wet processing of semiconductor wafers
US6528412B1 (en) * 2001-04-30 2003-03-04 Advanced Micro Devices, Inc. Depositing an adhesion skin layer and a conformal seed layer to fill an interconnect opening
JP2004533123A (ja) * 2001-06-14 2004-10-28 マトソン テクノロジー インコーポレーテッド 銅接続用の障壁エンハンスメント工程
US6746591B2 (en) 2001-10-16 2004-06-08 Applied Materials Inc. ECP gap fill by modulating the voltate on the seed layer to increase copper concentration inside feature
US6811670B2 (en) * 2001-11-21 2004-11-02 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming cathode contact areas for an electroplating process
US6630741B1 (en) * 2001-12-07 2003-10-07 Advanced Micro Devices, Inc. Method of reducing electromigration by ordering zinc-doping in an electroplated copper-zinc interconnect and a semiconductor device thereby formed
US6515368B1 (en) * 2001-12-07 2003-02-04 Advanced Micro Devices, Inc. Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper
WO2003063067A1 (en) * 2002-01-24 2003-07-31 Chatterbox Systems, Inc. Method and system for locating positions in printed texts and delivering multimedia information
US20030146102A1 (en) * 2002-02-05 2003-08-07 Applied Materials, Inc. Method for forming copper interconnects
US7109111B2 (en) * 2002-02-11 2006-09-19 Applied Materials, Inc. Method of annealing metal layers
US20030155247A1 (en) * 2002-02-19 2003-08-21 Shipley Company, L.L.C. Process for electroplating silicon wafers
US6974767B1 (en) * 2002-02-21 2005-12-13 Advanced Micro Devices, Inc. Chemical solution for electroplating a copper-zinc alloy thin film
US6528424B1 (en) * 2002-02-22 2003-03-04 Advanced Micro Devices, Inc. Method of electroplating a copper-zinc alloy thin film on a copper surface using a chemical solution
US6717236B1 (en) * 2002-02-26 2004-04-06 Advanced Micro Devices, Inc. Method of reducing electromigration by forming an electroplated copper-zinc interconnect and a semiconductor device thereby formed
US6660633B1 (en) * 2002-02-26 2003-12-09 Advanced Micro Devices, Inc. Method of reducing electromigration in a copper line by electroplating an interim copper-zinc alloy thin film on a copper surface and a semiconductor device thereby formed
US6905622B2 (en) * 2002-04-03 2005-06-14 Applied Materials, Inc. Electroless deposition method
US20030190426A1 (en) * 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US6899816B2 (en) * 2002-04-03 2005-05-31 Applied Materials, Inc. Electroless deposition method
WO2003085713A1 (en) * 2002-04-03 2003-10-16 Applied Materials, Inc. Homogeneous copper-tin alloy plating for enhancement of electro-migration resistance in interconnects
US6875331B2 (en) * 2002-07-11 2005-04-05 Applied Materials, Inc. Anode isolation by diffusion differentials
US7223323B2 (en) 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
US7128823B2 (en) 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
US7247222B2 (en) * 2002-07-24 2007-07-24 Applied Materials, Inc. Electrochemical processing cell
US20040118699A1 (en) * 2002-10-02 2004-06-24 Applied Materials, Inc. Homogeneous copper-palladium alloy plating for enhancement of electro-migration resistance in interconnects
US6821909B2 (en) * 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
US20040154926A1 (en) * 2002-12-24 2004-08-12 Zhi-Wen Sun Multiple chemistry electrochemical plating method
US20040200725A1 (en) * 2003-04-09 2004-10-14 Applied Materials Inc. Application of antifoaming agent to reduce defects in a semiconductor electrochemical plating process
US7473339B2 (en) * 2003-04-18 2009-01-06 Applied Materials, Inc. Slim cell platform plumbing
US7311810B2 (en) * 2003-04-18 2007-12-25 Applied Materials, Inc. Two position anneal chamber
US20040248405A1 (en) * 2003-06-02 2004-12-09 Akira Fukunaga Method of and apparatus for manufacturing semiconductor device
US20060283716A1 (en) * 2003-07-08 2006-12-21 Hooman Hafezi Method of direct plating of copper on a ruthenium alloy
US20070125657A1 (en) * 2003-07-08 2007-06-07 Zhi-Wen Sun Method of direct plating of copper on a substrate structure
US7220665B2 (en) * 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
US7827930B2 (en) * 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7654221B2 (en) 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US20070111519A1 (en) * 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
US7064065B2 (en) 2003-10-15 2006-06-20 Applied Materials, Inc. Silver under-layers for electroless cobalt alloys
US7465358B2 (en) * 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US7205233B2 (en) 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060246217A1 (en) 2005-03-18 2006-11-02 Weidman Timothy W Electroless deposition process on a silicide contact
TW200707640A (en) 2005-03-18 2007-02-16 Applied Materials Inc Contact metallization scheme using a barrier layer over a silicide layer
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US20080264774A1 (en) * 2007-04-25 2008-10-30 Semitool, Inc. Method for electrochemically depositing metal onto a microelectronic workpiece
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US7905994B2 (en) * 2007-10-03 2011-03-15 Moses Lake Industries, Inc. Substrate holder and electroplating system
US20090188553A1 (en) * 2008-01-25 2009-07-30 Emat Technology, Llc Methods of fabricating solar-cell structures and resulting solar-cell structures
US8143164B2 (en) * 2009-02-09 2012-03-27 Intermolecular, Inc. Formation of a zinc passivation layer on titanium or titanium alloys used in semiconductor processing
CN102395712A (zh) 2009-02-12 2012-03-28 技术研究及发展基金有限公司 电镀铜的方法
US8262894B2 (en) 2009-04-30 2012-09-11 Moses Lake Industries, Inc. High speed copper plating bath
US8168540B1 (en) * 2009-12-29 2012-05-01 Novellus Systems, Inc. Methods and apparatus for depositing copper on tungsten
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US10665503B2 (en) * 2012-04-26 2020-05-26 Applied Materials, Inc. Semiconductor reflow processing for feature fill
CN103426816B (zh) * 2012-04-26 2018-03-09 应用材料公司 用于高深宽比填充的半导体反流处理
KR20130121042A (ko) * 2012-04-26 2013-11-05 어플라이드 머티어리얼스, 인코포레이티드 피쳐 필을 위한 반도체 리플로우 프로세싱
TWI625773B (zh) * 2012-04-26 2018-06-01 應用材料股份有限公司 用於特徵塡充的半導體重流處理
TWI576961B (zh) * 2012-04-26 2017-04-01 應用材料股份有限公司 用於高深寬比塡充的半導體重流處理
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10163695B1 (en) 2017-06-27 2018-12-25 Lam Research Corporation Self-forming barrier process
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US11284510B2 (en) * 2018-04-17 2022-03-22 Board Of Trustees Of Michigan State University Controlled wetting and spreading of metals on substrates using porous interlayers and related articles
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06173075A (ja) * 1992-12-03 1994-06-21 Daiwa Kasei Kenkyusho:Kk 銅−ニッケル合金めっき浴
JPH07278870A (ja) * 1994-04-08 1995-10-24 Kojima Kagaku Yakuhin Kk パラジウムめっき液
JPH08319577A (ja) * 1995-05-23 1996-12-03 Univ Waseda 無電解電解併用ニッケルクロム合金めっき液
JPH0964173A (ja) * 1995-08-18 1997-03-07 Sony Corp 配線形成層の製造方法
WO1997011485A1 (fr) * 1995-09-18 1997-03-27 Hitachi, Ltd. Dispositif a semiconducteur et son procede de fabrication
JPH09217193A (ja) * 1996-02-12 1997-08-19 Gould Electron Inc 非シアン化物真鍮めっき浴混合物、真鍮層を有する金属箔の製造方法、及び非シアン化物真鍮めっき浴の使用方法

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CH555894A (fr) 1972-08-10 1974-11-15 Oxy Metal Industries Corp Utilisation de derives organophosphores dans les bains sulfitiques pour l'electrodeposition de l'or et des alliages d'or.
DE2244434C3 (de) 1972-09-06 1982-02-25 Schering Ag, 1000 Berlin Und 4619 Bergkamen Wäßriges Bad zur galvanischen Abscheidung von Gold und Goldlegierungen
US4090926A (en) 1974-09-11 1978-05-23 Environmental Sciences, Inc. Testing product
DE2521282C2 (de) 1975-05-13 1977-03-03 Siemens Ag Prozessteueranlage zum selbsttaetigen analysieren und auffrischen von galvanischen baedern
CA1064852A (en) 1975-12-31 1979-10-23 Cominco Ltd. Method for evaluating a system for electrodeposition of metals
US4132605A (en) 1976-12-27 1979-01-02 Rockwell International Corporation Method for evaluating the quality of electroplating baths
US4181760A (en) * 1977-06-06 1980-01-01 Surface Technology, Inc. Method for rendering non-platable surfaces platable
US4235648A (en) * 1979-04-05 1980-11-25 Motorola, Inc. Method for immersion plating very thin films of aluminum
US4917774A (en) 1986-04-24 1990-04-17 Shipley Company Inc. Method for analyzing additive concentration
US4948473A (en) 1987-10-13 1990-08-14 The Clorox Company Surfactant sensing electrode for potentiometric titrations
JP2511289B2 (ja) * 1988-03-30 1996-06-26 株式会社日立製作所 半導体装置
JPH01319944A (ja) * 1988-06-21 1989-12-26 Mitsubishi Electric Corp 半導体基板表面に薄膜を形成する方法およびその装置
US5316974A (en) * 1988-12-19 1994-05-31 Texas Instruments Incorporated Integrated circuit copper metallization process using a lift-off seed layer and a thick-plated conductor layer
US5098544A (en) * 1989-08-07 1992-03-24 Eltech Systems Corporation Continuous electroplating of conductive foams
JPH0819550B2 (ja) * 1990-06-05 1996-02-28 福田金属箔粉工業株式会社 印刷回路用銅箔の表面処理方法
US5151168A (en) * 1990-09-24 1992-09-29 Micron Technology, Inc. Process for metallizing integrated circuits with electrolytically-deposited copper
US5223118A (en) 1991-03-08 1993-06-29 Shipley Company Inc. Method for analyzing organic additives in an electroplating bath
US5130274A (en) * 1991-04-05 1992-07-14 International Business Machines Corporation Copper alloy metallurgies for VLSI interconnection structures
US5192403A (en) 1991-05-16 1993-03-09 International Business Machines Corporation Cyclic voltammetric method for the measurement of concentrations of subcomponents of plating solution additive mixtures
DE69226411T2 (de) * 1991-09-30 1998-12-24 At & T Corp Herstellung eines leitenden Gebietes in elektronischen Vorrichtungen
US5196096A (en) 1992-03-24 1993-03-23 International Business Machines Corporation Method for analyzing the addition agents in solutions for electroplating of PbSn alloys
US5389215A (en) 1992-11-05 1995-02-14 Nippon Telegraph And Telephone Corporation Electrochemical detection method and apparatus therefor
US5364510A (en) 1993-02-12 1994-11-15 Sematech, Inc. Scheme for bath chemistry measurement and control for improved semiconductor wet processing
US5391271A (en) 1993-09-27 1995-02-21 Hughes Aircraft Company Method of monitoring acid concentration in plating baths
JP3347457B2 (ja) 1994-02-24 2002-11-20 日本電解株式会社 非シアン系銅−亜鉛電気めっき浴、これを用いたプリント配線板用銅箔の表面処理方法及びプリント配線板用銅箔
KR100232506B1 (ko) * 1995-06-27 1999-12-01 포만 제프리 엘. 전기적 접속을 제공하는 배선 구조 및 도체와 그 도체형성방법
US5789320A (en) * 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
JP2000005747A (ja) * 1998-06-18 2000-01-11 Kanagawa Acad Of Sci & Technol 光触媒とオゾン併用処理による水処理方法及びその装置
WO2000005747A2 (en) * 1998-06-30 2000-02-03 Semitool, Inc. Metallization structures for microelectronic applications and process for forming the structures
US6103624A (en) 1999-04-15 2000-08-15 Advanced Micro Devices, Inc. Method of improving Cu damascene interconnect reliability by laser anneal before barrier polish

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06173075A (ja) * 1992-12-03 1994-06-21 Daiwa Kasei Kenkyusho:Kk 銅−ニッケル合金めっき浴
JPH07278870A (ja) * 1994-04-08 1995-10-24 Kojima Kagaku Yakuhin Kk パラジウムめっき液
JPH08319577A (ja) * 1995-05-23 1996-12-03 Univ Waseda 無電解電解併用ニッケルクロム合金めっき液
JPH0964173A (ja) * 1995-08-18 1997-03-07 Sony Corp 配線形成層の製造方法
WO1997011485A1 (fr) * 1995-09-18 1997-03-27 Hitachi, Ltd. Dispositif a semiconducteur et son procede de fabrication
JPH09217193A (ja) * 1996-02-12 1997-08-19 Gould Electron Inc 非シアン化物真鍮めっき浴混合物、真鍮層を有する金属箔の製造方法、及び非シアン化物真鍮めっき浴の使用方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001230252A (ja) * 2000-02-16 2001-08-24 Fujitsu Ltd Cu系埋込配線を有する半導体装置及びCu系埋込配線のパルスメッキ方法
JP4537523B2 (ja) * 2000-02-16 2010-09-01 富士通株式会社 Cu系埋込配線のパルスメッキ方法

Also Published As

Publication number Publication date
DE69929607D1 (de) 2006-04-13
WO2000005747A3 (en) 2001-02-22
EP1112125B1 (en) 2006-01-25
US6368966B1 (en) 2002-04-09
EP1112125A4 (en) 2003-10-01
ATE316426T1 (de) 2006-02-15
US20020050628A1 (en) 2002-05-02
WO2000005747A2 (en) 2000-02-03
DE69929607T2 (de) 2006-07-27
EP1112125A2 (en) 2001-07-04
US6319387B1 (en) 2001-11-20
US6486533B2 (en) 2002-11-26

Similar Documents

Publication Publication Date Title
JP2003517190A (ja) ミクロ電子工学の適用のための金属被覆構造物及びその構造物の形成法
US6290833B1 (en) Method for electrolytically depositing copper on a semiconductor workpiece
US6197181B1 (en) Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US20050006245A1 (en) Multiple-step electrodeposition process for direct copper plating on barrier metals
JP2007194624A (ja) 銅でないメッキ可能層の上への銅の直接電気メッキのための方法
US7442267B1 (en) Anneal of ruthenium seed layer to improve copper plating
EP2309025B1 (en) Plated object with copper thin film formed by electroless plating
EP2237312B1 (en) Electronic member wherein barrier-seed layer is formed on base
US7820026B2 (en) Method to deposit organic grafted film on barrier layer
WO2008134536A1 (en) Method for electrochemically depositing metal onto a microelectronic workpiece
Pesic Copper electrodeposition on diffusion barrier films-a literature review
US20020079232A1 (en) Seed layer deposition
Wu et al. Investigation the electroplating behavior of self formed CuMn barrier
US8395264B2 (en) Substrate comprising alloy film of metal element having barrier function and metal element having catalytic power
Kim et al. Electroless deposition of Cu and Ag for ULSI interconnect fabrication
CN101911265A (zh) 在基材上形成有阻挡层兼种子层的电子构件

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060608

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20080826

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20080826

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100823

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100921

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20101220

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20101228

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110120

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110127

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120110

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20120411