JP2007194624A - 銅でないメッキ可能層の上への銅の直接電気メッキのための方法 - Google Patents

銅でないメッキ可能層の上への銅の直接電気メッキのための方法 Download PDF

Info

Publication number
JP2007194624A
JP2007194624A JP2006353015A JP2006353015A JP2007194624A JP 2007194624 A JP2007194624 A JP 2007194624A JP 2006353015 A JP2006353015 A JP 2006353015A JP 2006353015 A JP2006353015 A JP 2006353015A JP 2007194624 A JP2007194624 A JP 2007194624A
Authority
JP
Japan
Prior art keywords
barrier layer
layer
forming
copper
ruthenium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006353015A
Other languages
English (en)
Other versions
JP5203602B2 (ja
Inventor
Sandra G Malhotra
サンドラ・ガイ・マルホトラ
Hariklia Deligianni
ハリクリア・デリジアニ
Der Straten Oscar Van
オスカー・ヴァン・デル・ストラテン
Xiaoyan Shao
シャオヤン・シャオ
Stephen M Rossnagel
ステファン・エム・ロスナーゲル
Tsong-Lin Tai
ツォン・リン・タイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2007194624A publication Critical patent/JP2007194624A/ja
Application granted granted Critical
Publication of JP5203602B2 publication Critical patent/JP5203602B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/948Radiation resist
    • Y10S438/952Utilizing antireflective layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electroplating Methods And Accessories (AREA)

Abstract

【課題】銅でないメッキ可能層の上への銅の直接電気メッキのためのプロセスを提供する。
【解決手段】半導体構造物中に相互配線を形成するためのプロセスであって、基板の上に誘電体層を形成する工程と、誘電体層の上に第一の障壁層を形成する工程と、第一の障壁層の上に第二の障壁層を形成する工程であって、第二の障壁層は、ルテニウム、白金、パラジウム、ロジウムおよびイリジウムからなる群から選ばれ、第二の障壁層の形成は、第二の障壁層中の酸素のバルク濃度が20原子パーセントまたはそれ未満となるように操作される工程と、第二の障壁層の上に導電層を形成する工程と、を含むプロセス。本プロセスは、さらに、第二の障壁を処理して第二の障壁層の表面の酸化物の量を減少させる工程を含むことができる。
【選択図】図3

Description

本発明は、半導体構造物への銅の電気メッキに関する。より詳しくは、本発明は、銅でないメッキ可能層への中間銅シード層を用いない銅の直接電気メッキを目的とする。
ダマシン・プロセス加工では、誘電体層の中に相互配線構造物すなわち配線パターンが形成される。既知の技法を用いて、配線パターンを定めるためにフォトレジスト材料が用いられる。パターン化されたフォトレジストは、プラズマエッチングまたは反応性イオンエッチングなどの減法的エッチング・プロセスによって誘電体材料のパターンを除去するためのマスクとして働く。エッチングされた開口部を用いて、誘電体層の中に配線パターンが定められる。次に、電気メッキ、無電解メッキ、化学的蒸着、物理的蒸着またはそれらの組み合わせなどの充填技法を用いて、配線パターンに金属を充填する。次に、平坦化として知られるプロセスを用いる化学機械研摩によって、過剰の金属を除去することができる。
一重ダマシン・プロセスでは、誘電体層の中にバイア開口部を設け、多くの場合メタル化と呼ばれるが、導電性金属を充填して配線レベルの層の間の電気的な接触を提供する。二重ダマシン・プロセスでは、誘電体層の中にバイア開口部と配線パターンとを両方設けてから、導電性金属を充填する。ダマシン・プロセス加工とそれに続くメタル化とは、集積回路デバイスが完成するまで各層毎に続けられる。
導電材料の原子が誘電体材料の中に、そしてときには誘電体材料を通り抜けて他の能動回路デバイス構造物の中に泳動しないよう、誘電体材料と導電材料との間に障壁層膜が必要である。例えば、導電材料を誘電体材料から分離するために、相互接続配線層中に用いられる導電材料などの導電材料とともに障壁層が用いられる。デバイス中の導電材料の泳動(マイグレーション)によって、誘電体材料を通してレベル間またはレベル内の短絡が引き起こされることがある。場合によっては、デバイス機能が破壊されてしまうことがある。
銅は半導体構造物中に用いられる誘電体材料の中で比較的高い移動度を示すので、導電性の相互配線材料として銅が用いられるとき、マイグレーションは特別な問題になる。それでも、この問題にもかかわらず、銅は、その優れた導電性および良好なエレクトロマイグレーション抵抗性のため、相互配線用に好ましい材料である。その結果、相互配線構造物の中に銅を用いるなら、参照によって本明細書に開示が組み込まれる特許文献1に開示されているように、銅を障壁層で閉じ込める必要がある。
銅の相互配線構造物とともに従来用いられている障壁層は、タンタルおよび窒化タンタルである。しかし、これらの障壁材料は銅より反応性が高いので、界面酸化物を形成し、その結果、堆積された銅と障壁材料との間の接着強さが不足することがある。この汚染性の酸化物が存在するため、これらの従来の障壁材料では、通常、銅酸性浴中での標準的な銅電着の前に銅シード層を堆積する必要がある。一般に、銅の電着は、導電性の層に銅を塗布する場合にしか適さない。そのため、銅シード層は、導電性を有して銅の電着を可能にするという別の目的を提供する。
銅シード層は、通常、非共形蒸着プロセスによって堆積され、このプロセスはこれまでのところ十分機能していた。しかし、限界寸法がより小さく、例えば約45nmより小さくなると、シード層は、ダマシン開口部を閉塞してボイドを発生させるか、あるいはダマシン開口部の壁を完全に被覆しないことがある。
従って、銅シード層を必要とする窒化タンタルとタンタルの通常の金属スタックの代わりに、窒化タンタルなどの障壁層とともにルテニウム、白金、パラジウム、ロジウムおよびイリジウムをライナとして利用する新しい配線方式が提案されている。
他発明者らは、半導体構造物中でのルテニウム(および白金、パラジウム、ロジウムおよびイリジウムなどの類似金属)の使用を提案している。参照によって本明細書に開示が組み込まれるレーン(Lane)らの特許文献2には二重障壁構造物が開示されている。この構造物では、第一の層、例えば窒化タンタルまたは窒化タングステンが誘電体層と接触する一方、第二の層、例えばルテニウム、ロジウムまたはパラジウムが銅層と接触している。銅シード層を必要とせずに、銅を第二の層に直接電気メッキできると宣伝している。
しかし、ルテニウム(および白金、パラジウム、ロジウムおよびイリジウムなどの類似金属)自体に銅を直接電気めっきすることはできるが、ルテニウム(および白金、パラジウム、ロジウムおよびイリジウムなどの類似金属)の堆積が不適切な場合、あるいは有害な酸化物を除去するための前処理をしていない場合、銅の品質が不十分なことがある。
従って、ルテニウム、白金、パラジウム、ロジウムまたはイリジウムの層(以後「メッキ可能層」)の上に銅を直接電着するためのプロセスを提供することが本発明の目的である。
メッキ可能層の上に銅を直接電着するためのプロセスであり、電着された銅が良好な品質であり、金属層にしっかりと接着されているプロセスを提供することが本発明の別の目的である。
銅シード層を必要とせずにメッキ可能層の上に銅を直接電着するためのプロセスを提供することが本発明のさらに別の目的である。
本発明のこれらの目的およびその他の目的は、添付の図面とともに以下の説明を参照すれば、さらに明らかになる。
米国特許第6,709,562号 米国特許第6,787,912号 米国特許出願第2004/0069648号 米国特許出願第2005/0199502号
本発明の第一の態様によれば、半導体構造物に相互配線を形成するプロセスであって、
基板の上に誘電体層を形成する工程と、
誘電体層の上に第一の障壁層を形成する工程と、
第一の障壁層の上に第二の障壁層を形成する工程であって、第二の障壁層は、ルテニウム、白金、パラジウム、ロジウムおよびイリジウムからなる群から選ばれ、第二の障壁層の形成は、第二の障壁層の中の酸素のバルク濃度が20原子パーセントまたはそれ未満となるように操作される工程と、
第二の障壁層の上に導電層を直接形成する工程と、
を含むプロセスが開示される。
本発明の第二の態様によれば、半導体構造物に相互配線を形成するプロセスであって、
基板の上に誘電体層を形成する工程と、
誘電体層の上に第一の障壁層を形成する工程と、
第一の障壁層の上に第二の障壁層を形成する工程であって、第二の障壁層は、ルテニウム、白金、パラジウム、ロジウムおよびイリジウムからなる群から選ばれ、第二の障壁層の形成は、第二の障壁層の中の酸素のバルク濃度が20原子パーセントまたはそれ未満となるように操作される工程と、
第二の障壁層を処理して第二の障壁層の表面上の酸化物の量を減少させる工程と、
第二の障壁層の上に導電層を直接形成する工程と、
を含むプロセスが開示される。
本発明の第三の態様によれば、
半導体構造物に相互配線を形成するプロセスであって、
基板の上に誘電体層を形成する工程と、
誘電体層の上に第一の障壁層を形成する工程と、
化学的蒸着法(CVD)および原子層堆積法(ALD即ちAtomic Layer Deposition)のうちの一つによって第一の障壁層の上に第二の障壁層を形成する工程であって、第二の障壁層は、ルテニウム、白金、パラジウム、ロジウムおよびイリジウムからなる群から選ばれ、第二の障壁層の形成は、第二の障壁層の中の酸素のバルク濃度が、次の工程で形成される導電層の外観が明るく、光沢を有するレベルに低下するように操作される工程と、
第二の障壁層の上に導電層を直接形成する工程であって、第二の障壁層の中の酸素のバルク濃度の上記のように低下したレベルに起因して導電層は明るく光沢を有する工程と、
を含むプロセスが開示される。
新規と考えられる本発明の特徴および本発明に特徴的な要素は、請求項に特に示される。図面は例示のためのものでしかなく、実際の比率に忠実に描かれたものではない。しかし、本発明自体は、以下の詳細な説明を参照し、添付の図面とともに解釈することによって、構成および動作の方法の両方について最も良く理解される。
図面をさらに詳しく参照すると、特に図1を参照すると、通常の半導体構造物10の一つの配線レベルが示されている。分りやすくするために、この一つの配線レベルより下または上の半導体構造物の部分は、まったく示していない。図1に示される配線レベルより上または下には、通常、複数の同じような配線レベルがあると理解すべきである。この配線レベルは、誘電体層14、二重ダマシン開口部12を含み、例えば、窒化タンタル16および次にタンタル18を含む二重ライナ層が二重ダマシン開口部12にライニングされている。窒化タンタル16の代わりに利用することができると考えられる他の材料は、例えば、窒化チタンおよび窒化タングステンであり、タンタル18の代わりに利用することができると考えられる他の材料は、例えば、チタンおよびタングステンである。タンタル層18の上に銅シード層20を堆積する。銅シード層20は、二重ダマシン開口部12の開口部24でより厚くなる傾向がある。図1には示していないが、銅シード層20の上に銅または他の導電材料を電着して二重ダマシン開口部12を充填する。銅シード層20が開口部24で厚くなると、二重ダマシン開口部12の寸法が小さくなったとき、開口部24を閉塞し、それによって、続いて二重ダマシン開口部12の中に堆積される銅の中に空洞即ちボイドを発生させて、問題が生じる。また、二重ダマシン開口部12の側壁の上に銅シード層20が、あまり堆積されないか、またはまったく堆積しないことさえある。
誘電体層14は、酸化物、例えば二酸化ケイ素、窒化物、窒化ケイ素またはオキシ窒化物層など、半導体製造工業で用いられる任意の適当な誘電体層であればよい。ダウケミカル(Dow Chemical)のSiLK(登録商標)、ノベラス(Novellus)のコーラル(Coral)(登録商標)、アプライド・マテリアルズ(Applied Materials)のブラック・ダイヤモンド(Black Diamond)(登録商標)などの低k誘電体材料およびスピン・オン型シリコン系誘電体を用いることができる。誘電体層14は、化学的蒸着法およびスピン・オン技法を含むさまざまな方法の任意のものによって形成することができる。
次に、図2を参照すると、本発明のプロセスを利用して図2に示される半導体構造物110が製造され、誘電体層14中に二重ダマシン開口部12、続いて障壁層、例えば窒化タンタル16が作られる。その後、窒化タンタル層16の上にメッキ可能層26を直接堆積する。このメッキ可能層26は、ルテニウム、白金、パラジウム、ロジウムおよびイリジウムからなる群から選ばれる、メッキ可能層26は、二重ダマシン開口部12への開口部24を図1に例示される従来技術の銅シード層ほど閉塞しない点に注目すべきである。この結果をもたらす理由はいくつかある。第一に、図1に示されるタンタル層18は不必要なので、堆積される層が一つ少ない。第二に、メッキ可能層26は銅より重いので、銅よりも共形に堆積する。第三に、これらの金属のあるものでは、ルテニウムの原子層堆積(ALD)など、ALD堆積プロセスが利用可能である。ALDプロセスは、化学的蒸着法(CVD)、物理的蒸着法(PVD)およびALDプロセスの中で最も共形のプロセスである。本発明者は、ルテニウムで最も経験を積んだ結果、特に、ルテニウムはALDによって堆積することができるので、メッキ可能層26として好ましい材料であると考えている。続いて、メッキ可能層26の上と、二重ダマシン開口部12の中とに銅28を直接電着し、好ましくは続いて化学機械研摩などの平坦化プロセスを行い、図3に示される半導体構造物110を得る。
メッキ可能層26は、物理的蒸着法(PVD)、イオン化物理的蒸着法(IPVD)、原子層堆積法(ALD)、プラズマ促進原子層堆積法(PEALD)または化学的蒸着法(CVD)を含むが、それらに限定されない任意の手段によって堆積することができる。メッキ可能層26の厚さは、3から40ナノメートル(nm)の範囲であることが望ましい。
本発明者らは、メッキ可能層26のバルク中の酸素の量、ならびにメッキ可能層26の表面の任意の酸化物を制御することが非常に望ましいことを発見した。メッキ可能層26のバルク中の酸素を制御すると、メッキ可能層26の比抵抗が低下し、それによって、ウエハのより一様なメッキが可能になると考えられる。電気メッキのためのウエハとの電気的コンタクトは、ウエハの外側のへりで行われるから、金属層の比抵抗が増大するにつれて、電気メッキされる銅の品質は、ウエハの中心がコンタクトから最も遠いため、ウエハの中心から低下し始める。低い比抵抗(高い導電率)の場合、電気メッキされた銅は明るく、光沢あるはずであり、これが理想である。比抵抗が増加する(導電率が低下する)につれて、電気メッキされた銅は、ウエハのへりから離れたところで曇り始め、ウエハの中央では暗くなり、非常に低いめっき品質を示す。
本発明者らは、堆積の方法がメッキ可能層26のバルク中の酸素の量に影響を及ぼすことを見いだした。バルク中に酸素を少ししか発生させない方法ほど、バルク中の酸素の望ましいレベルを実現するためのプロセス・パラメータの操作を少ししか必要とせず、一方、バルク中に酸素を多く発生させる方法ほど、バルク中の酸素の望ましいレベルを実現するためのプロセス・パラメータの操作を多く必要とする。従って、PVDによる堆積ではバルク中の酸素は最も少なくなり、一方、ALDによる堆積ではバルク中の酸素は最も多くなる。CVD堆積されたメッキ可能層26のバルク中の酸素含有量は、PVD法とALD法との中間である。イオン化PVD(IPVD)は、非常に低い酸素不純物を示すPVDプロセスと同様である。プラズマ促進ALD(PEALD)プロセスでは、酸素の代わりに反応ガスとして窒素、アンモニアまたは窒素とアンモニアとの混合物を用いる。従って、その酸素不純物はALDプロセスより低いが、真空環境中で実行されるPVDおよびIPVDプロセスより高いと示唆することは妥当である。メッキ可能層26の堆積の場合、メッキ可能層26のバルク酸素含有量を制御するために、酸素を制御しなければならない。バルク酸素含有量とは、メッキ可能層26の表面の約10オングストローム下で測定される酸素含有量と定められる。実施例を見ると分るように、バルクの酸素含有量が約20原子パーセント未満のとき最も良い結果が得られる。20原子パーセント酸素含有量は近似値であり、プロセス条件に依存して変わり得ると理解すべきである。最も好ましいバルク酸素含有量は約20原子パーセントまたはそれ未満とする必要がある。例えば、バルク酸素含有量が50パーセント代後半の原子パーセント範囲では、このバルク酸素含量を有する試料には十分にメッキされないことが判った。
金属層の表面酸化物も、めっき品質に影響を及ぼすが、その様子は異なる。金属層の表面がひどく酸化されている劣悪な場合には、電気メッキされた銅は接着強さが低く、微粒子状または埃っぽい外観を有する。表面が清浄なほど、電気メッキされた銅は、明るさ、光沢を増す。従って、本発明によれば、メッキ可能層26を前処理して銅の電気メッキに適するように調整することが望ましい。メッキ可能層表面の酸化は、二つの原因によって起こる。一つはメッキ可能層堆積プロセスに由来し、堆積プロセスは堆積方法に依存する。PVDまたはIPVDの場合、プロセスの間に酸素暴露がまったくないので、酸素汚染はほとんどゼロである。しかし、いくつかのALDまたはCVDプロセスの場合、堆積の間に酸素含有ガスが通過しているので、堆積が終了したとき膜の中および膜表面に酸素が取り込まれている。他方は、空気または酸素を含む雰囲気中でのメッキ可能層の自然酸化である。この表面酸素含量は、メッキ可能層の経時とともに増加する。例えば、当初は酸素のないPVDルテニウム膜の場合でも、附着チャンバを出た後の空気中での表面酸化は、その上にメッキされた銅が曇って暗くなり、下層との接着が弱くなる程度まで進行する。
可能な前処理の方法はいくつかある。一つの前処理方法では、メッキ可能層26を有するウエハを50〜500℃の範囲の高温でフォーミング・ガス(2〜10%Hと98〜90%Nの範囲の水素と窒素との混合物)、水素プラズマまたは他の還元性ガス(水素とアルゴンなどの他の不活性ガスとの任意の混合物)で処理してメッキ可能層26の酸化物をその元素金属形に還元することができる。あるいは、Cl、BrまたはIなどのハロゲン化物イオン溶液、またはCl、BrまたはIなどのハロゲン・ガスを利用してメッキ可能層26の表面を調製することができる。ハロゲン化物イオン溶液の一例として、10%HClなどの希釈HCl溶液中にメッキ可能層を1分間浸漬して表面酸化物をいくらか溶解させ、その後良好な銅メッキを実現することができる。ハロゲン・ガスの一例として、メッキ可能層をClガスとともにチャンバの内部に30分間配置し、それによって表面酸化物を金属へ還元することができる。ハロゲン化物イオンまたはガスによるこれらの方法の弱点は、反応が元素金属(例えばルテニウム)で停まらないことである。これらのプロセスは、ハロゲン化物イオンおよびガスによる元素金属(例えばルテニウム)の過剰なエッチングを防ぐために良好なタイミングを必要とする。
さまざまなPVD、IPVD、CVD、ALDおよびPEALDプロセスで用いられる酸素のさまざまな量に依存するが、一般に、CVD、ALDまたはPEALDによってメッキ可能層26を形成する場合には、通常、前処理が必要であるが、PVDおよびIPVDによってメッキ可能層26を形成する場合には、前処理はあまり必要でない。メッキ可能層26を有するウエハに、銅を電気メッキする迄の期間があまり長いと(例えば1週間よりも長いと)、堆積方法が何であろうと、前処理が必要になることもある。
ALDプロセスはバルク中ならびに表面上の酸素の量を制限するために最も多く制御を必要とするが、一方、ALDプロセスは最も均質な金属層を生じるので、最も望ましく、最も好ましい。逆に、PVDプロセスは、最も低い量のバルクおよび表面の酸素が得られるが、一方、得られる金属層は最も共形性が劣るので、最も望ましくない堆積方法である。以下に実施例によってさらに例示されるように、本発明の教示によれば、ALDプロセスは、非常によく管理することができる。その結果、ALDプロセスを効果的に用いて、銅を直接メッキして明るい光沢のある銅の金属層を得ることができるプレート可能層26を堆積することができる。
これまでのところ、PVD、IPVD、CVD、ALDまたはPEALDプロセスによるルテニウム堆積するいくつかの方法がある。Pt、Pd、RhおよびIrを含む他の白金族金属の析出方法はあまり広く研究されていないが、通常、これらはPVDおよびIPVDプロセスによって堆積することができる。
本発明はいかなる特定の種類の銅メッキ装置にも限定されず、例えば、カップまたはファウンテンあるいはその両方のメッキ装置(セミツール(Semitool)の「エキノックス(Equinox)」およびノベラスの「セイバー(Sabre)」など)、薄型電解槽めっき装置(AMATの「スリム・セル(Slim cell)」および荏原製作所のEREXなど)およびパドル・セル(IBM))を使用することができる。
代表的に、電流密度は、約0.1mA/cmから約100mA/cm、より好ましくは約3mA/cmから約60mA/cmの範囲にあると予測することができる。電圧は、ツール構成に依存する。本発明の範囲を限定するものではないが、使用される電圧は、約0から約20ボルト、または0から約10ボルトなど、一般に約0から約50ボルトの範囲にある。
メッキ浴の溶液化学組成は、参照によって開示内容が本明細書に組み込まれる特許文献3および特許文献4に開示されているすべてのメッキ浴材料を含むが、それらに限定されない。例えば、メッキ浴は銅塩を含み、オプションとして鉱酸を含み、オプションとして、無機ハロゲン化物、水溶性付与基を有する有機硫黄化合物、浴可溶性含酸素化合物、浴可溶性ポリエーテル化合物または少なくとも一つの硫黄原子を含んでいてもよい浴可溶性有機窒素化合物からなる群から選ばれる一つまたはそれ以上の添加物を含んでもよい。
本発明の目的は、以下の実施例を参照することによってより明らかになる。
一連のルテニウム試料は、PVD、CVDおよびALD堆積法を用いて作られた。PVD試料は、高真空チャンバ内で高純度Ruターゲットに正電荷を有するアルゴンイオンで衝撃を与えることによって作られた。堆積がなされるウエハは負に荷電され、チャンバの中に置かれたウエハの上に薄い固体Ru膜を堆積した。CVD試料は、反応剤ガスを用いて、または用いずに、ルテニウム金属有機前駆体(トリルテニウムドデカカルボニルなど)の熱分解によって、高温でウエハ上に作られた。ALD試料は、基板をRu含有原料化学薬品(ビス(2,4‐ジメチルペンタジエニル)ルテニウム、すなわちDMRuなど)と反応剤ガス(酸素、アンモニアなど)とに交互に暴露するサイクルを所定回数だけ繰返すことにより作られた。ALDによるRu形成の各サイクルは、以下の4つの工程、すなわち基板を前駆体に1秒から4秒間暴露し、ALD反応器を1〜2秒間排気した後、反応剤ガスを5または10sccmの流速で1秒から4秒間導入し、その後ALD反応器を排気するシーケンスからなる。ALDによるRu形成の第一のサイクルが完了したらすぐにALDによるRu形成の次のサイクルを開始させ、ALDによるRu形成のすべてのサイクルが完了するまで続けた。
ルテニウム表面酸化物およびバルク酸素は、XPS(X線光電子分光法)で測定した。XPSによって、受け取った試料の表面の表面酸化物を測定し、一方、XPSチャンバ内で試料を若干スパッタ・エッチングした(約50オングストローム)後、バルク酸素を測定した。
次に、これらの試料に以下のように銅を電気メッキし、被メッキ性能を定性的に評価した。薄いルテニウム・ウエハへの銅の直接メッキは、荏原製作所からのEREXツールで実行した。詳細なツール構成および設計は、前記の特許文献5および特許文献4に記載されている。本発明者らの研究における銅メッキの化学薬品は、硫酸銅、硫酸、塩酸塩およびシプリー(Shipley)のC‐2001、B‐2001およびL‐2001添加物で構成される。電流波形は、5秒間の電流零の浸漬時間、銅のエッジ・リングを堆積する3秒間の制御電位、10〜25秒間の1.6mA/cmから6mA/cmに線形に上昇する電流、30秒間6mA/cmの定電流堆積、10秒間10mA/cmの定電流密度堆積、35秒間20mA/cmの定電流密度堆積、および25秒間30mA/cmの定電流堆積で構成された。
結果を下の表Iに列挙する。
Figure 2007194624
試料例1
200mm酸化シリコン・ウエハにALD法TaNおよびALD法Ruを5sccmの酸素流量で堆積した。ルテニウム膜の厚さは約10nmであった。このルテニウム膜の表面の上に直接堆積した銅は明るく、光沢があった。次に、同じALD条件で作られた別のルテニウム・ウエハの酸素含量を、XPSによって膜の表面とバルクとの両方で分析した。表面酸素は31%(原子)であり、バルク酸素含有量は5%(原子)であった。

試料例2
200mm酸化シリコン・ウエハにALD法TaNおよびALD法Ruを10sccmの酸素流量で堆積した。ルテニウム膜の厚さは約10nmであった。このルテニウム膜の表面に直接メッキされた銅の外観は暗く、粉末状であった。次に、メッキされた銅を10%HCl溶液中で溶解し、その結果、清浄なルテニウム表面を再び得た。このルテニウム・ウエハの上に銅めっきを再び行ったが、同じ暗い微粒子状のメッキ銅が得られた。次に、XPSによって、同じALD条件で作られた別のルテニウム・ウエハの酸素含有量を膜の表面とバルクとの両方で分析した。表面酸素は67%(原子)であり、バルク酸素含有量は59%(原子)であった。
試料例3
200mm酸化シリコン・ウエハにALD法TaNおよびALD法Ruを堆積した。ルテニウム膜の厚さは約10nmであった。次に、ルテニウム・ウエハに銅をメッキした。メッキされた銅は、中心部の少々の曇りを除いて大部分が明るく、光沢があった。次に、メッキされた銅を10%HCl溶液中で溶解し、その結果、清浄なルテニウム表面を再び得た。このルテニウム・ウエハの上に銅めっきを再び実行した。メッキされた銅は明るく、光沢がある結果となった。次に、同じALD条件で作られた別のルテニウム・ウエハの酸素含有量を、XPSによって膜の表面とバルクとの両方で分析した。表面酸素は42%(原子)であり、バルク酸素含有量は12%(原子)であった。
試料例4
200mm酸化シリコン・ウエハにALD法TaNおよびALD法Ruを堆積した。ルテニウム膜の厚さは約10nmであった。次に、ルテニウム・ウエハに銅をメッキした。暗い粒子状の銅だけがメッキされた。次に、メッキされた銅を10%HCl溶液中で溶解し、その結果、清浄なルテニウム表面を再び得た。このルテニウム・ウエハの上に再び銅めっきを実行した。メッキされた銅は明るく、光沢がある結果となった。次に、同じALD条件で作られた別のルテニウム・ウエハの酸素含有量を、XPSによって膜の表面とバルクとの両方で分析した。表面酸素は57%(原子)であり、バルク酸素含有量は18%(原子)であった。
試料例5、6および7
300mm酸化シリコン・ウエハに供給業者にALD法TaNおよびALD法Ruを堆積するよう依頼した。ルテニウム膜の厚さは約10nmであった。受け入れ状態のまま、ルテニウム・ウエハのうち3枚に銅をメッキした。ウエハの中央に曇ったCuがメッキされた。別の3枚のルテニウム・ウエハをフォーミング・ガス中で30分間アニールして(FGA)からCuをメッキした。メッキされた銅は明るく、光沢があった。受け入れ状態のままの3枚のALD法RuウエハのXPS分析によると、表面酸素はそれぞれ45.16%、39.38%および46.44%(原子)であった。
試料例8
300mm酸化シリコン・ウエハにCVD法Ruを堆積した。ルテニウム膜の厚さは、約8nmであった。受け入れ状態のまま、1枚のルテニウム・ウエハに銅をメッキした。ウエハの中央に曇ったCuがメッキされた。別のルテニウム・ウエハを30分間フォーミング・ガス・アニールしてから、Cuをメッキした。メッキされた銅は明るく、光沢があった。受け入れ状態のままのCVD法Ruウエハの1枚のXPS分析によると、表面酸素は17.85%(原子)であった。
試料例9および10
300mm酸化シリコン・ウエハにCVD法Ruを堆積した。ルテニウム膜の厚さは約8nmであった。受け入れ状態のままで、1枚のルテニウム・ウエハに銅をメッキした。メッキされたCuはウエハの中央で暗く、曇っていた。別のルテニウム・ウエハを30分間フォーミング・ガス・アニールしてから、Cuをメッキした。メッキされた銅は明るく、光沢があった。受け入れ状態のままのCVD法Ruウエハの1枚のXPS分析によると、表面酸素は54%(原子)であった。
試料例11および12
300mm酸化シリコン・ウエハにALD法Ruを堆積した。ルテニウム膜の厚さは約10nmであった。ウエハをすべて30分間フォーミング・ガス・アニールした。アニールされたウエハを常温常圧の大気中に静置した。48時間後、1枚のウエハにCuをメッキしたところ、明るく、光沢のあるCuであった。72時間後に別のウエハにメッキしたところ、ウエハの中央に曇りのあるCuがメッキされた。従って、ALD法Ruウエハのフォーミング・ガス・アニールとCuメッキとの間のより良好な待ち時間は、48時間またはそれ未満であると確信した。この時間範囲外では、ルテニウム・メッキされたウエハの熟成によってCuめっきの品質に影響が表れる。
試料例13、14および15
200mmのPVD法Ruウエハを酸化シリコン基板上に堆積した。ルテニウム膜の厚さは、約20nmであった。堆積直後のPVDルテニウムの表面の酸素含有量は、非常に低い(0.13原子パーセント)。ルテニウム表面になんら前処理することなく、このウエハにCuをメッキした。Cuは明るく、光沢があった。次に、これらのルテニウム・ウエハを常温常圧大気中に約6ヶ月間放置して、熟成PVD法Ruウエハを準備した。1枚の熟成PVD法Ruウエハに前処理せずにCuをメッキした。Cuは暗く、曇っていた。別の熟成PVD法Ruウエハを30分間フォーミング・ガス・アニールして、明るく、光沢のあるCuをメッキした。薄いPVD法Ru膜(厚さ約4nmのRu)ははるかに速く熟成することも観測した。常温常圧大気中に1週間放置された後、メッキされたCuは、これらの薄いPVD法Ruウエハの中心に曇りを示した。従って、メッキの前に常にPVD法RuにFGAを施して、熟成の影響を払拭してしまうのが良い方法である。
本研究の技術思想から逸脱することなく、本明細書で詳しく説明した実施態様以外にも本発明の他の変更形を実施し得ることは、本開示に関心を有する当業者には自明である。従って、そのような変更形は、請求項によってのみ限定される本発明の範囲に属するとみなす。
銅シード層を有する従来技術の半導体構造物の断面図である。 本発明による銅を電着する前の半導体構造物の断面図である。 図2の半導体構造物に銅を電着し、半導体構造物を平坦化した後の断面図である。

Claims (22)

  1. 半導体構造物中に相互配線を形成するプロセスであって、
    基板の上に誘電体層を形成する工程と、
    前記誘電体層の上に第一の障壁層を形成する工程と、
    前記第一の障壁層の上に第二の障壁層を形成する工程であって、前記第二の障壁層は、ルテニウム、白金、パラジウム、ロジウムおよびイリジウムからなる群から選ばれ、前記第二の障壁層中の酸素のバルク濃度が20原子パーセントまたはそれ未満である工程と、
    前記第二の障壁層の上に導電層を直接形成する工程と、
    を含むプロセス。
  2. 前記導電層は銅または銅合金である、請求項1に記載のプロセス。
  3. 前記第二の障壁層はルテニウムである、請求項1に記載のプロセス。
  4. 前記第一の障壁層は、窒化タンタル、チタンおよび窒化チタンからなる群から選ばれる、請求項1に記載のプロセス。
  5. 半導体構造物中に相互配線を形成するプロセスであって、
    基板の上に誘電体層を形成する工程と、
    前記誘電体層の上に第一の障壁層を形成する工程と、
    前記第一の障壁層の上に第二の障壁層を形成する工程であって、前記第二の障壁層は、ルテニウム、白金、パラジウム、ロジウムおよびイリジウムからなる群から選ばれ、前記第二の障壁層中の酸素のバルク濃度が20原子パーセントまたはそれ未満である工程と、
    前記第二の障壁層を処理して前記第二の障壁層の表面の上の酸化物の量を減少させる工程と、
    前記第二の障壁層の上に導電層を直接形成する工程と、
    を含むプロセス。
  6. 前記導電層は銅または銅合金である、請求項5に記載のプロセス。
  7. 前記酸化物の量を減少させる工程は、前記第二の障壁層の上の前記酸化物を減少させるかまたは除去する物質に前記第二の障壁層の前記表面を曝露することを含む、請求項5に記載のプロセス。
  8. 前記物質は、還元性ガス、ハロゲン化物イオン溶液またはハロゲン・ガスからなる群から選ばれる、請求項7に記載のプロセス。
  9. 前記還元性ガスは、フォーミング・ガスおよび水素プラズマからなる群から選ばれる、請求項8に記載のプロセス。
  10. 前記ハロゲン化物イオン溶液はCl、BrまたはIを含む、請求項8に記載のプロセス。
  11. 前記ハロゲン・ガスはCl、BrまたはIである、請求項8に記載のプロセス。
  12. 前記第二の障壁層はルテニウムである、請求項5に記載のプロセス。
  13. 半導体構造物中に相互配線を形成するプロセスであって、
    基板の上に誘電体層を形成する工程と、
    前記誘電体層の上に第一の障壁層を形成する工程と、
    化学的蒸着(CVD)法又は原子層堆積(ALD)法によって前記第一の障壁層の上に第二の障壁層を形成する工程であって、前記第二の障壁層は、ルテニウム、白金、パラジウム、ロジウムおよびイリジウムからなる群から選ばれ、前記第二の障壁層中の酸素のバルク濃度が、続いて形成される導電層の外観を明るく光沢性にする値である工程と、
    前記第二の障壁層の上に導電層を直接形成する工程であって、前記導電層の外観は、前記第二の障壁層中の酸素のバルク濃度の値によって、明るく光沢性である工程と、
    を含むプロセス。
  14. 前記第二の障壁層中の酸素の前記バルク濃度は、20原子パーセントまたはそれ未満である、請求項13に記載のプロセス。
  15. 前記導電層は銅または銅合金である、請求項13に記載のプロセス。
  16. 導電層を直接形成する工程の前に、前記第二の障壁層を処理して前記第二の障壁層の表面の上の酸化物の量を減少させる工程をさらに含む、請求項13に記載のプロセス。
  17. 前記酸化物の量を減少させる工程は、前記第二の障壁層の上の前記酸化物を減少させるかまたは除去する物質に前記第二の障壁層の前記表面を曝露することを含む、請求項16に記載のプロセス。
  18. 前記物質は、還元性ガス、ハロゲン化物イオン溶液またはハロゲン・ガスからなる群から選ばれる、請求項17に記載のプロセス。
  19. 前記還元性ガスは、フォーミング・ガスおよび水素プラズマからなる群から選ばれる、請求項18に記載のプロセス。
  20. 前記ハロゲン化物イオン溶液はCl、BrまたはIを含む、請求項18に記載のプロセス。
  21. 前記ハロゲン・ガスはCl、BrまたはIである、請求項18に記載のプロセス。
  22. 前記第二の障壁層は、ルテニウムである、請求項13に記載のプロセス。
JP2006353015A 2006-01-17 2006-12-27 銅でないメッキ可能層の上への銅の直接電気メッキのための方法 Expired - Fee Related JP5203602B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/306,932 US7405153B2 (en) 2006-01-17 2006-01-17 Method for direct electroplating of copper onto a non-copper plateable layer
US11/306932 2006-01-17

Publications (2)

Publication Number Publication Date
JP2007194624A true JP2007194624A (ja) 2007-08-02
JP5203602B2 JP5203602B2 (ja) 2013-06-05

Family

ID=38263769

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006353015A Expired - Fee Related JP5203602B2 (ja) 2006-01-17 2006-12-27 銅でないメッキ可能層の上への銅の直接電気メッキのための方法

Country Status (4)

Country Link
US (1) US7405153B2 (ja)
JP (1) JP5203602B2 (ja)
CN (1) CN101016638A (ja)
TW (1) TW200741965A (ja)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7405153B2 (en) * 2006-01-17 2008-07-29 International Business Machines Corporation Method for direct electroplating of copper onto a non-copper plateable layer
JP2009147137A (ja) * 2007-12-14 2009-07-02 Toshiba Corp 半導体装置およびその製造方法
JP2009266999A (ja) * 2008-04-24 2009-11-12 Renesas Technology Corp 半導体装置、およびその製造方法
JP2011149097A (ja) * 2009-12-25 2011-08-04 Ebara Corp 金属膜形成方法および装置
WO2011114989A1 (ja) * 2010-03-17 2011-09-22 東京エレクトロン株式会社 薄膜の形成方法
US8399353B2 (en) 2011-01-27 2013-03-19 Tokyo Electron Limited Methods of forming copper wiring and copper film, and film forming system
JP5371783B2 (ja) * 2008-01-23 2013-12-18 Jx日鉱日石金属株式会社 バリア層上にルテニウム電気めっき層を有するulsi微細配線部材
JP2014140078A (ja) * 2008-08-13 2014-07-31 International Business Maschines Corporation 金属相互接続構造体及び金属相互接続構造体の形成方法
US8859422B2 (en) 2011-01-27 2014-10-14 Tokyo Electron Limited Method of forming copper wiring and method and system for forming copper film
KR20150129288A (ko) 2014-05-07 2015-11-19 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100924865B1 (ko) * 2007-12-27 2009-11-02 주식회사 동부하이텍 반도체 소자의 금속배선 형성방법
US8679970B2 (en) * 2008-05-21 2014-03-25 International Business Machines Corporation Structure and process for conductive contact integration
JP2010189693A (ja) 2009-02-17 2010-09-02 Tokyo Electron Ltd Cu膜の成膜方法および記憶媒体
DE102009015718B4 (de) 2009-03-31 2012-03-29 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Testsystem und Verfahren zum Verringern der Schäden in Saatschichten in Metallisierungssystemen von Halbleiterbauelementen
TWI459507B (zh) * 2009-06-18 2014-11-01 United Microelectronics Corp 一種製作矽貫通電極的方法
KR20140021628A (ko) * 2011-03-30 2014-02-20 도쿄엘렉트론가부시키가이샤 Cu 배선의 형성 방법
US20130307153A1 (en) 2012-05-18 2013-11-21 International Business Machines Corporation Interconnect with titanium-oxide diffusion barrier
US9704804B1 (en) 2015-12-18 2017-07-11 Texas Instruments Incorporated Oxidation resistant barrier metal process for semiconductor devices
US10049980B1 (en) 2017-02-10 2018-08-14 International Business Machines Corporation Low resistance seed enhancement spacers for voidless interconnect structures

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04243134A (ja) * 1991-01-18 1992-08-31 Sony Corp 銅系金属配線の形成方法
JP2003533880A (ja) * 2000-05-15 2003-11-11 エイエスエム マイクロケミストリ オーワイ 集積回路の製造方法
JP2005347510A (ja) * 2004-06-03 2005-12-15 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3951843A (en) * 1973-01-09 1976-04-20 Lfe Corporation Fluorocarbon composition for use in plasma removal of photoresist material from semiconductor devices
US6709562B1 (en) 1995-12-29 2004-03-23 International Business Machines Corporation Method of making electroplated interconnection structures on integrated circuit chips
KR100269326B1 (ko) 1998-06-08 2000-10-16 윤종용 전기 도금으로 형성된 전극을 갖춘 커패시터및 그 제조방법
US6417062B1 (en) 2000-05-01 2002-07-09 General Electric Company Method of forming ruthenium oxide films
US7074640B2 (en) 2000-06-06 2006-07-11 Simon Fraser University Method of making barrier layers
US6696363B2 (en) 2000-06-06 2004-02-24 Ekc Technology, Inc. Method of and apparatus for substrate pre-treatment
JP2002201162A (ja) 2000-06-08 2002-07-16 Jsr Corp ルテニウム膜および酸化ルテニウム膜、ならびにその形成方法
AU2001295936A1 (en) 2000-10-18 2002-04-29 Jsr Corporation Ruthenium film and ruthenium oxide film, and method for formation thereof
US6455414B1 (en) * 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
US6787912B2 (en) 2002-04-26 2004-09-07 International Business Machines Corporation Barrier material for copper structures
US6812143B2 (en) 2002-04-26 2004-11-02 International Business Machines Corporation Process of forming copper structures
US20040007473A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Electrolyte/organic additive separation in electroplating processes
US6974531B2 (en) 2002-10-15 2005-12-13 International Business Machines Corporation Method for electroplating on resistive substrates
US6974768B1 (en) * 2003-01-15 2005-12-13 Novellus Systems, Inc. Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
US7229911B2 (en) * 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US7405153B2 (en) * 2006-01-17 2008-07-29 International Business Machines Corporation Method for direct electroplating of copper onto a non-copper plateable layer

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04243134A (ja) * 1991-01-18 1992-08-31 Sony Corp 銅系金属配線の形成方法
JP2003533880A (ja) * 2000-05-15 2003-11-11 エイエスエム マイクロケミストリ オーワイ 集積回路の製造方法
JP2005347510A (ja) * 2004-06-03 2005-12-15 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7405153B2 (en) * 2006-01-17 2008-07-29 International Business Machines Corporation Method for direct electroplating of copper onto a non-copper plateable layer
JP2009147137A (ja) * 2007-12-14 2009-07-02 Toshiba Corp 半導体装置およびその製造方法
JP5371783B2 (ja) * 2008-01-23 2013-12-18 Jx日鉱日石金属株式会社 バリア層上にルテニウム電気めっき層を有するulsi微細配線部材
JP2009266999A (ja) * 2008-04-24 2009-11-12 Renesas Technology Corp 半導体装置、およびその製造方法
JP2014140078A (ja) * 2008-08-13 2014-07-31 International Business Maschines Corporation 金属相互接続構造体及び金属相互接続構造体の形成方法
JP2011149097A (ja) * 2009-12-25 2011-08-04 Ebara Corp 金属膜形成方法および装置
WO2011114989A1 (ja) * 2010-03-17 2011-09-22 東京エレクトロン株式会社 薄膜の形成方法
US8399353B2 (en) 2011-01-27 2013-03-19 Tokyo Electron Limited Methods of forming copper wiring and copper film, and film forming system
US8859422B2 (en) 2011-01-27 2014-10-14 Tokyo Electron Limited Method of forming copper wiring and method and system for forming copper film
KR20150129288A (ko) 2014-05-07 2015-11-19 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
US9540733B2 (en) 2014-05-07 2017-01-10 Tokyo Electron Limited Film forming method, film forming apparatus and recording medium

Also Published As

Publication number Publication date
JP5203602B2 (ja) 2013-06-05
TW200741965A (en) 2007-11-01
CN101016638A (zh) 2007-08-15
US20070166995A1 (en) 2007-07-19
US7405153B2 (en) 2008-07-29

Similar Documents

Publication Publication Date Title
JP5203602B2 (ja) 銅でないメッキ可能層の上への銅の直接電気メッキのための方法
US7135404B2 (en) Method for applying metal features onto barrier layers using electrochemical deposition
US7405143B2 (en) Method for fabricating a seed layer
US7405157B1 (en) Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US6740221B2 (en) Method of forming copper interconnects
US7694413B2 (en) Method of making a bottomless via
US20110259750A1 (en) Method of direct plating of copper on a ruthenium alloy
US8513124B1 (en) Copper electroplating process for uniform across wafer deposition and void free filling on semi-noble metal coated wafers
US20070125657A1 (en) Method of direct plating of copper on a substrate structure
US20030116439A1 (en) Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
US7799684B1 (en) Two step process for uniform across wafer deposition and void free filling on ruthenium coated wafers
US8703615B1 (en) Copper electroplating process for uniform across wafer deposition and void free filling on ruthenium coated wafers
JP2001023989A (ja) 化学気相堆積により堆積した銅の密着性を高める方法
WO2005123988A1 (en) Method of barrier layer surface treatment to enable direct copper plating on barrier metal
KR20150138087A (ko) 반응성 금속 필름 상에 금속을 전기화학적으로 증착시키기 위한 방법
US7442267B1 (en) Anneal of ruthenium seed layer to improve copper plating
WO2016096390A1 (en) Trench pattern wet chemical copper metal filling using a hard mask structure
KR20150138086A (ko) 반응성 금속 필름 상에 금속을 전기화학적으로 증착시키기 위한 방법
US7504335B2 (en) Grafted seed layer for electrochemical plating
US20230282485A1 (en) Electrolyte and Deposition of a Copper Barrier Layer in a Damascene Process
US20030146102A1 (en) Method for forming copper interconnects
JP3715975B2 (ja) 多層配線構造の製造方法
Kelly et al. Deposition of copper on ruthenium for Cu metallization
JP4937437B2 (ja) めっき浴から堆積される金属層の特性改善方法
WO2008134536A1 (en) Method for electrochemically depositing metal onto a microelectronic workpiece

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091026

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121010

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121016

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130110

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130129

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130214

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160222

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees