CN104037065A - 使用氧化物垫片减小节距 - Google Patents

使用氧化物垫片减小节距 Download PDF

Info

Publication number
CN104037065A
CN104037065A CN201410290445.8A CN201410290445A CN104037065A CN 104037065 A CN104037065 A CN 104037065A CN 201410290445 A CN201410290445 A CN 201410290445A CN 104037065 A CN104037065 A CN 104037065A
Authority
CN
China
Prior art keywords
oxide spacer
mask
sedimentary deposit
layer
deposition gases
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201410290445.8A
Other languages
English (en)
Inventor
金智洙
柯南·江
品川俊
S·M·列扎·萨贾迪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN104037065A publication Critical patent/CN104037065A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Abstract

提供一种用于蚀刻蚀刻层的方法,该蚀刻层位于衬底上方且在反射涂覆(ARC)层和具有掩模特征的图案化有机掩模下。将该衬底放在处理室中。打开该ARC层。形成氧化物垫片沉积层。部分除去该有机掩模上的该氧化物垫片沉积层,其中该氧化物垫片沉积层的至少该顶部部分被除去。通过蚀刻除去该有机掩模和该ARC层。穿过该氧化物垫片沉积层的该侧壁蚀刻该蚀刻层。从该处理室除去该衬底。

Description

使用氧化物垫片减小节距
本申请是申请号为200880115933.8、申请日为2008年11月7日、发明名称为“使用氧化物垫片减小节距”的发明专利申请的分案申请。
背景技术
本发明涉及半导体器件的形成。尤其是,本发明涉及使用氧化物垫片减小节距的半导体器件的形成。
半导体器件的封装密度大约每一年半增加两倍。很高的封装密度增加了生产力和器件速度,并减少了功率消耗。然而,随着封装密度的增加,成本增加和良率减少也成指数增加。因为成本和良率减少的指数增加的最大原因与光刻技术有关,所以人们寻求各种图案化掩模的替代方法来取代光刻技术。一种这样的技术是双掩模方案(double mask scheme),其图案化掩模层两次以制造一半的节距。然而,双掩模方法受覆盖(overlaying)精确度的限制。
通常,垫片光刻法提供牺牲层(sacrificial layer),然后将该牺牲层蚀刻为牺牲结构。然后使用保形化学气相沉积(CVD)在该牺牲结构上方和周围形成保形层。使用凹蚀(etchback)以蚀刻该保形层的水平层。然后除去该牺牲结构以形成该保形层的垫片或翅片(fin)结构。传统上,垫片的厚度可以是10nm或更小。为了提供期望的保形层,传统的CVD沉积可能要求高温CVD。这种高温可能对于半导体器件是有害的。高温会导致处理超出器件的热平衡(thermal budget)。另外,如果以前做过掺杂的话,高温会对掺杂区域有害。
另外,这种CVD工艺是相对于牺牲层和垫片受限的。通常,氧化硅牺牲层会提供氮化硅垫片。氮化硅牺牲层会提供氧化硅牺牲层。
发明内容
为了实现前述目的并相应于本发明的目的,提供一种用于蚀刻蚀刻层的方法,该蚀刻层位于衬底上方且在反射涂覆(ARC)层和具有掩模特征的图案化有机掩模下。将该衬底放在处理室中。穿过该图案化掩模的该掩模特征打开该ARC层。形成氧化物垫片沉积层,其中该氧化物垫片沉积层包括顶部部分、侧壁和底部部分,该顶部部分覆盖该有机掩模的顶部,该侧壁覆盖该有机掩模的侧壁,而该底部部分覆盖该掩模特征的底部。部分除去该有机掩模上的该氧化物垫片沉积层,其中该氧化物垫片沉积层的至少该顶部部分被除去。通过蚀刻除去该有机掩模和该ARC层。穿过该氧化物垫片沉积层的该侧壁蚀刻该蚀刻层。从该处理室除去该衬底。
在本发明的另一种表现形式中,提供一种用于蚀刻蚀刻层的由计算机执行的方法,该蚀刻层位于衬底上方且在防反射涂覆(ARC)层和具有掩模特征的图案化有机掩模下。将该衬底放在处理室中。穿过该图案化掩模的该掩模特征打开该ARC层。形成氧化物垫片沉积层,其中该氧化物垫片沉积层包括顶部部分、侧壁和底部部分,该顶部部分覆盖该有机掩模的顶部,该侧壁覆盖该有机掩模的侧壁,而该底部部分覆盖该掩模特征的底部。该氧化物垫片沉积层的形成包含一(1)到二十(20)个循环,每个循环包含包括提供含Si的沉积气体的气流、从该沉积气体形成等离子体和停止该沉积气体的气流的沉积阶段以及包括提供包含O2或N2的至少一种的加工气体的气流、从该加工气体形成等离子体和停止该加工气体的气流的加工阶段。通过蚀刻部分除去该有机掩模上的该氧化物垫片沉积层,其中该氧化物垫片沉积层的至少该顶部部分被除去。通过蚀刻除去该有机掩模和该ARC层。穿过该氧化物垫片沉积层的该侧壁蚀刻该蚀刻层。从该处理室除去该衬底。
在本发明的另一种表现形式中,提供一种用于蚀刻蚀刻层的装置,该蚀刻层在衬底上方且在防反射涂覆(ARC)层和具有掩模特征的图案化有机掩模下。提供等离子体处理室,其包含形成等离子体处理室外壳的室壁,用于在该等离子体处理室外壳内支撑基板的基板支座,用于调节该等离子体处理室外壳内的压强的压强调节器,用于向该等离子体处理室外壳提供电力以维持等离子体的至少一个电极,用于向该等离子体处理室外壳内提供气体的进气口,以及用于从该等离子体处理室外壳中排出气体的排气口。气体源与该进气口流体连通,并包含ARC打开气体源、含Si的沉积气体源、含O2或N2的加工气体源、氧化物垫片除去气体源、有机掩模和ARC层除去气体源和蚀刻气体源。控制器可控地连接于该气体源和该至少一个电极,并包含至少一个处理器和计算机可读介质。该计算机可读介质包含用于穿过该图案化掩模的该掩模特征打开该ARC层的计算机可读代码,用于形成氧化物垫片沉积层的计算机可读代码,该氧化物垫片沉积层包括顶部部分、侧壁和底部部分,该顶部部分覆盖该有机掩模的顶部,该侧壁覆盖该有机掩模的侧壁,而该底部部分覆盖该掩模特征的底部,其包含一(1)到二十(20)个循环,其中每个循环包含包括用于提供含Si的沉积气体的气流的计算机可读代码、用于从该沉积气体形成等离子体的计算机可读代码和用于停止该沉积气体的气流的计算机可读代码的用于提供沉积阶段的计算机可读代码,包括用于提供含O2或N2的至少一种的加工气体的气流的计算机可读代码、用于从该加工气体形成等离子体的计算机可读代码和用于停止该加工气体的气流的计算机可读代码的用于提供加工阶段的计算机可读代码,用于通过蚀刻部分除去该有机掩模上的该氧化物垫片沉积层的计算机可读代码,该氧化物垫片沉积层的至少该顶部部分被除去,用于通过蚀刻除去该有机掩模和该ARC层的计算机可读代码以及用于穿过该氧化物垫片沉积层的该侧壁蚀刻该蚀刻层的计算机可读代码。
下面在发明的详细说明中,结合以下各图,对本发明的这些和其它特征进行更加详细的描述。
附图说明
本发明是以附图中各图中的实施例的方式进行描绘的,而不是通过限制的方式,其中类似的参考标号指示类似的元件,其中:
图1是本发明的一个实施方式中可使用的处理的高水平流程图。
图2A-F是根据本发明的一个实施方式处理过的堆栈(stack)的横截面示意图。
图3是形成氧化物垫片沉积层的更详细的流程图。
图4是可被用于实现本发明的一种等离子体处理室的示意图。
图5A-B描绘了一个计算机系统,其适于实现用于本发明的实施方式的控制器。
图6是氧化物垫片沉积阶段的更详细的流程图。
图7是氧化物垫片加工阶段的更详细的流程图。
具体实施方式
现在参考附图中描绘的一些优选实施方式,对本发明进行详细描述。在下面的描述中,阐明了许多具体细节以提供对本发明的彻底理解。然而,显然,对于本领域的技术人员来说,本发明没有这些具体细节中的一些或全部仍然可以实施。在其它情况下,没有对已知的工艺步骤和/或结构进行详细描述,以免不必要地模糊本发明。
为了便于理解,图1是在本发明的一个实施方式中可以使用的处理的高水平流程图,其蚀刻蚀刻层,该蚀刻层位于衬底上方并在防反射涂覆(ARC)层和具有掩模特征的图案化的有机掩模下方。将衬底放置于处理室中(步骤102)。图2A是依照本发明的一个实施方式,在衬底202上提供的各层的堆栈200的横截面示意图。如图2A所示蚀刻层204位于衬底202上方并在ARC层206和图案化的有机掩模208下方。在此实施例中,衬底202可以是硅晶片。图案化的有机掩模208具有掩模特征210。有机掩模208可以是光阻(PE)或无定形碳掩模。无定形碳类似于聚合物,但是具有更少的氢和更多的碳,因为它是由CVD在超过200℃的高温下沉积的,且因此它比聚合物更耐蚀刻。ARC层206可包括底部防反射涂覆(BARC)和/或介电防反射涂覆(DARC)层。蚀刻层204可以是电介质层,比如氮化物或氧化物,例如氮化硅(SiN)或二氧化硅(SiO2)。该蚀刻层也可以是多晶硅、无定形碳或其它掩模。
再参考图1,穿过图案化掩模208的掩模特征210打开ARC层206(步骤104)。该ARC打开(步骤104)可以使用任何传统的蚀刻剂气体以打开ARC层206。图2B是打开ARC层206后堆栈200的横截面示意图。然后,形成氧化物垫片沉积层(步骤106)。图2C是在衬底202上形成氧化物垫片沉积层212后堆栈200的横截面示意图。如图2C所示,氧化物垫片沉积层212包括顶部部分214、侧壁216和底部部分218。顶部214覆盖。顶部部分214覆盖有机掩模208的顶部。氧化物垫片沉积层212的侧壁216覆盖有机掩模208的相应侧壁。氧化物垫片沉积层212的底部部分218覆盖掩模特征的对应底部。
通过蚀刻部分除去有机掩模208上的氧化物垫片沉积层212(步骤108)。在步骤108中,氧化物垫片沉积层212的至少顶部部分214被除去从而有机掩模的顶部220暴露出来。图2D是氧化物垫片沉积层212部分除去后堆栈200的横截面示意图。如图2D所示,氧化物垫片沉积层212的底部部分218也可被步骤108除去以清洁该特征的底部。
除去通过蚀刻除去有机掩模208和ARC层206(步骤110)。图2E是除去有机掩模208和ARC层206之后堆栈200的横截面示意图。如图2E所示,氧化物垫片沉积层208的侧壁216留在该蚀刻层上。因为在图案化有机掩模208的两个侧面上都形成侧壁216,并且然后侧壁216之间的有机掩模208被除去,氧化物侧壁216的产生的图案具有图案化有机掩模208的两倍的节距。例如,在图2A中,图案化有机的宽度可具有约48nm的临界尺寸(CD)而该掩模图案之间的空隙可以是约95nm。在图2F中,蚀刻后的图案具有约21nm的CD和约48-50nm的空隙。
使用侧壁216作为蚀刻掩模穿过该氧化物垫片沉积层的侧壁216蚀刻蚀刻层204(步骤112)。图2F是蚀刻层204被蚀刻后堆栈200的横截面示意图。应该注意,依照本发明的一个实施方式,步骤108和步骤110可以使用相同的化学物质作为一个步骤连续执行。
然后,从该处理室除去堆栈200(衬底202)(步骤114)。因此,步骤104到步骤112是在同一个室(即,原地)执行的。并且,依照本发明的一个实施方式,所有这些处理都是在室温下执行的,因此不需要传统CVD处理的高温。
图3是形成覆盖图案化有机掩模208和掩模特征210的底部的氧化物垫片沉积层212(步骤106)的更详细的流程图。氧化物垫片沉积层212的形成包括一个或多个循环,优选地,包括多个循环。
图4是可用于蚀刻蚀刻层以实现蚀刻特征的减小的节距的处理室400的示意图。该蚀刻层位于衬底上方并在防反射涂覆(ARC)层和具有掩模特征的图案化有机掩模下方,例如,如图2A所示。等离子体处理室400包含约束环402、上电极404、下电极408、气体源410和排气泵420。气体源410包含ARC打开气体源412、氧化物垫片沉积气体源414、氧化物垫片加工气体源416、氧化物垫片/掩模蚀刻气体源418和蚀刻层蚀刻气体源422。气体源410允许从ARC层的打开到蚀刻层(例如,介电层)的蚀刻在同一个室400中原地完成。氧化物垫片/掩模蚀刻气体源418可以是单一气体源,或可以包括对于部分除去氧化物垫片沉积层的第一蚀刻气体源(未示)和对于除去该有机掩模和剩余的ARC层的第二蚀刻气体源(未示)。然而,该第一和第二蚀刻气体源可以结合起来,如果处理使用相同成分气体的话。该成分气体中的每种气体的流速可以被控制从而该氧化物垫片沉积层的部分除去以及该有机掩模和该ARC层的蚀刻分别具有一组合适的成分气体的流量比。
在等离子体处理室400内,具有堆栈200的衬底202被放置在下电极408上。下电极408包涵合适的衬底卡持机构(例如,静电、机械夹持等等)以保持衬底202。反应器顶部428包涵上电极404,其直接面对下电极408。上电极404、下电极408和约束环402限定被约束的等离子体容积440。气体由气体源410提供到被约束的等离子体容积440并由排气泵420通过约束环402和排气口从该被约束的等离子体容积440排出。第一RF电源444电性连接于上电极404。第二RF电源448电性连接于下电极408。室壁452围绕约束环402、上电极404和下电极408。第一RF电源444和第二RF电源448两者可包含27MHz电源、2MHz电源和60MHz电源。连接射频电力到该电极的不同组合也是可行的。在Lam Research Corporation的介电蚀刻系统,比如系列(由加利福尼亚弗雷蒙的Lam Research CorporationTM制造),其可用于本发明的一个优选实施方式,该27MHz、2MHz和60MHz电源构成连接于下电极408的第二射频电源448。在其它实施方式中,该射频电源可以有高达300MHz的频率。
控制器435可控地连接于RF电源444、448、排气泵420和气体源410。当待蚀刻层204是介电层,比如二氧化硅、氮化硅、或有机硅玻璃时,可以使用该介电蚀刻系统。控制器435控制该RF电源444、448、排气泵420和气体源410。该控制器还控制氧化物垫片沉积气体源414和氧化物垫片加工气体源416从而交替执行该氧化物垫片沉积阶段和该氧化物垫片加工阶段,作为多个循环中每个循环的两个阶段。
图5A和5B描绘了计算机系统1300,其适于实现用于本发明的实施方式的控制器435。图5A显示了计算机系统的一种可能的物理形式。当然,该计算机系统可具有多种物理形式,范围从集成电路、印刷电路板和小型手持装置直到大型超级计算机。计算机系统1300包括监视器1302、显示器1304、外壳1306、磁盘驱动1308、键盘1310和鼠标1312。磁盘1314是计算机可读介质,用于向计算机系统1300传送数据或从计算机系统1300传输数据。
图5B是计算机系统1300的方框图的一个实施例。各种子系统连接于系统总线1320。一个或多个处理器1322(也称为中央处理单元,或CPU)耦合于存储器件,包括存储器1324。存储器1324包括随机存取存储器(RAM)和只读存贮器(ROM)。正如本领域中熟知的那样,ROM能够向该CPU单向传送数据和指令,而RAM通常可以用于以双向方式传送数据和指令。这两种类型的存储器可以包括下面所述的任何合适的计算机可读介质。固定磁盘1326也双向耦合于CPU1322;它提供额外的数据存储容量而且还包括任何下述的任何的计算机可读介质。固定磁盘1326可被用来存储程序、数据等等而且通常是比主存储器更慢的第二级存储介质(比如硬盘)。应当理解,固定磁盘1326中保存的信息,在适当的情况下,可以作为虚拟存储器(virtual memory)以标准方式合并在存储器1324中。可移除磁盘1314可以采取下述的任何计算机可读介质的形式
CPU1322也耦合于各种输入/输出设备,比如显示器1304、键盘1310、鼠标1312和扬声器1330。通常,输入输出设备可能是下述任何一种:视频显示器、轨迹球、鼠标、键盘、麦克风、触摸屏、传感器读卡器、磁带或纸带阅读器、书写板、触摸笔、语音或笔迹识别器、生物特征阅读器,或其它的电脑。可选地,CPU1322使用网络接口1340耦合于另一台计算机或电信网络。使用这种网络接口,可以想象,在执行上述方法步骤的过程中,该CPU可以从网络接收信息,或者可以输出信息到网络。而且,本发明的方法实施方式可以在CPU1322上单独执行或者通过网络(比如因特网)与共享部分处理的远程CPU一起执行。
另外,本发明的实施方式进一步涉及具有计算机可读介质的计算机存储器产品,该计算机可读介质具有用以执行各种由计算机完成的操作的计算机代码。该介质和计算机代码可以是为本发明的目的专门设计和制造的,也可以是对具有计算机软件领域的技术的人员来说熟知并可以获得的。计算机可读介质的实施例包括但不限于:磁介质比如硬盘、软盘和磁带;光介质比如CD-ROM和全息器件;磁光(magneto-optical)介质,比如光软盘(floptical disks);和被专门配置为存储和执行程序代码的硬件装置,比如专用集成电路(ASIC)、可编程逻辑器件(PLD)和ROM和RAM器件。计算机代码的实施例包括比如由编译器产生的机器码和包含由计算机使用解释器执行的更高级别代码的文件。计算机可读介质还可以是由嵌入载波中的计算机数据信号传输并表示由处理器执行的指令序列的计算机代码。
再参考图3,如上所述,氧化物垫片沉积层212的形成(步骤106)包括一个或多个循环,而每个循环包括沉积阶段120和加工阶段130。例如,形成该氧化物垫片沉积层可包括一(1)到二十(20)个循环,优选地,包括三(3)到十五(15)个循环,更优选地,约十(10)个循环。在沉积阶段120中,可以使用包含Si的沉积气体。依照本发明的一个实施方式,该沉积气体包括硅烷和碳氢化合物。在本说明书和权利要求中,“碳氢化合物”包括碳氢化合物和碳氟氢化合物两种。例如,该沉积气体包括SiH4和CH3F,并附加地包括Ar作为载气。也可以使用其它的碳氢化合物比如CxHyFz或CxHy。该载气可以是He、Ar、Ne、Kr、Xe等中的一个或多个。依照本发明的一个实施方式,该沉积气体是基本上无氧的。在加工阶段130中,可以使用包含O2和N2中至少一个的加工气体。
图6是氧化物垫片沉积阶段120的更详细的流程图。氧化物垫片沉积阶段的一个实施例(步骤120)提供10sccm CH3F、5sccm SiH4和995sccm Ar的气流。压强被设定为280毫托。该衬底被保持在室温下,例如,约20℃。第二RF电源448提供频率为60MHz的600瓦的功率。优选地,该沉积气体是基本上无氧的。在该沉积阶段期间,如图6所示,提供包含Si的沉积气体(步骤610),从该沉积气体形成等离子体(步骤612),然后停止该包含硅的气体的流动(步骤614)。
图7是氧化物垫片加工阶段130的更详细的流程图。该氧化物垫片加工阶段(步骤130)的一个实施例提供-450sccm O2的气流。压强被设置为180毫托。该衬底被保持在室温下,例如,约20℃。第二RF电源448提供频率为60MHz的400瓦的功率。在该加工阶段期间,如图7所示,提供该加工气体(步骤710),从该加工气体形成等离子体(步骤712),然后停止该加工气体的流动(步骤714)。
仔细选择该氧化物垫片沉积阶段和氧化物垫片加工阶段的功率和压强以减少该有机掩模图案的任何条纹和扭动。对于氧化物垫片沉积阶段,优选的压强范围的一个实施例是40到800毫托而优选的功率范围的一个实施例是60MHz频率下200到1000瓦、27MHz频率下200到1000瓦和/或2MHz频率下200到1000瓦。
由控制该时间段、该两个阶段的循环的数量以及沉积/加工气体成分和流量比率,控制该氧化物垫片沉积层的成分,例如,含硅碳氟聚合物。例如,使用含CH3F、SiH4和Ar的氧化物垫片沉积气体的氧化物垫片沉积阶段进行6秒,并使用O2的加工气体的氧化物垫片加工阶段进行2秒的15个循环产生含约11.1%的C、约1.8%的F、约46.1%的Si以及约41%的O的聚合物。在另一个实施例中,使用含CH3F、SiH4和Ar的氧化物垫片沉积气体的氧化物垫片沉积阶段进行6秒,并使用O2的加工气体的氧化物垫片加工阶段进行6秒的15个循环产生含约0%的C、约1.1%的F、约52.5%的Si以及约46.3%的O的聚合物。在另一个实施例中,使用含CH3F、SiH4和Ar的氧化物垫片沉积气体的氧化物垫片沉积阶段进行6秒,并使用O2和N2的加工气体的氧化物垫片加工阶段进行2秒的15个循环产生含约5.4%的C、约2.2%的F、约47.7%的Si以及约44.6%的O的聚合物。在又一个实施例中,使用含CH3F、SiH4和Ar的氧化物垫片沉积气体的氧化物垫片沉积阶段进行6秒,并使用O2和N2的加工气体的氧化物垫片加工阶段进行6秒的15个循环产生含约0%的C、约0.8%的F、约52%的Si以及约47.1%的O的聚合物。
通过改变该氧化物垫片沉积层中碳的比率,可以控制对该有机掩模和/或下面的蚀刻层的蚀刻选择性。例如,如果该有机掩模和/或下面的蚀刻层是碳基的(carbon based),那么包含更少的碳的氧化物垫片沉积层在有机掩模蚀刻处理(步骤110)和/或该蚀刻层蚀刻处理(步骤112)过程中更耐蚀刻。
通过使薄氧化物垫片沉积层的沉积和该氧化物垫片层的处理重复多个循环,产生的沉积层具有更均匀的质量和组成。如果在一个氧化物垫片沉积阶段中沉积更厚的氧化物垫片沉积层,后续的氧化物垫片加工阶段(O2和/或N2等离子体加工)可能不能在很厚的垫片沉积层内部被很好的加工。
在一些实施例中,在该氧化物垫片加工阶段中,450sccm O2可以被改变为450sccm N2或225O2和225N2。优选的压强范围的实施例可以在100毫托到800毫托之间。优选的功率范围的实施例可在60MHz频率下的200到1000瓦,27MHz频率下的200到1000瓦和/或2MHz频率下的100到2000瓦。
该氧化物垫片沉积层的部分除去(步骤108)可以使用含氟蚀刻气体。例如,CF4、C4F8、C4F6、CHF3和CH3F,与O2、Ar、N2、H2和He相结合。该有机掩模和该ARC层的后续蚀刻步骤,也可以使用含氟蚀刻气体,例如,其可以是上面针对该氧化物垫片沉积层的部分除去所述的气体之一。可以用于该有机和ARC层的除去气体的实施例是O2、N2/H2、O2/CO、CO2或COS。
尽管参考一些优选实施方式描述了此发明,然而有变更、置换、修改和各种等同替换,均落入此发明的范围。应当注意,有许多实现本发明的方法和装置的替代方式。因此,所附权利要求意在被解释为包括所有这些变更、修改、置换和等同替换均落入本发明的真实精神和范围。

Claims (24)

1.一种用于蚀刻蚀刻层的方法,该蚀刻层位于衬底上方且在反射涂覆(ARC)层和具有掩模特征的图案化有机掩模下,该图案化有机掩模为光阻或无定形碳掩模,该方法包含:
(a)将该衬底放在处理室中;
(b)穿过该图案化掩模的该掩模特征打开该ARC层;
(c)形成氧化物垫片沉积层,该氧化物垫片沉积层包括顶部部分、侧壁和底部部分,该顶部部分覆盖该有机掩模的顶部,该侧壁覆盖该有机掩模的侧壁,而该底部部分覆盖该掩模特征的底部;
(d)通过蚀刻部分除去该有机掩模上的该氧化物垫片沉积层,该氧化物垫片沉积层的至少该顶部部分被除去;
(e)通过蚀刻除去该有机掩模和该ARC层;
(f)穿过该氧化物垫片沉积层的该侧壁蚀刻该蚀刻层;以及
(g)从该处理室除去该衬底,
其中,通过改变所述氧化物垫片沉积层中碳的比率以控制该氧化物垫片沉积层对所述有机掩模和/或所述蚀刻层的蚀刻选择性,以及(c)形成该氧化物垫片沉积层包含多个循环,每个循环包含:
沉积阶段,包括:
提供含Si的沉积气体的气流;
从该沉积气体形成等离子体;以及
停止该沉积气体的气流;以及
加工阶段,包括:
提供O2和/或N2的加工气体的气流;
从该加工气体形成等离子体;以及
停止该加工气体的气流,
其中选择所述沉积阶段和所述加工阶段的功率和压强以减少所述有机掩模的任何条纹和扭动。
2.根据权利要求1所述的方法,其中(c)形成该氧化物垫片沉积层包含三(3)到十五(15)个循环。
3.根据权利要求1所述的方法,其中(c)形成该氧化物垫片沉积层包含约十(10)个循环。
4.根据权利要求1所述的方法,其中该沉积气体包括硅烷和碳氢化合物。
5.根据权利要求4所述的方法,其中该沉积气体包括SiH4和CH3F。
6.根据权利要求4所述的方法,其中该沉积气体是基本上无氧的。
7.根据权利要求1所述的方法,其中(c)形成该氧化物垫片沉积层包含一(1)到二十(20)个循环。
8.根据权利要求7所述的方法,其中(d)部分除去进一步除去在该掩模特征的该底部上形成的该氧化物垫片沉积层的该底部部分。
9.根据权利要求8所述的方法,其中该方法是在室温下执行的。
10.根据权利要求7所述的方法,其中该沉积气体包括硅烷和碳氢化合物。
11.根据权利要求7所述的方法,其中该沉积气体包括SiH4和CH3F。
12.根据权利要求7所述的方法,其中该沉积气体是基本上无氧的。
13.根据权利要求1所述的方法,其中(d)部分除去进一步除去在该掩模特征的该底部上形成的该氧化物垫片沉积层的该底部部分。
14.根据权利要求1所述的方法,其中该方法是在室温下执行的。
15.一种用于蚀刻蚀刻层的由计算机执行的方法,该蚀刻层位于衬底上方且在防反射涂覆(ARC)层和具有掩模特征的图案化有机掩模下,该图案化有机掩模为光阻或无定形碳掩模,该方法包含:
(a)将该衬底放在处理室中;
(b)穿过该图案化掩模的掩模特征打开该ARC层;
(c)形成氧化物垫片沉积层,该氧化物垫片沉积层包括顶部部分、侧壁和底部部分,该顶部部分覆盖该有机掩模的顶部,该侧壁覆盖该有机掩模的侧壁,而该底部部分覆盖该掩模特征的底部,其包含一(1)到二十(20)个循环,每个循环包含:
沉积阶段,包括:
提供含Si的沉积气体的气流;
从该沉积气体形成等离子体;以及
停止该沉积气体的气流;以及
加工阶段,包括:
提供O2和/或N2的加工气体的气流;
从该加工气体形成等离子体;以及
停止该加工气体的气流;
(d)通过蚀刻部分除去该有机掩模上的该氧化物垫片沉积层,该氧化物垫片沉积层的至少该顶部部分被除去;
(e)通过蚀刻除去该有机掩模和该ARC层;
(f)穿过该氧化物垫片沉积层的该侧壁蚀刻该蚀刻层;以及
(g)从该处理室除去该衬底,
其中,通过改变所述氧化物垫片沉积层中碳的比率以控制对所述有机掩模和/或所述蚀刻层的蚀刻选择性,并且选择所述沉积阶段和所述加工阶段的功率和压强以减少所述有机掩模的任何条纹和扭动。
16.根据权利要求15所述的由计算机执行的方法,其中该沉积气体包括SiH4和CH3F。
17.根据权利要求15所述的由计算机执行的方法,其中该沉积气体是基本上无氧的。
18.一种用于蚀刻蚀刻层的装置,该蚀刻层在衬底上方且在防反射涂覆(ARC)层和具有掩模特征的图案化有机掩模下,该图案化有机掩模为光阻或无定形碳掩模,包含:
用于穿过该图案化掩模的该掩模特征打开该ARC层的构件;
用于形成氧化物垫片沉积层的构件,该氧化物垫片沉积层包括顶部部分、侧壁和底部部分,该顶部部分覆盖该有机掩模的顶部,该侧壁覆盖该有机掩模的侧壁,而该底部部分覆盖该掩模特征的底部,其包含一(1)到二十(20)个循环,每个循环包含:
用于提供沉积阶段的构件,包括:
用于提供含Si的沉积气体的气流的构件;
用于从该沉积气体形成等离子体的构件;以及
用于停止该沉积气体的气流的构件;以及
用于提供加工阶段的构件,包括:
用于提供O2和/或N2的加工气体的气流的构件;
用于从该加工气体形成等离子体的构件;以及
用于停止该加工气体的气流的构件;
用于通过蚀刻部分除去该有机掩模上的该氧化物垫片沉积层的构件,该氧化物垫片沉积层的至少该顶部部分被除去;
用于通过蚀刻除去该有机掩模和该ARC层的构件;以及
用于穿过该氧化物垫片沉积层的该侧壁蚀刻该蚀刻层的构件,
其中,通过改变所述氧化物垫片沉积层中碳的比率以控制对所述有机掩模和/或所述蚀刻层的蚀刻选择性,并且选择所述沉积阶段和所述加工阶段的功率和压强以减少所述有机掩模的任何条纹和扭动。
19.根据权利要求1-3和7中任一项所述的方法,其中(d)部分除去进一步除去形成该掩模特征的该底部的该氧化物垫片沉积层的该底部部分。
20.根据权利要求1-3、7和19中任一项所述的方法,其中该方法是在室温下执行的。
21.根据权利要求1-3、7和19-20中任一项所述的方法,其中该沉积气体包括硅烷和碳氢化合物。
22.根据权利要求1-3、7和19-21中任一项所述的方法,其中该沉积气体包括SiH4和CH3F。
23.根据权利要求1-3、7和19-22中任一项所述的方法,其中该沉积气体是基本上无氧的。
24.根据权利要求15-16中任一项所述的由计算机执行的方法,其中该沉积气体是基本上无氧的。
CN201410290445.8A 2007-11-08 2008-11-07 使用氧化物垫片减小节距 Pending CN104037065A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US98646707P 2007-11-08 2007-11-08
US60/986,467 2007-11-08

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN200880115933A Division CN101855706A (zh) 2007-11-08 2008-11-07 使用氧化物垫片减小节距

Publications (1)

Publication Number Publication Date
CN104037065A true CN104037065A (zh) 2014-09-10

Family

ID=40626462

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201410290445.8A Pending CN104037065A (zh) 2007-11-08 2008-11-07 使用氧化物垫片减小节距
CN200880115933A Pending CN101855706A (zh) 2007-11-08 2008-11-07 使用氧化物垫片减小节距

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN200880115933A Pending CN101855706A (zh) 2007-11-08 2008-11-07 使用氧化物垫片减小节距

Country Status (6)

Country Link
US (1) US8592318B2 (zh)
JP (1) JP5254351B2 (zh)
KR (1) KR101573949B1 (zh)
CN (2) CN104037065A (zh)
TW (1) TWI455178B (zh)
WO (1) WO2009062123A2 (zh)

Families Citing this family (134)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8394722B2 (en) * 2008-11-03 2013-03-12 Lam Research Corporation Bi-layer, tri-layer mask CD control
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
CN104425223B (zh) * 2013-08-28 2017-11-03 中芯国际集成电路制造(上海)有限公司 图形化方法
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9230809B2 (en) * 2013-10-17 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned double patterning
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US20150214066A1 (en) * 2014-01-27 2015-07-30 Applied Materials, Inc. Method for material removal in dry etch reactor
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
TWI621210B (zh) * 2014-08-27 2018-04-11 聯華電子股份有限公司 一種製作半導體元件的方法
US9165765B1 (en) * 2014-09-09 2015-10-20 Tokyo Electron Limited Method for patterning differing critical dimensions at sub-resolution scales
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
JP6366454B2 (ja) * 2014-10-07 2018-08-01 東京エレクトロン株式会社 被処理体を処理する方法
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9478433B1 (en) * 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
US9484202B1 (en) * 2015-06-03 2016-11-01 Applied Materials, Inc. Apparatus and methods for spacer deposition and selective removal in an advanced patterning process
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9620356B1 (en) 2015-10-29 2017-04-11 Applied Materials, Inc. Process of selective epitaxial growth for void free gap fill
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
KR102626483B1 (ko) * 2018-03-01 2024-01-17 램 리써치 코포레이션 반도체 프로세싱을 위한 실리콘-기반 증착
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113767187A (zh) 2019-04-19 2021-12-07 应用材料公司 形成含金属材料的方法
US11482411B2 (en) * 2020-06-30 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1214537A (zh) * 1997-10-15 1999-04-21 日本电气株式会社 在掩膜二氧化硅上钻孔的等离子蚀刻方法
KR20030002145A (ko) * 2001-06-30 2003-01-08 주식회사 하이닉스반도체 반도체소자의 패턴 형성 방법
CN1723549A (zh) * 2002-10-11 2006-01-18 兰姆研究有限公司 增强等离子体蚀刻性能的方法
US20070170146A1 (en) * 2006-01-23 2007-07-26 Lam Research Corporation Fin structure formation
US20070181530A1 (en) * 2006-02-08 2007-08-09 Lam Research Corporation Reducing line edge roughness

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6967140B2 (en) * 2000-03-01 2005-11-22 Intel Corporation Quantum wire gate device and method of making same
KR100383760B1 (ko) * 2001-06-26 2003-05-14 주식회사 하이닉스반도체 반도체 소자의 층간 절연막 형성 방법
JP4340040B2 (ja) * 2002-03-28 2009-10-07 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
KR100434110B1 (ko) * 2002-06-04 2004-06-04 삼성전자주식회사 반도체 장치의 제조방법
US7429536B2 (en) * 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7273815B2 (en) * 2005-08-18 2007-09-25 Lam Research Corporation Etch features with reduced line edge roughness
US20080166854A1 (en) * 2005-09-09 2008-07-10 Dong-Suk Shin Semiconductor devices including trench isolation structures and methods of forming the same
KR100761857B1 (ko) * 2006-09-08 2007-09-28 삼성전자주식회사 반도체 소자의 미세패턴 형성방법 및 이를 이용한 반도체소자의 제조방법

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1214537A (zh) * 1997-10-15 1999-04-21 日本电气株式会社 在掩膜二氧化硅上钻孔的等离子蚀刻方法
KR20030002145A (ko) * 2001-06-30 2003-01-08 주식회사 하이닉스반도체 반도체소자의 패턴 형성 방법
CN1723549A (zh) * 2002-10-11 2006-01-18 兰姆研究有限公司 增强等离子体蚀刻性能的方法
US20070170146A1 (en) * 2006-01-23 2007-07-26 Lam Research Corporation Fin structure formation
US20070181530A1 (en) * 2006-02-08 2007-08-09 Lam Research Corporation Reducing line edge roughness

Also Published As

Publication number Publication date
TWI455178B (zh) 2014-10-01
WO2009062123A4 (en) 2009-10-08
JP2011504295A (ja) 2011-02-03
US20120052683A1 (en) 2012-03-01
WO2009062123A3 (en) 2009-07-30
CN101855706A (zh) 2010-10-06
US8592318B2 (en) 2013-11-26
KR101573949B1 (ko) 2015-12-02
WO2009062123A2 (en) 2009-05-14
JP5254351B2 (ja) 2013-08-07
KR20100106347A (ko) 2010-10-01
TW200939302A (en) 2009-09-16

Similar Documents

Publication Publication Date Title
CN104037065A (zh) 使用氧化物垫片减小节距
US9018098B2 (en) Silicon etch with passivation using chemical vapor deposition
TWI411040B (zh) 使用多重遮罩之特徵關鍵尺寸的減小
US11887846B2 (en) Deposition tool and method for depositing metal oxide films on organic materials
CN101779276B (zh) 掩模修整
CN101595551B (zh) 临界尺寸减小及粗糙度控制
TWI538047B (zh) 矽蝕刻用之無機快速交替製程
CN101971291B (zh) 双掩模自对准双图案化技术(SaDPT)工艺
CN101730930B (zh) 在蚀刻高纵横比结构中降低微负载的方法
TWI545648B (zh) 擬硬遮罩用之擺動控制
CN101371336B (zh) 鳍结构成形
CN101779277B (zh) 用于蚀刻设在反射层下方的介电层的方法和设备
CN101903977A (zh) 光刻胶两次图案化
CN101606231A (zh) 超高纵横比电介质刻蚀
TW201735158A (zh) 混合式階梯蝕刻
CN102027577B (zh) 选择性的感应双图案化
CN102318037B (zh) 利用arc层打开的cd偏置负载控制
TWI405265B (zh) 均勻控制的蝕刻
CN101556920B (zh) 高k介电材料的选择性蚀刻
TWI835810B (zh) 用於在有機材料上沉積金屬氧化物膜的沉積工具及方法
CN101558479A (zh) 用于氢氟碳蚀刻的粘着层
KR20210073463A (ko) 기판상의 패턴 형상을 제어하는 방법 및 장치
CN110520964A (zh) 用于半导体处理的基于硅的沉积

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20140910