CN1723549A - 增强等离子体蚀刻性能的方法 - Google Patents

增强等离子体蚀刻性能的方法 Download PDF

Info

Publication number
CN1723549A
CN1723549A CN200380105311.4A CN200380105311A CN1723549A CN 1723549 A CN1723549 A CN 1723549A CN 200380105311 A CN200380105311 A CN 200380105311A CN 1723549 A CN1723549 A CN 1723549A
Authority
CN
China
Prior art keywords
etching
gas
processing chamber
plasma processing
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200380105311.4A
Other languages
English (en)
Other versions
CN1723549B (zh
Inventor
李鲁民
雷扎·萨德杰迪
Z·黄
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/295,601 external-priority patent/US6833325B2/en
Priority claimed from US10/674,675 external-priority patent/US7169695B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1723549A publication Critical patent/CN1723549A/zh
Application granted granted Critical
Publication of CN1723549B publication Critical patent/CN1723549B/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

提供一种用于通过蚀刻掩模在层中蚀刻特征的方法。用钝化气体混合物在蚀刻掩模的暴露表面和所述特征的侧壁上形成保护层。用包含至少一种蚀刻化学制品和至少一种钝化化学制品的反应性蚀刻混合物通过蚀刻掩模蚀刻所述特征。

Description

增强等离子体蚀刻性能的方法
发明背景
1.发明领域
本发明涉及通过利用等离子体透过由蚀刻掩模限定的结构进行蚀刻而在半导体晶片上获得一种结构的方法。
2.先有技术描述
在半导体等离子体蚀刻应用中,等离子体蚀刻一般用于把光刻胶掩模图案转换成Si晶片上所需的薄膜和/或薄膜叠层的电路和线图案。这是通过把掩模图案的敞开区域中光刻胶材料下面的薄膜(和薄膜叠层)蚀刻掉而达到的。所述蚀刻反应是通过化学活性物质和通过在包含在真空外壳,亦称反应槽中的反应剂混合物激发放电产生带电粒子(离子)引发的。另外,离子还通过在气体混合物和晶片材料之间建立的电场向晶片材料加速,产生一种沿着离子轨迹方向对蚀刻材料的定向清除。蚀刻序列完成时,通过剥去掩蔽材料将其清除,在它的位置上留下想要的原掩模图案的侧向图案的复制品。所述蚀刻方法在图1A-C中举例说明。在所述方法中,如图1A所示,等离子体蚀刻过程用于直接把光刻胶掩模图案104转移到下面的氧化物介质薄膜。如图1B所示,所述蚀刻产生接触孔112,并腐蚀和损坏氧化物108上的光刻胶112。在所述蚀刻过程中,在图案转移的交换中,掩模材料一般被腐蚀和/或损坏。结果,某些损坏和腐蚀也可能转移到下面层,留下诸如条痕、CD扩大等不希望有的图案变形。
因此,蚀刻方法的目的是包括减小光刻胶掩模的腐蚀,以便提高从光刻胶掩模图案的图案转移的保真度。为此目的,有人建议在反应性蚀刻混合物中包括钝化气体。这种钝化气体可以这样选择,使得相对于要蚀刻的薄膜材料的去除速度,钝化气体的存在选择性地减小掩模材料的蚀刻损坏和腐蚀。可以这样选择钝化气体,使得在掩蔽材料的表面产生蚀刻阻滞覆盖层,作为阻挡层起减慢蚀刻反应的作用。通过设计,以这样一种办法选择钝化气体,使得额外有利地在要蚀刻的膜结构的垂直表面上形成蚀刻阻滞覆盖层,使得蚀刻反应无法在没有离子轰击的情况下前进。因此,通过带电粒子垂直轨迹的属性,蚀刻可以只在垂直方向前进,在侧向很小或没有蚀刻,建立一个各向异性蚀刻分布。因而,钝化气体在蚀刻混合物中的存在,对于较好地保护蚀刻掩模和通过使用能量相对较高的定向离子轰击形成强各向异性的蚀刻分布的优点,这是非常重要的。
已经有人建议,反应性气体混合物包含蚀刻气体和聚合物形成剂,后者起钝化气体的作用。在这种情况下,蚀刻气体通过放电的激励,释放出强反应性物质,它本身蚀刻要蚀刻的薄膜材料,并通过自发反应的机制蚀刻掩蔽材料。由于自发反应的属性,蚀刻反应既在垂直面又在侧面上前进,形成各向同性蚀刻分布。聚合物形成剂的同时存在,通过在蚀刻结构和掩蔽材料的表面上产生聚合物淀积,结合离子轰击,可以用来同时建立对掩蔽材料的强蚀刻选择性和蚀刻各向异性。
还有人已经建议,反应性气体混合物包含聚合物形成剂和蚀刻启动气体。蚀刻启动气体的作用是使聚合物形成剂气体能够在放电存在的情况下,与聚合物形成剂气体反应,释放出强反应性物质。作为另一方案,还可以通过适当选择的钝化气体直接与这些材料的表面的化学反应来形成蚀刻材料以及掩蔽材料上的阻滞覆盖层。
上述方法一个共用的缺点是,对于蚀刻要求不同的方面,最佳条件一般并不一致,通过气体的混合,每种前体气体的一些独特特性可能由于相互反应而丢失。若不同的蚀刻化学组成分开,则蚀刻状态的最佳化几乎总是涉及在并非最佳的单一蚀刻状态中的复杂的折衷。
1996年3月26日颁发给Laermer等人的题为″各向异性蚀刻硅的方法″的美国专利5,501,893传授蚀刻工艺的一种变型。所述方法把蚀刻气体和聚合物形成剂气体分为两个不同的步骤,每个步骤由单纯一种类型的化学制品构成,而不用另一种。这允许在低的离子轰击能量下获得快速的蚀刻速率,因为在低的离子轰击能量下,若所述反应用的激活能量在蚀刻材料表面比掩蔽材料略低,则对于某些自发蚀刻反应可以对掩蔽材料达到高的选择性。另一方面,通过从蚀刻过程清除聚合物形成剂,在蚀刻正在进行的持续过程中,蚀刻过程必然是各向同性,因为没有阻滞层来防止侧向蚀刻出现。另外,在蚀刻混合物中没有钝化气体的情况下,若想要使用较高的离子能量,将难以获得对掩蔽材料足够的蚀刻选择性。许多蚀刻应用可以受益于高离子轰击能量,例如,在尺寸非常小的结构中获得高纵横比结构。
建议的另一个方法包括一种叠层掩蔽方案,来改善掩蔽材料的总体抗蚀刻性。这在图2A-F中举例说明。图2A中,设置氧化物层204。图2B表示一种设置在氧化物层上面的硬掩模层208。如图2C所示,一种光刻胶层掩模212设置在硬掩模层208的上面。光刻胶掩模212用于在硬掩模层208上形成图案,以便建立形成图案的硬掩模层214,并如图2D所示,可以清除光刻胶层212。如图2E所示,利用具有图案的硬掩模层214作为掩模,在氧化物层204上蚀刻接触孔216。然后,如图2F所示,清除硬掩模,在氧化物层204中留下触点216。
所述方法的优点是,通过具有惰性更大的硬掩模,把图案(电路和线条)从硬掩模转移到下面的膜层,蚀刻性能大大提高,而对蚀刻和光刻的要求也大大减轻。所述方法的缺点是,在工艺流程中引入新的工艺步骤和新的工具集合,造价提高,总产量降低。另外,额外的工艺本身也带来困难。例如,用于绝缘触点蚀刻应用的Si硬掩模不像光刻胶掩模那样容易剥去。
除在侧向没有CD丢失或者损坏的情况下把掩模图案转移到蚀刻层中以外,在许多蚀刻应用中在蚀刻所述蚀刻层的过程中,蚀刻层中已经存在的侧向图案的侧向CD还可能需要保留。
这些蚀刻层图案一般不受蚀刻掩模材料保护。下面利用通过等离子体蚀刻形成双镶嵌(damascene)结构的示例,给出对这一类蚀刻应用的讨论。
为了便于讨论,图9A是在先有技术的双镶嵌过程中使用的晶片110上堆叠900的剖面图。可以在晶片910上的介质层中设置触点904。可以在触点904上面设置可以是氮化硅或者碳化硅的阻挡层912,以便避免铜扩散。可以把通孔级的氧化硅介质层916设置在阻挡层912的上面。可以在通孔级绝缘916上面设置沟槽中止层920(碳化硅或氮化硅)。沟槽级的氧化硅介质层924可以设置在沟槽中止层920的上面。可以在沟槽绝缘层924上面设置防反射层(ARL)928。可以在ARL 928的上面设置具有图案的抗蚀剂层932。ARL 928可以由氮化硅、SiON或者具有高的折射率和高的消光系数的其它材料形成。
图10是先有技术中用于把堆叠900制成双镶嵌结构的工艺的高级流程图。可以对堆叠900进行蚀刻,把通孔940向下蚀刻到阻挡层912(步骤1004)。通孔940的蚀刻可以形成一个形成侧壁的硬壳944。可以清除硬壳944和光刻胶层932,随后用新的抗蚀剂层960重新形成图案,如图9C所示,光刻胶层960形成图案,以便形成沟槽(步骤1008)。可以对所述堆叠进行蚀刻,向下蚀刻沟槽964到中间沟槽蚀刻中止层920(步骤1012),如图9D所示。沟槽964的蚀刻可能使通孔级的介质层916的一部分形成小面972。所述小面的形成可以看做是对双镶嵌结构的损坏。中间沟槽蚀刻中止层920可以用来减少小面形成。沟槽964的蚀刻还可能形成一个形成侧壁的新硬壳968。然后可以剥去抗蚀剂层160和硬壳(步骤1016)。然后可以对堆叠900进行阻挡层蚀刻(步骤1020),这会使通孔940通到铜触点904以便提供图9E所示结构。可以在所述铜触点上面淀积金属阻挡层974(步骤1024),如图9F所示。然后可以用铜晶种层976覆盖所述通孔和沟槽的内部。可以用电镀用铜978填充所述沟槽和通孔,向下把所述沟槽和通孔抛光到沟槽绝缘层924。铜978可以用作用于下一层的铜连接,于是重复所述过程,便可建立多层铜连接和介质层。
尽管中间沟槽蚀刻中止层可以用来减少小面形成,提供和蚀刻中间沟槽蚀刻中止层要求额外的处理步骤,这使处理时间延长,造价提高。
另外,集成电路使用一般用二氧化硅(SiO2)形成的介质层,以便使半导体结构不同的层上的导线绝缘。随着半导体电路变得越来越快和越来越紧凑,工作频率上升,而半导体器件内导线之间的距离缩短。这给所述电路引入较高的耦合电容等级,具有减慢半导体器件运行速度的缺点。因此,使用能够对抗耦合电容等级这种递增而有效的绝缘导线介质层,就变得重要了。
一般说来,集成电路中的耦合电容与用于形成所述介质层的材料的介电常数k成正比。如上所述,传统的集成电路中的介质层传统上都用SiO2形成,其介电常数约为4.0。作为半导体器件中线条密度和工作频率增大的后果,从SiO2形成绝缘层可能不能有效地把导线绝缘到避免耦合电容等级增大的程度。
在减少集成电路中耦合电容等级的努力中,半导体工业已经开始寻找开发一种介电常数低于SiO2的材料,所述材料适宜用来形成集成电路中的介质层。已经开发了若干种有希望的材料,有时称为″低k材料″。在说明书和如权利要求书中,低k材料定义为介电常数k小于4的材料。氟硅酸盐玻璃是一种低k介质的示例,它具有约3.7的介电常数。所述氟硅酸盐玻璃包括搀杂到SiO2中的约7-9%氟。
另一种令人感兴趣的低k材料类型包括有机硅酸盐玻璃,或者OSG。作为示例,但不限于这样的有机硅酸盐介质,包括来自加里福尼亚,San Jose的Novellus的CORAL;来自加里福尼亚的Santa Clara的Applied materials(公司)的黑金刚石;可从荷兰ASM InternationalN.V.(公司)购得的AuroraTM;可从加里福尼亚Santa Clara的Sumitomo Chemical America,Inc.购得的Sumika膜和可从NewJersey,Allied Signal of Morristown购得的HOSPTM。有机硅酸盐玻璃材料具有包含于二氧化硅晶格中的碳和氢原子,降低了密度,由此也降低所述材料的介电常数。这样的薄膜介电常数一般<3.0。
给便于讨论,图11A是在没有沟槽中止层和利用低k介质的情况下,镶嵌结构生产中晶片一部分的剖面图。可以在晶片1110上面的低k介质层1108中设置触点1104。第二触点1106也可以在低k介质层1108中。介质阻挡层1112一般是(但不限于)氮化硅或者碳化硅,可以设置在触点1104的上面,以避免铜扩散。低k介质层1120可以设置在阻挡层1112上面。防反射层(ARL)1128可以设置在低k介质层1120的上面。可以在ARL 1128上面设置具有图案的抗蚀剂层1132。将具有图案的抗蚀剂层1132形成图案,以便提供通孔1140,在低k介质层1120中蚀刻所述通孔1140。清除抗蚀剂层1132,并把具有图案的抗蚀剂层1160设置在ARL 1128上面,如图11B所示。将第二抗蚀剂层1160形成图案,以便提供蚀刻在低k介质层1120中的沟槽1164。
因为不存在中间沟槽蚀刻中止层,而且使用低k介质,所以在所述示例中,小面形成1172可能增大。这样的小面形成可以使填充所述通孔和沟槽用的铜太接近第二触点1106。这也可能增大所述通孔的底部尺寸。
为了便于理解,图12A是一个剖面图,表示在没有沟槽中止层而且利用低k介质的情况下,镶嵌结构生产中晶片的一部分。可以在晶片1210上面的低k介质层1208内设置第一触点1204和第二触点1206。可以在第一和第二触点1204、1206的上面设置一般是(但不限于)氮化硅或者碳化硅的介质阻挡层1212,以避免铜扩散。可以在阻挡层1212的上面设置低k介质层1220。可以在低k介质层1220中蚀刻第一通孔1240和第二通孔1244。可以在低k介质1220上面旋涂底部防反射涂层(BARC)1228。在BARC上这样的旋涂往往至少部分地填充通孔1240、1244,并形成侧壁和所述通孔的插塞。一般,较薄的通孔被BARC填充的深度比较宽的通孔高。分布较开的通孔可能被填充的深度比彼此靠近的通孔高。结果,可能难以具有填充至均匀高度的通孔。
图12B是蚀刻了沟槽1248、1252之后晶片一部分的剖面图。通孔中BARC的存在造成围墙1256、1260,并且,另外,还形成小面1262、1264。小面形成的数量和围墙的大小取决于所述BARC的高度。因此,不均匀的BARC高度可能导致不均匀的小面形成和围墙。围墙可能是应力位置,可能导致电子迁移、无效和其他故障,可能使结果半导体器件可靠性降低。
另外,插塞填充和剥去给工艺流程增加了额外的造价和复杂性。另外这样的插塞可能在将要到来的介质材料中导致介质中毒。在没有插塞填充的情况下,这可能是难以避免的,而且由于通过诸如小面形成等机制造成的腐蚀而导致通孔CD增大。本发明的目的是提供一种一般的方法,用于在层中或者层的堆叠中蚀刻特征,以便获得由掩蔽材料形成的侧向图案的高保真度复制品,同时获得蚀刻的强各向异性和对掩蔽材料以及所述中止层的高度选择性。另外,本发明旨在提供一种一般的方法,用于在没有不应有的侧向CD丢失和对蚀刻层侧向图案损坏的情况下,蚀刻已经存在于未被蚀刻掩模覆盖并且未被牺牲填充材料保护或者充分保护的蚀刻层中的侧向图案。
发明概要
为了达到上述目的,并按照本发明的目的,提供一种用于在一个层中通过蚀刻掩模蚀刻特征的方法。用钝化气体混合物,在蚀刻掩模的暴露表面上和在所述特征的垂直侧壁上形成保护层。所述特征用包含至少一种蚀刻化学制品和至少一种钝化化学制品的反应性蚀刻混合物通过蚀刻掩模进行蚀刻。
在本发明的另一个实施例中,提供一种设备,用于在蚀刻掩模下蚀刻由衬底支持的层。提供一种等离子体处理室,它包括:形成等离子体处理室外壳的室壁;衬底支持装置,用于支持等离子体处理室外壳内的衬底;压力调节器,用于调节所述等离子体处理室外壳内的压力;至少一个电极,用于向等离子体处理室外壳提供功率,以维持等离子体;气体入口,用于将气体装入等离子体处理室外壳中;以及气体出口,用于从等离子体处理室外壳排出气体。提供淀积气体源和蚀刻气体源。在等离子体处理室的气体入口和淀积气体源之间流体连接上设置第一控制阀,并在等离子体处理室的气体入口和蚀刻气体源之间的流体连接上设置第二控制阀。设置控制器,所述控制器可控地连接到第一控制阀、第二控制阀和至少一个电极并且包括至少一个处理器和计算机可读介质。计算机可读介质包括:用于为至少一个淀积步骤打开第一控制阀,以便从淀积气体源向等离子体处理室外壳提供淀积气体的计算机可读代码;用于为至少一个淀积步骤关闭第二控制阀,以便避免来自蚀刻气体源的蚀刻气体进入等离子体处理室外壳的计算机可读代码;用于为至少一个蚀刻步骤打开第二控制阀,以便从蚀刻气体源向等离子体处理室提供蚀刻气体的计算机可读代码;以及用于为至少一个蚀刻步骤激励至少一个电极,以便在所述衬底上提供大于250伏的偏压的计算机可读代码。
在本发明的另一个实施例中,提供一种用于形成双镶嵌特征的方法。在蚀刻层中形成通孔。在蚀刻层上设置具有图案的沟槽掩模。蚀刻沟槽,其中沟槽的蚀刻包括在所述通孔的侧壁上面形成保护侧壁并通过具有图案的沟槽掩模蚀刻沟槽的周期。然后剥去所述掩模。
在本发明的另一个演示中,提供一种n设备,用于蚀刻在蚀刻掩模下的层,其中,所述层由衬底支持。设置等离子体处理室。所述等离子体处理室包括:形成等离子体处理室外壳的室壁;衬底支持装置,用于在等离子体处理室外壳内支持衬底;至少一个电极,用于向所述等离子体处理室外壳提供功率,以维持等离子体;气体入口,用于将气体装入所述等离子体处理室中;和气体出口,用于从等离子体处理室外壳排出气体。提供淀积气体源和蚀刻气体源。在等离子体处理室的气体入口和淀积气体源之间的流体连接中设置第一控制阀。第二控制阀处于所述等离子体处理室的气体入口和蚀刻气体源之间的流体连接处。控制器可控地连接到第一控制阀、第二控制阀和至少一个电极。所述控制器包括至少一个处理器和计算机可读介质。计算机可读介质包括:用于为至少一个淀积步骤打开第一控制阀,以便从淀积气体源向等离子体处理室外壳提供淀积气体的计算机可读代码;用于为至少一个淀积步骤关闭第二控制阀,以便避免蚀刻气体从蚀刻气体源进入等离子体处理室外壳的计算机可读代码;和用于为至少一个蚀刻步骤打开所述第二控制阀,以便从所述蚀刻气体源向所述等离子体处理室提供蚀刻气体的计算机可读代码。
现将结合以下附图在本发明的详细说明中更详细描述本发明的这些及其他特征。
附图的简要说明
下面在附图中以举例方式而不是限制方式说明本发明,附图中相似的标号标识类似的部分,其中:
图1A-C是通过先有技术过程形成接触孔特征的示意图;
图2A-F是通过另一个先有技术过程形成接触孔特征的示意图;
图3是本发明的钝化和蚀刻过程的流程图;
图4A-F是利用本发明的过程形成接触孔的示意图;
图5是可以用来实践本发明的系统的示意图;
图6是利用本发明形成的多个高纵横比接触孔图案的显微照片;
图7是利用先有技术过程形成的多个高纵横比接触孔图案的显微照片;
图8A-B是可以用来实践本发明的计算机系统的示意图;
图9A-F是其上利用先有技术过程形成双镶嵌特征的堆叠的剖面图;
图10是先有技术中用于形成双镶嵌结构的过程的高级流程图。
图11A-B是在没有沟槽中止层和利用低k介质的情况下按照先有技术过程的镶嵌结构生产中晶片一部分的剖面图。
图12A-B是在没有沟槽中止层和利用低k介质的情况下按照另一个先有技术过程在镶嵌结构的生产中晶片一部分的剖面图。
图13是用于利用通孔第一方案形成双镶嵌特征的示例的高级流程图。
图14A-E是在其上形成双镶嵌结构的衬底的一部分的剖面图。
图15A是按照本发明蚀刻的双镶嵌特征的剖面图的显微照片。
图15B是所述双镶嵌特征的顶视和侧视透视图。
推荐实施例的详细说明
现将参照附图举例说明的推荐的实施例详细描述本发明。在以下的描述中,为了提供对本发明的透彻的理解提出了许多具体细节。然而,本专业技术人员都很清楚,在没有一些或者所有这些具体细节的情况下也可以实施本发明。在其它实例中,为了避免不必要地模糊本发明,对于众所周知的过程步骤和/或结构,将不详细描述。
所述发明是新的蚀刻方法,其中原地钝化过程与蚀刻过程结合和一体化,以便在避免不应有地牺牲简单性和成本效果的情况下提高总体蚀刻性能。
在所述新方法中,使用一种原地等离子体化学过程,在蚀刻进程中增强和/或修复光刻胶掩模,以及蚀刻特征的垂直侧壁。在所述新的蚀刻序列的过程中,在晶片暴露于蚀刻的等离子体一段所需的持续期间之前和/或之后,在一段短的持续时间内引发等离子体化学过程步骤。这样选择所述等离子体的钝化过程,即,在掩模图案上形成材料覆盖层薄膜以便保护掩模免受随后的蚀刻腐蚀。所述薄覆盖层最好是一种与随后的剥去过程兼容的材料,以便容易进行最后的剥离,但具有比掩模材料更强的抗蚀刻性。例如,富碳薄膜,它包含非常低的其它元素以致没有其它元素,可以用来覆盖光刻胶掩模,以便保护的掩模特征不会容易地被随后的蚀刻过程腐蚀。换句话说,它改变掩模图案的表面成份,使得掩模的表现类似于伪硬掩模,具有无定型碳硬掩模的某种有利的蚀刻特性。作为另一方案,也可以这样利用钝化过程,使得掩模图案上薄覆盖层的形成大大地补偿和/或修复被前面的过程损坏/腐蚀的掩模图案。所述覆盖层对随后的蚀刻反应的相对惰性是有利的,以便不改变在蚀刻步骤获得的精细的平衡。
所述蚀刻气体混合物包含蚀刻物质和至少一种钝化物质,以便不丢失与蚀刻化学组成中的钝化气体相关的优点。蚀刻剂对钝化组分的比率,连同多个其它处理条件,精细地平衡,以便达到最佳处理结果。诸如光刻胶的选择性、蚀刻的各向异性和蚀刻的速率等等。保持高的放电功率,并且保持高的带电粒子能量,以便获得高的蚀刻速率和在小尺寸结构中良好的蚀刻各向异性。钝化和蚀刻序列可以(但可能不一定要)反复进行,而且进行调整直至蚀刻任务完成。
为了便于理解,图3是一个本发明实施例的流程图。在要蚀刻的层上设置光刻胶掩模(步骤304)。图4A-F是所述过程的示意的说明。图4A表示光刻胶掩模404,它已经设置在要蚀刻的氧化物层408上,后者又处在衬底上。衬底设置在处理室中(步骤306)。
图5是处理室500的示意图,可以在本发明的推荐实施例中使用。在所述实施例中,等离子体处理室500包括约束环502、上电极504、下电极508、气体源510和排气泵520。气体源510包括钝化气体源512、蚀刻气体源514和附加气体源516。在等离子体处理室500内,其上淀积了氧化物层的衬底晶片580定位在下电极508上。下电极508包括适当的衬底卡盘机构(例如,静电的、机械的夹紧装置等),用于夹住衬底晶片580。反应器顶部528包括设置成正对着下电极508的上电极504。上电极504、下电极508、约束环502形成约束等离子体体积540。气体由气体源510通过气体入口543提供给约束等离子体体积,并从约束等离子体体积通过约束环502和排气口由排气泵520排出。排气泵520形成用于等离子体处理室的气体出口。第一RF源544电气连接至上电极504。第二RF源548电气连接到下电极508。室壁552形成等离子体外壳,其中设置了约束环502、上电极504和下电极508。第一RF源544和第二RF源548可以包括27兆赫电源和2兆赫电源。RF功率到所述电极的连接可以具有不同的组合。在本发明一个推荐的实施例中,可以使用由加里福尼亚Fremont的LAMResearch Corporation制造的修改后的Exelan2300DFC(双频率约束)。控制器535可控地连接到第一RF源544、第二RF源548、排气泵520、连接到淀积气体源512的第一控制阀537、连接到蚀刻气体源514的第二控制阀和连接到附加气体源516的第三控制阀541。气体入口543从气体源512、514、516把气体装入等离子体处理外壳。喷头可以连接到气体入口543。气体入口543可以是用于每一个气体源的单一的入口、或者用于每个气体源的不同的入口、或者用于每个气体源的多个入口、或者其它可能的组合。
如图4B所示(步骤308),在光刻胶掩模404上形成保护层412。淀积最好是非对称的,以便优先在所述掩蔽材料上形成更多的淀积量。这样的一个过程最好借助于从所述位置至淀积源的视线,以及借助于所选择的CVD过程的选择性属性。换句话说,以这样的方式选择淀积化学组成,即,由于这些材料在化学惰性上的差异,优先在所述掩蔽材料上形成覆盖层。如在图4B中可以看到的,与在光刻胶掩模的底部以及在光刻胶掩模的侧壁的情况相比,在光刻胶掩模的的顶部形成比较厚的保护层412。在所述推荐实施例中,淀积在蚀刻室中利用化学气相淀积(CVD)工艺原地完成,它还在光刻胶的侧壁上淀积薄的保护层。淀积最好使用一些离子能量,以便为这样的淀积的选择性作好准备。
在其他实施例中,可以改变处理条件,以便改变所述保护层的厚度和空间分布。例如,最好可以随着蚀刻较深地进行而在蚀刻结构的侧壁上形成较厚的覆盖层,以便保护所述蚀刻结构免受随后的蚀刻造成的进一步的畸变。为此可以提供处理条件的变化。因为钝化和蚀刻是单独的步骤,钝化用的工艺条件可以在不受蚀刻过程干扰的情况下针对所述结果进行优化。
在淀积过程中,淀积气体中氟对碳的比率不大于2∶1。对于CVD可以使用的淀积化学组成可以是(但不限于)CH3F、CH2F2、C2H5F、C3H7F、C2H3F、CH4、C2H4、C2H6、C2H2、C3H8和SiH4、Si(CH3)4、Si(C2H5)4。这些化学制品最好不合卤素,或者在不受理论限制的情况下卤素对碳的比率不大于2∶1,据认为,基于碳的化学组成会形成一个薄的抗蚀刻无定形碳层。硅烷SiH4将用于在所述光刻胶上面形成非晶硅层(或者多晶硅)。另外,所述保护层可以用一些F和H组分的存在改性。其它元素,诸如F的存在可以用来产生不同的材料表面的选择性活性,使得在适当的离子轰击下淀积优先发生在一种而不是另一种材料上,诸如在所述光刻胶掩模材料上,而不在SiO2层上。厚度和抗蚀刻性提供一种足以抵抗光刻胶蚀刻或者损坏的保护,而且薄到足以允许要求特征形状的蚀刻。可以用其它方法,诸如溅射法在蚀刻之前在光刻胶掩模上淀积保护层。在蚀刻过程中所述钝化步骤是一个独立的步骤,可以针对不同的材料的不同的蚀刻应用,包括不同的淀积气体组合,其中所述淀积围绕所述蚀刻特征提供保护覆盖层,包括利用可能的多步骤气体交换序列的掩蔽特征。为了完成所述步骤,控制器535可以使第一阀537让淀积气体从淀积气体源512进入处理室500,而同时使第二阀539避免蚀刻气体从蚀刻气体源514进入所述处理室。控制器535还可以控制由第一和第二RF源544、548提供的功率和排气泵520。所述控制器还可以用于控制所述晶片压力、背侧He冷却压力、所述衬底上的偏压和不同的温度。
表I是可以在本发明推荐实施例中使用的钝化和蚀刻步骤的一些参数的表。
表I
  推荐范围   更大的推荐范围   最大推荐范围
  偏置电压   >50伏   >100伏   >300伏
  偏置能量   >50eV   >100eV   >300eV
所述偏压可以通过在衬底上面的上电极和衬底下面的下电极之间设置恒定的电压来设置。在所述推荐的实施例中,电气上的负极性可以在支持晶片材料的衬底上(以此对晶片施加偏压),通过由RF功率发生器施加射频(RF)电压形成。在由所述RF电压的振幅控制的电气负极性确定的能量方面,这具有把带正电的粒子拉向在电气上偏置的衬底的作用。因此,通过控制施加于所述衬底支架的RF功率(因而所述RF电压)即可能供应和改变离子轰击的能量。
接着,如图4C所示,通过光刻胶掩模404蚀刻氧化物层408,以便形成特征416。蚀刻应用可以包括(但不限于)介质触点蚀刻(高纵横比触点(HARC)或者镶嵌)、导线沟槽蚀刻(浅或深)、自对准触点蚀刻、栅极掩模开口蚀刻、触点蚀刻、通孔介质蚀刻、双镶嵌通孔蚀刻、双镶嵌沟槽蚀刻、导体栅极蚀刻、导线深沟槽蚀刻、导线浅沟槽孤立蚀刻和硬掩模开口。蚀刻最好使用高离子能量来提供定向蚀刻。如图所示,蚀刻可以除去一些保护层412。可以清除一些表面上的所有保护层。在所述示例中,已经清除了光刻胶404上形成侧壁的保护层。可以只是部分地清除所述保护层的其它部分。在所述示例中,仅仅已经清除光刻胶404顶面上的一部分保护层412。在其他实施例中,可以部分地蚀刻掉或者完全蚀刻掉其它部分的保护层。为了完成所述步骤,控制器535可以使第一阀537停止淀积气体从淀积气体源512流入处理室500,而同时使第二阀539让蚀刻气体从蚀刻气体源514流入所述处理室。控制器535可以改变第一和第二RF源544、548提供的功率,并改变排气泵520的设置以便适应所述蚀刻。控制器还可以用来改变晶片的压力、背侧压力和不同的温度,以便适应所述蚀刻过程。因为所述蚀刻步骤使用高能离子来提供定向蚀刻,在所述蚀刻过程中设置聚合物形成剂气体。聚合物形成剂气体可以是,例如,碳氢化合物、碳氟化合物、碳氢氟化合物,诸如C4F6,C4F8,CH3F,CH2F2,CH4,C3F6,C3F8和CHF3。这些聚合物形成剂气体会形成恒定地增加并且在蚀刻过程中被蚀刻掉的聚合物层。
表II是可以在本发明推荐的实施例中使用的蚀刻过程的一些参数的表。
表II
  推荐范围   更大的推荐范围   最大的推荐范围
  偏置电压   >200伏   >300伏   >400伏
  偏置能量   >200eV   >300eV   >400eV
至少部分地蚀刻接触孔之后,判断是否要进一步蚀刻(步骤316)。这可以通过一套处方或者通过进行测量完成。若要求进一步蚀刻,则所述处理周期回到步骤308,其中如图4D所示,在光刻胶掩模上淀积附加的保护层418。在所述示例中,旧的保护层的剩余部分变成新的保护层418的一部分。在所述步骤中,控制器535再一次打开第一控制阀537,以便提供淀积气体,并关闭第二控制阀539以便停止蚀刻气体的流动。控制器535还可以改变其它参数,以便适应所述淀积。
然后通过光刻胶掩模蚀刻所述接触孔(步骤312),如图4E所示,提供较深的接触孔416。在所述步骤中,控制器535再一次关闭第一控制阀537,以便停止淀积气体,并打开第二控制阀539,以便允许蚀刻气体的流动。控制器535还可以改变其它参数来适应所述蚀刻。
所述提供交替淀积和蚀刻步骤的周期或者循环最好重复一次以上。所述周期最好重复三次以上。所述周期最好重复至少五次。所述周期可以重复12次。所述周期最好可以重复100次。
至少在最后一个周期中,如图4E所示,蚀刻步骤最好把保护层完全蚀刻掉。不再需要进一步蚀刻时,剥去光刻胶掩模(步骤320),以便如图4F所示,产生氧化物层408与接触孔416。所述光刻胶掩模可以在处理室500中剥去,或者从所述处理室500取出之后剥去。
在其他实施例中,蚀刻步骤可以在步骤308之前增加在所述光刻胶掩模上淀积一个保护层。
所述保护层的蚀刻和淀积最好在同一室中完成,但也可以在不同的室中完成。加里福尼亚,Fremont的LAM Research Corp.制造的Exelan,DFC 2300可以设计来完成淀积和蚀刻两个步骤。因为淀积和蚀刻是在同一室中完成的,所以淀积和蚀刻之间的循环便可以迅速完成。
光刻胶掩模用的材料的例子可以包括(但不限于)较新一代光刻胶,诸如深UV光刻胶、193nm光刻胶、157nm光刻胶、EUV光刻胶、电子射线束光刻胶和x射线光刻胶。较老一代光刻胶聚合物材料设计成包含不饱和C-C键,诸如C-C双键和甚至C-C三键,来提供所需的高抗蚀刻性,亦即对蚀刻气体混合物的化学惰性。这些键是强键并要求高的激活能量才能打破,因此在相对较低的离子能量下,较老一代光刻胶可以表明对蚀刻气体混合物非常低的蚀刻速率。较新一代光刻胶(包括193nm和157nm光刻胶)不包含这些不饱和键,因为这些不饱和键在光刻技术曝光波长下吸收,导致光刻胶抗蚀刻性大大降低。通过在蚀刻阶段过程中在光刻胶上提供保护覆盖层,利用包含至少一种钝化气体的蚀刻混合物,所述光刻胶的抗蚀刻性大大改善,即使在高的离子轰击能量下也是如此。本发明可以改善光刻胶的抗蚀刻性的高的离子轰击能量可以是50-2,000eV。离子轰击能量为200-1,500eV更好。离子轰击能量为500-1,000eV最好。
通孔蚀刻示例
本发明一个具体的示例,用于利有193光刻胶掩模和SiO2层与光刻胶掩模之间的底部防反射涂层(BARC)来蚀刻SiO2层,所述具体的示例利用Exelan DFC 2300作为处理室500。在处理室500中进行BARC蚀刻。BARC蚀刻具有110毫乇的压力,这可以通过约束环502、排气泵520和通过气体入口543的流动速率设置。在27MHz下施加的功率是1200瓦,在2MHz下没有功率通过电极504、508。蚀刻化学组成是700sccm(标准立方厘米/分)氩、60sccm CF4和12sccm O2。上电极504设置在180℃温度下。由下电极508形成的卡盘设置在10℃的温度下。背侧内区卡盘氦的压力设置在15乇。背侧外区卡盘压力设置在15乇。在所述示例中,BARC蚀刻维持不变50秒。控制器535控制这些参数。附加的气体源516可以用来为所述BARC蚀刻提供气体。附加的气体源516可以代表一个以上的气体源。第三个阀541可以代表一个以上的阀门,以便附加的气体可以由控制器535独立地控制。对于Exelan DFC 2300,氦侧压力用于冷却所述卡盘。Exelan DFC2300允许接近所述卡盘中心的内背侧压力,而接近所述卡盘外边沿的外背侧压力。控制器535能够控制这些压力。
在所述Exelan DFC 2300中,在27兆赫下施加800瓦,而在2MHz下施加400瓦,在50毫乇的压力完成所述保护层的淀积。所述淀积化学组成是500sccm的氩和50sccm的CH3F。上电极设置在180℃温度下。卡盘设置在10℃温度下。氦的背侧内区卡盘压力设置在30乇。背侧外区卡盘压力设置在12乇。在所述示例中,淀积气体源512提供CH3F,在蚀刻过程中不提供所述CH3F。氩可以从附加的气体源516提供,因为在淀积和蚀刻过程中提供氩。控制器535打开第一阀537而关闭第二阀539。所述控制器还控制来自附加的气体源的氩的流动。如上所述,控制器535控制功率及其他参数。
SiO2层的蚀刻在40毫乇的压力下在Exelan DFC 2300中完成,在27MHz下施加2500瓦,在2MHz下施加3500瓦。蚀刻化学组成是400seem的氩、36seem的C4F6和30seem的O2。所述C4F6将是聚合物形成剂气体,它在所述蚀刻过程中提供聚合。O2将是蚀刻启动气体。尽管在蚀刻中使用来自C4F6的氟,但是在所述示例中,氟要求氧的存在来启动蚀刻。上电极设置在180℃温度下。卡盘设置在10℃温度下。氦的背侧内区卡盘压力设置在30乇。背侧外区卡盘压力设置在12乇。在所述示例中,蚀刻气体源514提供C4F6和O2,在淀积过程中不提供所述C4F6和O2,尽管在淀积过程中可以在没有氧的情况下使用C4F6。控制器535会关闭第一阀537而打开第二阀539。控制器还控制来自附加的气体源的氩的流动。如上所述,控制器535控制功率及其他参数。
在所述示例中,首先进行BARC蚀刻50秒。接着,进行保护层的淀积(步骤308)10秒。接着,蚀刻接触孔25秒(步骤312)。然后,进行所述保护层的淀积10秒(步骤308)。重复四次所述特征的蚀刻25秒(步骤312)和所述保护层的淀积10秒(步骤308)。进行所述特征的最后蚀刻80秒(步骤312)。完成所述周期(步骤316)并且剥去所述光刻胶(步骤320)。因此,在所述示例中,执行淀积(步骤308)和蚀刻(步骤312)周期,重复5个周期。
同一序列的另一种表示可以写为:
50秒BARC蚀刻+10秒淀积+4x(25秒蚀刻+10秒淀积)+80秒蚀刻。
在所述示例中,在掩模和所述特征的侧壁上优先形成保护层,使得在掩模和所述特征的侧壁上保护层的厚度大于特征底部保护层的厚度,或者在特征底部根本不形成保护层。
可以使用各周期之间不同的条件,更具体地说,针对所述过程剪裁条件。可以把附加过程加到每一个周期上。尽管在所述示例中,处理室是Exelan DFC2300,但也可以使用其它经过修改的蚀刻系统。
图6是SiO2层604的显微照片,利用本发明的保护层淀积和蚀刻过程,用193光刻胶掩模掩蔽所述SiO2层604,以便形成高纵横比触点(HARC)蚀刻608。图7是SiO2层704的显微照片,利用193光刻胶掩模掩蔽所述SiO2层704,以便在不利用本发明的保护层淀积和蚀刻过程的情况下,形成高纵横比触点(HARC)蚀刻708。比较一下图6和图7即可看到,本发明的淀积和蚀刻过程提供从光刻胶掩模(所述原始掩模图案是圆孔阵列)的所需的图案转移,其中触点更圆。另一方面,没有淀积光刻胶保护层的先有技术蚀刻方法使原始图案畸变,如图所示,这在所述介质层是明显的,表现为形状更不规则的接触孔,而且无法接受。光刻胶和特征侧壁上的保护层还可以避免出现在一些蚀刻过程会发现的条纹。
与使用叠层掩模相比,本发明提供效能价格更合算的过程,因为叠层光刻胶掩模的生产更加复杂。本发明还可以以比叠层掩模过程低的代价提供较好的蚀刻结果。
要蚀刻的层可以是介质层(诸如氧化硅)、导电层(诸如金属和硅或者其它类型的半导体)或者硬掩模层(诸如氮化硅和氮氧化硅)。为了蚀刻导体层,可以在所述蚀刻步骤中使用卤素,诸如氯、氟或溴,其中所述淀积可以包含用于淀积富碳的薄膜或者包含Si的薄膜的化学制品。
在本发明推荐实施例中,淀积气体一些组分最好不与蚀刻气体的组分混合,因为一些混合降低了具有分离淀积和蚀刻过程的效率。因此,控制器应该对气体的流动定时,使得在添加另一种气体之前一种气体被耗尽。在所述推荐实施例中,在所述淀积步骤过程中,不从蚀刻气体源向等离子体处理室提供蚀刻气体,在蚀刻步骤过程中不从所述淀积气体源向等离子体处理室提供淀积气体。这可以通过不提供蚀刻气体或淀积气体的组分来实现。例如,氧或者含氧气体对于蚀刻气体是关键蚀刻组分。尽管C4F6也用于蚀刻气体,但是在所述示例中,不能够在没有氧的情况下用C4F6完成蚀刻。于是在淀积步骤过程中不提供氧或含氧气体是一种在淀积步骤过程中不提供蚀刻气体的方法,即使在淀积过程中提供C4F6。为了形成保护覆盖层,淀积过程最好是非蚀刻的或者最多是忽略蚀刻的(包括小于要蚀刻的层的10%被蚀刻)。这样的一种淀积过程可以是(但不限于)CVD淀积或者溅射,因为CVD和溅射不用于蚀刻。若在所述蚀刻步骤中淀积气体与聚合物形成剂相同,则所述淀积气体可以在所述蚀刻步骤过程中提供。在这样一种情况下,淀积步骤和蚀刻步骤之间的差别在于蚀刻气体的蚀刻组分只在蚀刻步骤过程中存在。另外,在蚀刻步骤过程中偏压功率可以较高,以便提供定向蚀刻。
为了获得较高蚀刻速率和较好的各向异性蚀刻,设置单独的淀积步骤和存在聚合物形成剂,以便在蚀刻步骤过程中提供聚合作用,允许使用能量较高的蚀刻离子。
通过把钝化气体保持在蚀刻混合物中,可以使用较高离子能量,而不会造成蚀刻掩模的无法接受的腐蚀和损坏。另外,在蚀刻步骤过程中,可以达到各向异性蚀刻。利用单独的钝化步骤、通过选择,例如,形成比用蚀刻混合物产生的更硬和更耐久的覆盖层钝化化学混合物,可以优化蚀刻分布和掩模保护,因为在所述放电中蚀刻和阻滞气体的相互反应可能使所述覆盖层的质量下降。另外,可以设计诸如压力和浓度等钝化化学条件来优化钝化覆盖层的特性,诸如成份、厚度。
因此,通过使钝化和蚀刻钝化步骤独立,可以独立地控制诸如温度、功率、压力、离子能量和处理气体等处理条件,加以改变来为每个步骤提供最优条件,以提供优化的覆盖层和优化的蚀刻。
可以在蚀刻和淀积两者的过程中用其它惰性气体代替氩气作为载体气体。另一种惰性气体的示例可以是氖。
在本发明的实施例中,可能接触等离子体(通过放电维持的化学制品和带电粒子的混合物)的室壁区域必须尽可能小,并且要在升高的温度下维持不变。其目标是,把室壁区域上的总淀积量减到最小,以便避免所谓″记忆″效应,所述效应使在处理步骤中形成的室壁区域的覆盖层中所包含的化学元素可能释放出来,与随后的步骤发生冲突。
最好使从前体源到所述处理室的所述气体传输时间非常短。使表示建立所需的恒定流量的时间的气体流量稳定时间以及确立在所述处理室中所述气体完全不存在的时间非常短,以便使从一个稳定的气体混合物组分到下一个稳定的气体混合物组分的过渡能够非常快。其目标是避免可能使性能下降的两个不同的步骤之间化学制品的相互混合。
也可能最好使电力系统和控制从电功率到放电反应的转换的控制网络对放电条件和功率需求的改变的反应非常迅速。另外,可能最好能够迅速改变和稳定处理室的,诸如气体混合物的压力和晶片衬底的温度等其它外部条件。因为这两个不同的步骤可能重复很多次,所以适应每个步骤的处理条件也必须多次改变。使这样的处理条件能够迅速改变,便使循环时间能够比较快速,并使所述处理条件在各步骤之间能够显著地改变,以便分别优化每个步骤。因此,具有能够控制和同步处理条件的迅速改变的中央计算机化系统可能也是最好的。计算机用于为所需的改变和与不同的器件的预定的时间延迟同步而发送命令,在处理室中提供多种状态改变。
淀积步骤可能包括一系列不同的涂敷步骤。蚀刻步骤可能包括一系列不同的蚀刻步骤。
沟槽蚀刻示例
图13是利用通孔第一方案形成双镶嵌特征用的示例的高级流程图。首先形成多个通孔(步骤1304)。可以利用先前的示例中描述的方法来形成所述通孔。图14A是衬底1410一部分的剖面图,所述衬底上已经形成沟槽图案掩模1428。可以在衬底1410上面的低k介质层1408中设置第一触点1404和第二触点1406。介质阻挡层1412一般是(但不限于)氮化硅或者碳化硅,可以设置在第一和第二触点1404、1406的上面,以便避免铜扩散。低k介质层1420设置在阻挡层1412上面。已经在低k介质层1420中蚀刻第一通孔1440和第二通孔1444。可以在所述通孔底部形成部分插塞1448(步骤1308)。这是一个任选的步骤。在某些实施例中,形成部分插塞的步骤将被省略。在衬底上面形成具有图案的沟槽掩模1428(步骤1312)。
对低k介质层1420进行沟槽蚀刻周期1314。沟槽蚀刻周期1314包括用等离子体淀积法在通孔的侧壁上淀积保护层(步骤1316)并通过所述掩模进行蚀刻(步骤1312)。重复所述周期直至所述蚀刻结束(步骤1324)。在一个推荐的实施例中,所述沟槽蚀刻周期至少重复一次。所述沟槽蚀刻周期最好重复至少三次。所述沟槽蚀刻周期最好重复至少五次。据认为,周期个数越多,每个周期涂敷的侧壁就越薄,可以减少围墙并减少小面形成。图14B是保护层1452已经淀积之后衬底1410一部分的剖面图。所述保护层的淀积最好是各向同性视线等离子体淀积,在所述实施例中,它在所述掩模的顶面上形成较厚的层而在通孔的侧壁上形成较薄的层。淀积最好是表面反应类型,在所述情况下淀积保护层是正形的,意味着顶部以及侧壁表面具有相等的厚度。所述淀积过程最好还包含以这样一种方式进行的诸如离子辅助蚀刻或者溅射等这样的定向清除机制,使得施加所述淀积过程的净效果是在垂直侧壁表面上产生保护覆盖层,而同时在可能妨碍所述沟槽蚀刻过程进展的水平表面上却很小或者没有淀积。因此,所述淀积过程最好还是采用充分高的离子能量,以便产生选择性侧壁防护,意味着保护覆盖层选择性地只在垂直分布的侧壁上形成,而不在要蚀刻的介质层的水平蚀刻前端表面上形成。保护覆盖层可以在所述掩模图案的水平顶面上形成,因为以这样一种方式仔细选定化学组分,使得对所述介质层是反应性的,而对掩模层不是反应性的。图14是通过所述掩模蚀刻沟槽的步骤之后衬底1410一部分的剖面图(步骤1320)。蚀刻掉一些或者所有通孔侧壁。在所述推荐实施例中,蚀刻是高离子能量定向各向异性蚀刻。
在部分地蚀刻所述沟槽之后,若蚀刻继续(步骤1324),则处理周期回到步骤1316,在这里淀积另一个保护层1460,再一次在所述通孔中形成保护侧壁,如图14D所示。完成更多的沟槽蚀刻(步骤1320),以便进一步加深沟槽1456,如图14E所示。所述周期继续,直至所述沟槽蚀刻到所需的深度为止(步骤1324)。然后,剥去剩余的保护层和掩模(步骤1328)。
本实施例提供侧壁防护,以避免小面形成。可以不需要用于避免小面形成的高的插塞。可以用较短的插塞来避免打通所述阻挡层。然而,一些实施例可以省去所有插塞。
制法
在一个具体的示例中,蚀刻珊瑚(CoralTM)层以便利用通孔第一方法形成双镶嵌特征。首先在所述珊瑚中蚀刻通孔(步骤1304)。在所述示例中,不形成部分插塞。在珊瑚层上面形成光刻胶沟槽图案掩模(步骤1312)。可以在珊瑚层和光刻胶掩模之间设置防反射层或者其它层。在这样一种情况下,可以加上不同的蚀刻步骤,以便打通这样的中间层。
在80毫乇的压力下,在27MHz下施加400瓦而在2MHz下施加0瓦,在Exelan DFC 2300中进行通孔侧壁上保护层的淀积(步骤1316)。所述淀积化学组成是600sccm的氩、80sccm的H2和60sccm的CF4。将上电极置于25℃的温度下。将卡盘置于20℃的温度下。把氦的背侧内区卡盘压力设置为15乇。背侧外区卡盘压力设置在15乇。该步骤进行45秒。
在80毫乇的压力下,在27MHz下施加800瓦而在2MHz下施加0瓦,在Exelan DFC2300中在珊瑚层中进行沟槽蚀刻。蚀刻化学组成是200sccm的氩、60sccm的CF4、20sccm的CHF3和10seem的O2。CF4将是聚合物形成剂气体:它在蚀刻过程中提供聚合作用。O2将是蚀刻启动气体。尽管来自CF4的氟用于蚀刻,但是在所述示例中,氟要求氧的存在,以便启动蚀刻。将上电极置于25℃的温度下。将卡盘置于20℃的温度下。氦的背侧内区卡盘压力设置在15乇。背侧外区卡盘压力设置在15乇。
沟槽蚀刻气体应包含至少一种聚合物形成剂气体组分以及至少一种蚀刻气体组分。需要聚合物形成剂气体来提供沟槽侧壁表面上的侧壁保护,以便用各向异性蚀刻方式实现垂直沟槽剖面。应该指出,在沟槽蚀刻的过程中,所述沟槽垂直表面在不断地被打通,因而需要在沟槽蚀刻的过程中加以保护,尽管在沟槽蚀刻开始之前通孔的垂直表面是打通的,因为沟槽和通孔垂直侧壁相当不同。还推荐采用中到高的离子能量,以便利用像沟槽剖面曲线、掩模和底层选择性这样一些特性。在所述蚀刻示例中,所述800W 27MHz的RF功率提供>200eV的离子轰击能量。根据它对像微型沟槽、纵横比等等的作用,可以采用甚至更高的离子能量。
保护层的淀积进行45秒(步骤1316)。接着,沟槽蚀刻进行30秒(步骤1320)。保护层的淀积(步骤1316)和沟槽的蚀刻(步骤308)可以重复若干次,这提供3300的沟槽蚀刻。
图15A是已经按照所述示例蚀刻的双镶嵌特征的剖面图的显微照片。图15B是所述双镶嵌特征的顶视透视图和侧视透视图。在珊瑚层1508中蚀刻通孔1504。还在所述珊瑚层中蚀刻沟槽1512。因为本示例不使用部分插塞,所述通孔中没有插塞,以便不必清除插塞的附加步骤。所述通孔和沟槽形成得很好,尽管可以看到少量的小面形成1516。所述显微照片还表示,在所述示例中或者显著地减少了围墙或者消除了围墙。
图8A和8B举例说明计算机系统800,它适宜于用作控制器535。图8A表示可以用作控制器535的计算机系统的一种可能的物理形式。当然,计算机系统可以具有许多物理形式,从集成电路、印刷电路板、小型手持式装置直至大型超级计算机。计算机系统800包括监视器802、显示器804、外壳806、硬盘驱动器808、键盘810和鼠标812。硬盘814是一种计算机可读介质,用于把数据传送到计算机系统800以及传送来自计算机系统800的数据。
图8B是计算机系统800方框示意图的示例。附在系统总线820上的是各种各样的子系统。处理器822(亦称中央处理单元或者CPU)连接到存储装置,包括存储器824。存储器824包括随机存取存储器(RAM)和只读存储器(ROM)。在先有技术中众所周知,ROM起单向向CPU传送数据和指令的作用,而RAM一般用来以双向方式传送数据和指令。这两种类型的存储器都可以包括下面描述的任何造当类型的计算机可读介质。固定硬盘826还双向连接到CPU 822:它提供附加的数据存储能力,而且还可以包括下面描述的任何计算机可读介质。固定式硬盘826可以用来存储程序、数据等等,而且一般是次级信息存储介质(诸如硬盘),它比初级存储器慢。应当指出,在适当的情况下,可以把信息以标准方式保存在作为存储器824的虚拟存储器的固定式硬盘826中。可拆卸硬盘可以采取以下所述的任何计算机可读介质的形式。
CPU 822还可以连接到各种各样的输入/输出装置,诸如显示器804、键盘810、鼠标812和扬声器830。一般说来,输入/输出装置可以是任何一种:视频显示器、轨迹球、鼠标、键盘、微音器、触摸屏显示器、传感器读卡器、磁带或纸带阅读器、平板输入器、光笔、语音或手写识别器、生物特征阅读器或者其它计算机。CPU 822可以任选地连接到另一台计算机或者利用网络接口840连接到无线电通信网络。采用这样一个网络接口,旨在使CPU可以在完成上述方法步骤的过程中从网络接收信息,或者可以向网络输出信息。另外,本发明的方法实施例可以只在CPU 822上执行,或者可以在诸如互联网等网络上结合共享一部份处理的远程CPU执行。
另外,本发明的实施例还涉及带有计算机可读介质的计算机存储产品,其上具有计算机计算机代码,用于完成不同的计算机实现的操作。介质和计算机代码可以是专门为本发明的目的设计和构造的,或者它们可以属于众所周知和可供计算机软件技术上的专业人员使用的类型。计算机可读介质的例子包括(但不限于):诸如硬盘、软盘和磁带等磁性介质;诸如CD-ROM等光学介质和全息装置;诸如软磁光盘等磁光介质;诸如专用集成电路(ASIC)、可编程逻辑装置(PLD)和ROM和RAM装置等专门配置来存储和执行程序代码的硬件装置。计算机代码的例子包括机器码,诸如编译器产生的,包含通过计算机利用解释器执行的较高层代码的文件。计算机可读介质还可以是通过由载波波形体现并代表一序列由处理器执行的指令的计算机数据信号发送的计算机代码。
尽管已经就几个推荐的实施例描述了本发明,但还存在一些落在本发明的范围之内的变化、排列和等效替换。还应指出,还有许多实现本发明的方法和设备的替代方法。因此,应当把后附的如权利要求书解释为包括落在本发明的真实精神和范围之内的所有这样的变化、排列和等效替换。
权利要求书
(按照条约第19条的修改)
1.一种用于通过蚀刻掩模在层中蚀刻特征的方法,所述方法包括:
用钝化气体混合物在所述蚀刻掩模和所述特征的垂直侧壁的暴露表面上形成保护覆盖层;以及
利用包含至少一种蚀刻化学制品和至少一种钝化化学制品的反应性蚀刻混合物通过所述蚀刻掩模蚀刻所述特征。
2.如权利要求1所述的方法,其中所述蚀刻包括向所述衬底提供大于200电子伏的离子轰击能量。
3.如权利要求1至2中任何一个所述的方法,其中所述蚀刻化学制品包含聚合物形成剂和蚀刻启动剂。
4.如权利要求1至3中任何一个所述的方法,其中所述形成所述保护覆盖层和蚀刻是在共用的等离子体处理室中完成的。
5.如权利要求1至4中任何一个所述的方法,其中所述形成所述保护覆盖层使用无方向淀积,而所述蚀刻步骤使用定向蚀刻。
6.如权利要求1至5中任何一个所述的方法,其中所述形成所述保护覆盖层是一种非蚀刻或者可忽略蚀刻的淀积。
7.如权利要求1至6中任何一个所述的方法,其中所述形成所述保护覆盖层选自化学气相淀积和溅射中的至少一种。
8.如权利要求1至7中任何一个所述的方法,其中所述层仅仅是单层;仅仅在所述形成所述保护覆盖层和蚀刻所述特征的过程中在所述单层中蚀刻所述特征;以顺序地交替至少四次的方式进行所述形成所述保护覆盖层和蚀刻步骤。
9.如权利要求1至8中任何一个所述的方法,其中所述蚀刻掩模是193nm或下一代(below generation)光刻胶掩模。
10.如权利要求1至9中任何一个所述的方法,其中在所述蚀刻掩模的暴露区域和所述特征的垂直侧壁上优先形成所述保护覆盖层。
11.如权利要求1至10中任何一个所述的方法,其中所述钝化气体混合物释放一种对所述层的化学活性比对所述掩模材料的化学活性高的聚合剂。
12.如权利要求1至11中任何一个所述的方法,其中在形成保护覆盖层的步骤过程中,使用定向高能离子,通过激活选择性地在所述层表面上清除所述覆盖层的机制,来优先防止淀积在所述层的水平表面上的积聚。
13.如权利要求1至12中任何一个所述的方法,其中至少一种钝化化学制品是其F∶C比率小于2∶1的氟氢化碳。
14.如权利要求1至13中任何一个所述的方法,其中所述钝化化学制品中至少一种是CH3F、CH2F2、C2H5F、C2H4F2、C3H7F、C3H6F2、C2H3F、CH4、C2H6、C2H4、C3H8、C2H2中的一种。
15.如权利要求1至14中任何一个所述的方法,其中所述钝化气体混合物是Ar和CH3F的混合物。
16.如权利要求1至15中任何一个所述的方法,其中在所述钝化步骤中提供的离子能量大于100电子伏。
17.如权利要求1至16中任何一个所述的方法,其中所述蚀刻化学制品中的至少一种是C4F6
18.如权利要求1至17中任何一个所述的方法,其中用于放电的RF频率中至少一种是2MHz、27MHz和60MHz中的一种。
19.如权利要求1至18中任何一个所述的方法,其中所述RF放电频率由一种范围为400KHz到13.56MHz的较低的频率和另一种范围在27MHz到120MHz的较高频率的组合构成。
20.一种用于蚀刻在蚀刻掩模下的层的设备,其中所述层由衬底支持,所述设备包括:
等离子体处理室,所述等离子体处理室包括:
形成等离子体处理室外壳的室壁;
衬底支持装置,用于把所述衬底支持在所述等离子体处理室外壳之内;
压力调节器,用于调节所述等离子体处理室外壳内的压力;
至少一个电极,用于为维持等离子体而向所述等离子体处理室外壳提供功率;
气体入口,用于将气体装入所述等离子体处理室外壳中;和
气体出口,用于从所述等离子体处理室外壳排出气体;
淀积气体源;
蚀刻气体源;
第一控制阀,它处在所述等离子体处理室的所述气体入口和所述淀积气体源之间的流体连接上;
第二控制阀,它处在所述等离子体处理室的所述气体入口和所述蚀刻气体源之间的流体连接上;
控制器,它可控地连接到所述第一控制阀、所述第二控制阀和所述至少一种电极,所述控制器包括:
至少一个处理器;和
计算机可读介质,所述计算机可读介质包括:
用于在至少一个淀积步骤打开所述第一控制阀,以便从所述淀积气体源向所述等离子体处理室外壳提供淀积气体的计算机可读代码;
用于在所述至少一个淀积步骤关闭所述第二控制阀,以便避免蚀刻气体从所述蚀刻气体源进入所述等离子体处理室外壳的计算机可读代码;
用于在至少一个蚀刻步骤打开所述第二控制阀,以便从所述蚀刻气体源向所述等离子体处理室提供蚀刻气体的计算机可读代码;和
用于激励所述至少一个电极,以便在所述至少一个蚀刻步骤在所述衬底上提供大于250伏的偏压的计算机可读代码。
21.如权利要求20所述的设备,其中还包括:
钝化气体源;和
第三控制阀,它处在所述等离子体处理室的所述气体入口和所述钝化气体源之间的流体连接上,
其中,所述计算机可读介质还包括用于在所述至少一个蚀刻步骤打开所述第三控制阀,以便从所述钝化气体源向所述等离子体处理室提供钝化气体的计算机可读代码。
22.如权利要求20至21中任何一个所述的设备,其中所述计算机可读介质还包括用于以多次交替的方式进行所述至少一个淀积步骤和至少一个蚀刻步骤的计算机可读代码。
23.一种用于形成双镶嵌特征的方法,所述方法包括:
在蚀刻层中形成通孔;
在所述蚀刻层上设置具有图案的沟槽掩模;
蚀刻沟槽,其中所述蚀刻沟槽的步骤包括以下的周期:
在所述通孔的侧壁上形成保护侧壁;和
通过具有图案的沟槽掩模蚀刻沟槽;和
剥去所述掩模。
24.如权利要求23所述的方法,其中所述沟槽周期重复至少三次。
25.如权利要求23所述的方法,其中所述沟槽蚀刻周期重复至少五次。
26.如权利要求23至25中任何一个所述的方法,其中所述形成所述保护侧壁和蚀刻是在共用的等离子体处理室中完成的。
27.如权利要求23至26中任何一个所述的方法,其中所述形成所述保护侧壁的步骤采用非定向淀积,而所述蚀刻步骤采用定向蚀刻。
28.如权利要求23至27中任何一个所述的方法,其中在所述形成所述保护侧壁过程中用离子能量大于100eV的高能离子轰击所述晶片。
29.如权利要求23至28中任何一个所述的方法,其中所述形成所述保护侧壁的步骤是非蚀刻或者可忽略蚀刻的淀积。
30.如权利要求23至29中任何一个所述的方法,其中所述形成所述保护侧壁的步骤使用气体混合物,所述气体混合物包含H2、CH3F、CH2F2、CHF3、C4F6、C4F8中的至少一种作为聚合物形成剂以及CF4、C2F6和NF3中的至少一种作为蚀刻气体。
31.如权利要求23至30中任何一个所述的方法,其中所述形成所述保护侧壁的步骤使用包含CF4和H2的混合物。
32.如权利要求31所述的方法,其中所述CF4对H2气体流量比率处于0.6∶1至1.4∶1的范围内,按体积流量速率计。
33.如权利要求23至32中任何一个所述的方法,其中所述形成所述保护侧壁的过程是从化学气相淀积和溅射中至少一种选择的。
34.如权利要求23至33中任何一个所述的方法,其中所述蚀刻层是低k介质材料。
35.如权利要求23至34中任何一个所述的方法,其中在所述沟槽等离子体蚀刻过程开始以前不用牺牲性填充材料填充所述通孔。
36.如权利要求23至35中任何一个所述的方法,其中在所述沟槽等离子体蚀刻过程开始之前用一种填充材料把所述通孔填充到不多于所述通孔高度的50%。
37.一种用权利要求23至36中任何一个的方法形成的半导体。
38.一种用于执行权利要求23至36中任何一个的方法的设备。
39.一种用于蚀刻在蚀刻掩模下的层的设备,其中所述层由衬底支持,所述设备包括:
等离子体处理室,所述等离子体处理室包括:
形成等离子体处理室外壳的室壁;
衬底支持装置,用于把所述衬底支持在所述等离子体处理室外壳之内;
压力调节器,用于调节所述等离子体处理室外壳内的压力;
至少一个电极,用于为维持等离子体而向所述等离子体处理室外壳提供功率;
气体入口,用于将气体装入所述等离子体处理室外壳中;和
气体出口,用于从所述等离子体处理室外壳排出气体;
淀积气体源;
蚀刻气体源;
第一控制阀,它处在所述等离子体处理室的所述气体入口和所述淀积气体源之间的流体连接上;
第二控制阀,它处在所述等离子体处理室的所述气体入口和所述蚀刻气体源之间的流体连接上;
控制器,它可控地连接到所述第一控制阀、所述第二控制阀和所述至少一种电极,所述控制器包括:
至少一个处理器;和
计算机可读介质,所述计算机可读介质包括:
用于在至少一个淀积步骤打开所述第一控制阀,以便从所述淀积气体源向所述等离子体处理室外壳提供淀积气体的计算机可读代码;
用于在所述至少一个淀积步骤关闭所述第二控制阀,以便避免蚀刻气体从所述蚀刻气体源进入所述等离子体处理室外壳的计算机可读代码;和
用于在至少一个蚀刻步骤打开所述第二控制阀,以便从所述蚀刻气体源向所述等离子体处理室提供蚀刻气体的计算机可读代码。
40.如权利要求39所述的设备,其中所述计算机可读介质还包括用于以多次交替的方式进行所述至少一个淀积步骤和至少一个蚀刻步骤的计算机可读代码。
41.如权利要求39至40中任何一个所述的设备,其中所述蚀刻气体源包括蚀刻气体组分源和聚合物形成剂气体组分。
42.如权利要求1至19中任何一个所述的方法,其中所述层只是单层;仅仅在形成所述保护覆盖层和蚀刻所述特征的过程中蚀刻所述单层中的所述特征。
43.如权利要求1至19中任何一个以及权利要求42所述的方法,其中所述形成所述保护覆盖层的步骤不在所述特征的底部形成所述保护覆盖层。
44.如权利要求1至19以及42至43中任何一个所述的方法,其中利用选择性化学气相淀积法完成所述形成所述保护覆盖层的步骤,所述形成所述保护覆盖层的步骤在所述蚀刻掩模的暴露表面和所述特征的垂直侧壁上形成所述保护覆盖层,但不在所述特征的底部形成所述保护覆盖层。
45.如权利要求1至19和42到44中任何一个所述的方法,其中所述蚀刻掩模是光刻胶掩模,并且其中所述形成所述保护覆盖层的步骤形成抗蚀刻性高于所述蚀刻掩模的保护覆盖层
46.如权利要求45所述的方法,其中所述形成所述保护覆盖层的步骤形成非晶碳保护覆盖层。。
47.如权利要求45所述的方法,其中所述形成所述保护覆盖层的步骤形成多晶硅保护覆盖层。。
48.如权利要求45所述的方法,其中所述形成所述保护覆盖层形成伪硬掩模,并且其中所述形成所述保护覆盖层的步骤不会在所述特征的底部形成保护覆盖层。
49.如权利要求1至19和42至48中任何一个所述的方法,其中还包括:
在所述层中形成通孔;
形成所述蚀刻掩模,其中所述蚀刻掩模是在所述层上的具有图案的沟槽掩模,其中所述特征是沟槽。
50.如权利要求1至19和42至49中任何一个所述的方法,其中所述形成所述保护侧壁的步骤使用气体混合物,所述气体混合物包含H2、CH3F、CH2F2、CHF3、C4F6、C4F8中的至少一种作为聚合物形成剂以及CF4、C2F6和NF3中的至少一种作为蚀刻气体。
51.如权利要求1至19和42至50中任何一个所述的方法,其中所述形成所述保护侧壁的步骤使用包含CF4和H2的混合物。
52.如权利要求51所述的方法,其中所述CF4对H2气体流量比率处于0.6∶1至1.4∶1的范围内,按体积流量速率计。
53.如权利要求1至19和42至52中任何一个所述的方法,其中所述蚀刻层是低k介质材料。
54.如权利要求1至19和42至53中任何一个所述的方法,其中在沟槽等离子体蚀刻过程开始以前不用牺牲性填充材料填充所述通孔。
55.如权利要求1至19和42至54中任何一个所述的方法,其中在所述沟槽等离子体蚀刻过程开始之前用一种填充材料将所述通孔填充到不多于所述通孔高度的50%。

Claims (41)

1.一种用于通过蚀刻掩模在层中蚀刻特征的方法,所述方法包括:
用钝化气体混合物在所述蚀刻掩模和所述特征的垂直侧壁的暴露表面上形成保护覆盖层;以及
利用包含至少一种蚀刻化学制品和至少一种钝化化学制品的反应性蚀刻混合物通过所述蚀刻掩模蚀刻所述特征。
2.如权利要求1所述的方法,其中所述蚀刻包括向所述衬底提供大于200电子伏的离子轰击能量。
3.如权利要求2所述的方法,其中所述蚀刻化学制品包含聚合物形成剂和蚀刻启动剂。
4.如权利要求3所述的方法,其中所述钝化和蚀刻是在共用的等离子体处理室中完成的。
5.如权利要求4所述的方法,其中所述淀积使用无方向淀积,而所述蚀刻步骤使用定向蚀刻。
6.如权利要求5所述的方法,其中所述钝化是一种非蚀刻或者可忽略蚀刻的淀积。
7.如权利要求6所述的方法,其中所述淀积过程选自化学气相淀积和溅射中的至少一种。
8.如权利要求7所述的方法,其中所述淀积和蚀刻以顺序地交替的方式至少进行四次。
9.如权利要求1所述的方法,其中所述蚀刻掩模是193nm或下一代(below generation)光刻胶掩模。
10.如权利要求1所述的方法,其中在所述蚀刻掩模的暴露区域和所述特征的垂直侧壁上优先形成所述保护覆盖层。
11.如权利要求10所述的方法,其中至少一种钝化化学制品释放一种对所述层的化学活性比对所述掩模材料的化学活性高的聚合剂。
12.如权利要求10所述的方法,其中在形成保护覆盖层的步骤过程中,使用定向高能离子,通过激活选择性地在所述层表面上清除所述覆盖层的机制,来优先防止淀积在所述层的水平表面上的积聚。
13.如权利要求11所述的方法,其中至少一种钝化化学制品是其F∶C比率小于2∶1的氟氢化碳。
14.如权利要求11所述的方法,其中所述钝化化学制品中至少一种是CH3F、CH2F2、C2H5F、C2H4F2、C3H7F、C3H6F2、C2H3F、CH4、C2H6、C2H4、C3H8、C2H2中的一种。
15.如权利要求11所述的方法,其中所述至少一种钝化化学制品是Ar和CH3F的混合物。
16.如权利要求12所述的方法,其中在所述钝化步骤中提供的离子能量大于100电子伏。
17.如权利要求1所述的方法,其中所述蚀刻化学制品中的至少一种是C4F6
18.如权利要求1所述的方法,其中用于放电的RF频率中至少一种是2MHz、27MHz和60MHz中的一种。
19.如权利要求1所述的方法,其中所述RF放电频率由一种范围为400KHz到13.56MHz的较低的频率和另一种范围在27MHz到120MHz的较高频率的组合构成。
20.一种用于蚀刻在蚀刻掩模下的层的设备,其中所述层由衬底支持,所述设备包括:
等离子体处理室,所述等离子体处理室包括:
形成等离子体处理室外壳的室壁;
衬底支持装置,用于把所述衬底支持在所述等离子体处理室外壳之内;
压力调节器,用于调节所述等离子体处理室外壳内的压力;
至少一个电极,用于为维持等离子体而向所述等离子体处理室外壳提供功率;
气体入口,用于将气体装入所述等离子体处理室外壳中;和
气体出口,用于从所述等离子体处理室外壳排出气体;
淀积气体源;
蚀刻气体源;
第一控制阀,它处在所述等离子体处理室的所述气体入口和所述淀积气体源之间的流体连接上;
第二控制阀,它处在所述等离子体处理室的所述气体入口和所述蚀刻气体源之间的流体连接上;
控制器,它可控地连接到所述第一控制阀、所述第二控制阀和所述至少一种电极,所述控制器包括:
至少一个处理器;和
计算机可读介质,所述计算机可读介质包括:
用于在至少一个淀积步骤打开所述第一控制阀,以便从所述淀积气体源向所述等离子体处理室外壳提供淀积气体的计算机可读代码;
用于在所述至少一个淀积步骤关闭所述第二控制阀,以便避免蚀刻气体从所述蚀刻气体源进入所述等离子体处理室外壳的计算机可读代码;
用于在至少一个蚀刻步骤打开所述第二控制阀,以便从所述蚀刻气体源向所述等离子体处理室提供蚀刻气体的计算机可读代码;和
用于激励所述至少一个电极,以便在所述至少一个蚀刻步骤在所述衬底上提供大于250伏的偏压的计算机可读代码。
21.如权利要求20所述的设备,其中还包括:
钝化气体源;和
第三控制阀,它处在所述等离子体处理室的所述气体入口和所述钝化气体源之间的流体连接上,
其中,所述计算机可读介质还包括用于在所述至少一个蚀刻步骤打开所述第三控制阀,以便从所述钝化气体源向所述等离子体处理室提供钝化气体的计算机可读代码。
22.如权利要求19所述的设备,其中所述计算机可读介质还包括用于以多次交替的方式进行所述至少一个淀积步骤和至少一个蚀刻步骤的计算机可读代码。
23.一种用于形成双镶嵌特征的方法,所述方法包括:
在蚀刻层中形成通孔;
在所述蚀刻层上设置具有图案的沟槽掩模;
蚀刻沟槽,其中所述蚀刻沟槽的步骤包括以下的周期:
在所述通孔的侧壁上形成保护侧壁;和
通过具有图案的沟槽掩模蚀刻沟槽;和
剥去所述掩模的蚀刻步骤,该步骤包括剥去所述掩模。
24.如权利要求23所述的方法,其中所述沟槽周期重复至少三次。
25.如权利要求23所述的方法,其中所述沟槽蚀刻周期重复至少五次。
26.如权利要求25所述的方法,其中所述钝化和蚀刻是在共用的等离子体处理室中完成的。
27.如权利要求26所述的方法,其中所述淀积采用非定向淀积,而所述蚀刻步骤采用定向蚀刻。
28.如权利要求27所述的方法,其中在所述淀积步骤过程中用离子能量大于100eV的高能离子轰击所述晶片。
29.如权利要求27所述的方法,其中所述钝化是非蚀刻或者可忽略蚀刻的淀积。
30.如权利要求27所述的方法,其中所述淀积使用气体混合物,所述气体混合物包含H2、CH3F、CH2F2、CHF3、C4F6、C4F8中的至少一种作为聚合物形成剂以及CF4、C2F6和NF3中的至少一种作为蚀刻气体。
31.如权利要求27所述的方法,其中所述淀积步骤使用包含CF4和H2的混合物。
32.如权利要求31所述的方法,其中所述CF4对H2气体流量比率处于0.6∶1到1.4∶1的范围内,按体积流量速率计。
33.如权利要求29所述的方法,其中所述淀积过程从化学气相淀积和溅射中至少一种选择。
34.如权利要求23所述的方法,其中所述蚀刻层是低k介质材料。
35.如权利要求23所述的方法,其中在所述沟槽等离子体蚀刻过程开始以前不用牺牲性填充材料填充所述通孔。
36.如权利要求33所述的方法,其中在所述沟槽等离子体蚀刻过程之前用一种填充材料把所述通孔填充到不多于所述通孔高度的50%。
37.一种用权利要求23的方法形成的半导体。
38.一种用于执行权利要求23的方法的设备。
39.一种用于蚀刻在蚀刻掩模下的层的设备,其中所述层由衬底支持,所述设备包括:
等离子体处理室,所述等离子体处理室包括:
形成等离子体处理室外壳的室壁;
衬底支持装置,用于把所述衬底支持在所述等离子体处理室外壳之内;
压力调节器,用于调节所述等离子体处理室外壳内的压力;
至少一个电极,用于为维持等离子体而向所述等离子体处理室外壳提供功率;
气体入口,用于将气体装入所述等离子体处理室外壳中;和
气体出口,用于从所述等离子体处理室外壳排出气体;
淀积气体源;
蚀刻气体源;
第一控制阀,它处在所述等离子体处理室的所述气体入口和所述淀积气体源之间的流体连接上;
第二控制阀,它处在所述等离子体处理室的所述气体入口和所述蚀刻气体源之间的流体连接上;
控制器,它可控地连接到所述第一控制阀、所述第二控制阀和所述至少一种电极,所述控制器包括:
至少一个处理器;和
计算机可读介质,所述计算机可读介质包括:
用于在至少一个淀积步骤打开所述第一控制阀,以便从所述淀积气体源向所述等离子体处理室外壳提供淀积气体的计算机可读代码;
用于在所述至少一个淀积步骤关闭所述第二控制阀,以便避免蚀刻气体从所述蚀刻气体源进入所述等离子体处理室外壳的计算机可读代码;和
用于在至少一个蚀刻步骤打开所述第二控制阀,以便从所述蚀刻气体源向所述等离子体处理室提供蚀刻气体的计算机可读代码。
40.如权利要求39所述的设备,其中所述计算机可读介质还包括用于以多次交替的方式进行所述至少一个淀积步骤和至少一个蚀刻步骤的计算机可读代码。
41.如权利要求39所述的设备,其中所述蚀刻气体源包括蚀刻气体组分源和聚合物形成剂气体组分。
CN200380105311.4A 2002-10-11 2003-10-06 增强等离子体蚀刻性能的方法 Expired - Lifetime CN1723549B (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US41780602P 2002-10-11 2002-10-11
US60/417,806 2002-10-11
US10/295,601 US6833325B2 (en) 2002-10-11 2002-11-14 Method for plasma etching performance enhancement
US10/295,601 2002-11-14
US10/674,675 2003-09-29
US10/674,675 US7169695B2 (en) 2002-10-11 2003-09-29 Method for forming a dual damascene structure
PCT/US2003/031712 WO2004034445A2 (en) 2002-10-11 2003-10-06 A method for plasma etching performance enhancement

Publications (2)

Publication Number Publication Date
CN1723549A true CN1723549A (zh) 2006-01-18
CN1723549B CN1723549B (zh) 2012-01-18

Family

ID=46123508

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200380105311.4A Expired - Lifetime CN1723549B (zh) 2002-10-11 2003-10-06 增强等离子体蚀刻性能的方法

Country Status (5)

Country Link
KR (1) KR101075045B1 (zh)
CN (1) CN1723549B (zh)
IL (2) IL167935A (zh)
SG (1) SG152920A1 (zh)
TW (1) TWI315751B (zh)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101983417A (zh) * 2008-03-31 2011-03-02 日本瑞翁株式会社 等离子体蚀刻方法
CN101988196A (zh) * 2009-08-07 2011-03-23 中微半导体设备(上海)有限公司 深反应离子刻蚀方法及其气体流量控制装置
CN102646585A (zh) * 2011-02-17 2012-08-22 朗姆研究公司 对伪硬掩膜的扭曲控制
CN102983052A (zh) * 2011-09-06 2013-03-20 朗姆研究公司 3d闪存结构的蚀刻工艺
CN102027578B (zh) * 2008-05-13 2013-03-20 朗姆研究公司 具有光刻胶掩模预处理的等离子体工艺
CN104037065A (zh) * 2007-11-08 2014-09-10 朗姆研究公司 使用氧化物垫片减小节距
TWI459168B (zh) * 2011-03-28 2014-11-01 Tokyo Electron Ltd 可調適之處方選擇器
CN104471686A (zh) * 2012-08-09 2015-03-25 东京毅力科创株式会社 等离子体蚀刻方法和等离子体蚀刻装置
CN105390390A (zh) * 2006-02-17 2016-03-09 朗姆研究公司 无限选择性的光刻胶掩膜蚀刻
CN107910294A (zh) * 2017-11-24 2018-04-13 睿力集成电路有限公司 半导体器件的互连线结构及半导体器件的互连线制造方法
CN107994026A (zh) * 2017-11-16 2018-05-04 长江存储科技有限责任公司 一种在高深宽比沟道孔刻蚀中保护侧壁的工艺
CN109524415A (zh) * 2018-11-14 2019-03-26 长江存储科技有限责任公司 三维存储器的制造方法及三维存储器
CN111902910A (zh) * 2018-05-09 2020-11-06 应用材料公司 用于图案化具有所需尺寸的材料层的方法
CN113035694A (zh) * 2019-12-25 2021-06-25 中微半导体设备(上海)股份有限公司 刻蚀方法
CN117976607A (zh) * 2024-03-27 2024-05-03 粤芯半导体技术股份有限公司 半导体器件的沟槽隔离制备方法以及半导体器件

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6320282B2 (ja) * 2014-12-05 2018-05-09 東京エレクトロン株式会社 エッチング方法
JP6232037B2 (ja) * 2015-12-04 2017-11-15 株式会社日本トリム 電解水生成システム
US10964587B2 (en) * 2018-05-21 2021-03-30 Tokyo Electron Limited Atomic layer deposition for low-K trench protection during etch
JP7071884B2 (ja) * 2018-06-15 2022-05-19 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0822582B1 (en) * 1996-08-01 2003-10-01 Surface Technology Systems Plc Method of etching substrates
US6025255A (en) * 1998-06-25 2000-02-15 Vanguard International Semiconductor Corporation Two-step etching process for forming self-aligned contacts
US6127258A (en) * 1998-06-25 2000-10-03 Motorola Inc. Method for forming a semiconductor device
US6326307B1 (en) * 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
DE10059836A1 (de) * 2000-12-01 2002-06-13 Infineon Technologies Ag Verfahren zur Strukturierung dielektrischer Schichten

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105390390A (zh) * 2006-02-17 2016-03-09 朗姆研究公司 无限选择性的光刻胶掩膜蚀刻
CN104037065A (zh) * 2007-11-08 2014-09-10 朗姆研究公司 使用氧化物垫片减小节距
CN101983417A (zh) * 2008-03-31 2011-03-02 日本瑞翁株式会社 等离子体蚀刻方法
CN102027578B (zh) * 2008-05-13 2013-03-20 朗姆研究公司 具有光刻胶掩模预处理的等离子体工艺
CN101988196A (zh) * 2009-08-07 2011-03-23 中微半导体设备(上海)有限公司 深反应离子刻蚀方法及其气体流量控制装置
CN101988196B (zh) * 2009-08-07 2013-09-04 中微半导体设备(上海)有限公司 深反应离子刻蚀方法及其气体流量控制装置
CN102646585A (zh) * 2011-02-17 2012-08-22 朗姆研究公司 对伪硬掩膜的扭曲控制
CN102646585B (zh) * 2011-02-17 2015-03-18 朗姆研究公司 对伪硬掩膜的扭曲控制
TWI459168B (zh) * 2011-03-28 2014-11-01 Tokyo Electron Ltd 可調適之處方選擇器
CN102983052B (zh) * 2011-09-06 2015-09-02 朗姆研究公司 3d闪存结构的蚀刻工艺
CN102983052A (zh) * 2011-09-06 2013-03-20 朗姆研究公司 3d闪存结构的蚀刻工艺
CN104471686A (zh) * 2012-08-09 2015-03-25 东京毅力科创株式会社 等离子体蚀刻方法和等离子体蚀刻装置
CN104471686B (zh) * 2012-08-09 2017-03-22 东京毅力科创株式会社 等离子体蚀刻方法和等离子体蚀刻装置
CN107994026A (zh) * 2017-11-16 2018-05-04 长江存储科技有限责任公司 一种在高深宽比沟道孔刻蚀中保护侧壁的工艺
CN107994026B (zh) * 2017-11-16 2020-07-10 长江存储科技有限责任公司 一种在高深宽比沟道孔刻蚀中保护侧壁的工艺
CN107910294A (zh) * 2017-11-24 2018-04-13 睿力集成电路有限公司 半导体器件的互连线结构及半导体器件的互连线制造方法
CN111902910A (zh) * 2018-05-09 2020-11-06 应用材料公司 用于图案化具有所需尺寸的材料层的方法
CN109524415A (zh) * 2018-11-14 2019-03-26 长江存储科技有限责任公司 三维存储器的制造方法及三维存储器
CN109524415B (zh) * 2018-11-14 2021-03-30 长江存储科技有限责任公司 三维存储器的制造方法及三维存储器
CN113035694A (zh) * 2019-12-25 2021-06-25 中微半导体设备(上海)股份有限公司 刻蚀方法
CN117976607A (zh) * 2024-03-27 2024-05-03 粤芯半导体技术股份有限公司 半导体器件的沟槽隔离制备方法以及半导体器件

Also Published As

Publication number Publication date
IL190716A (en) 2011-07-31
KR101075045B1 (ko) 2011-10-19
IL190716A0 (en) 2008-11-03
CN1723549B (zh) 2012-01-18
TW200408732A (en) 2004-06-01
SG152920A1 (en) 2009-06-29
IL167935A (en) 2009-12-24
TWI315751B (en) 2009-10-11
KR20100108467A (ko) 2010-10-06

Similar Documents

Publication Publication Date Title
CN1723549A (zh) 增强等离子体蚀刻性能的方法
KR101160102B1 (ko) 가스 화학물 및 탄화 수소 첨가의 주기적 조절을 이용하는 플라즈마 스트리핑 방법
KR101029947B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
JP5085997B2 (ja) プラズマエッチング性能強化方法及び装置
US7977390B2 (en) Method for plasma etching performance enhancement
KR101083623B1 (ko) 가스 화학물질의 주기적 조절을 사용하는 플라즈마 에칭방법
US9384992B2 (en) Plasma processing method
JP4733214B1 (ja) マスクパターンの形成方法及び半導体装置の製造方法
US6833325B2 (en) Method for plasma etching performance enhancement
US7186661B2 (en) Method to improve profile control and N/P loading in dual doped gate applications
TWI375269B (en) Method for providing uniform removal of organic material
CN101064244A (zh) 形成用于高孔径比应用的各向异性特征图形的蚀刻方法
CN1797715A (zh) 凹陷栅以及用于制造具有凹陷栅的半导体器件的方法
CN1505831A (zh) 蚀刻有机抗反射涂层(arc)的方法
CN101030530A (zh) 形成用于高孔径比应用的各向异性特征图形的蚀刻方法
CN101057314A (zh) 移除残余物的后蚀刻处理
JP2002542623A (ja) 深開口部を形成するためにプラズマ処理室内でシリコン層をエッチングする方法
US20050095783A1 (en) Formation of a double gate structure
KR101155841B1 (ko) 이중 도핑된 게이트 애플리케이션에서 프로파일 제어 및n/p 로딩을 개선하는 방법
CN1707771A (zh) 形成半导体器件接触塞的方法
US20240047223A1 (en) Substrate processing method and substrate processing apparatus
CN1886824A (zh) 等离子蚀刻法
KR20170045950A (ko) 반도체 장치의 제조방법 및 반도체 공정 챔버의 세정방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20120118