TWI785783B - 用於選擇性金屬化合物移除之系統及方法 - Google Patents

用於選擇性金屬化合物移除之系統及方法 Download PDF

Info

Publication number
TWI785783B
TWI785783B TW110133301A TW110133301A TWI785783B TW I785783 B TWI785783 B TW I785783B TW 110133301 A TW110133301 A TW 110133301A TW 110133301 A TW110133301 A TW 110133301A TW I785783 B TWI785783 B TW I785783B
Authority
TW
Taiwan
Prior art keywords
plasma
substrate
etching method
containing precursor
hydrogen
Prior art date
Application number
TW110133301A
Other languages
English (en)
Other versions
TW202226366A (zh
Inventor
振江 崔
安川 王
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202226366A publication Critical patent/TW202226366A/zh
Application granted granted Critical
Publication of TWI785783B publication Critical patent/TWI785783B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32138Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only pre- or post-treatments, e.g. anti-corrosion processes

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Analytical Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)
  • Removal Of Specific Substances (AREA)

Abstract

例示性的蝕刻方法可包括使含氟前驅物及含氫前驅物流至半導體處理腔室的遠端電漿區域中。可使含氫前驅物以相對於含氟前驅物的流動速率至少2:1的流動速率流動。方法可包括形成含氟前驅物及含氫前驅物的電漿以產生電漿流出物。方法可包括使電漿流出物流至容納基板的基板處理區域中。基板可包括鉭或鈦材料的曝露區域及含矽材料或金屬的曝露區域。方法可包括用電漿流出物接觸基板。方法可包括相對於含矽材料或金屬選擇性移除鉭或鈦材料。

Description

用於選擇性金屬化合物移除之系統及方法
本申請案主張2020年9月11日申請之題為「SYSTEMS AND METHODS FOR SELECTIVE METAL COMPOUND REMOVAL」的美國專利申請案第17/018,206號的權益及優先權,其以全文引用的方式併入本文中。
本技術係關於半導體製程及設備。更具體而言,本技術係關於相對於其他材料選擇性蝕刻含金屬結構。
積體電路可能藉由在基板表面上產生複雜圖案化材料層的製程製得。在基板上產生圖案化材料需要可控的用於移除曝露材料的方法。化學蝕刻具有多種用途,包括將光阻劑中的圖案轉移至下置層上、薄化層或薄化已存在於表面上的特徵的側向尺寸。常常需要具有蝕刻製程,其蝕刻一種材料快於蝕刻另一材料,便於(例如)圖案轉移製程。認為此蝕刻製程對第一材料具有選擇性。由於材料、電路及製程的多樣性,因此已開發對多種材料具有選擇性的蝕刻製程。
根據製程中使用的材料,可將蝕刻製程稱為濕式製程或乾式製程。舉例而言,濕式蝕刻可相對於其他介電質及材料優先移除一些氧化物介電質。然而,濕式製程可能難以穿透一些受約束的溝槽,且有時亦可能使剩餘的材料變形。基板處理區域中形成的局部電漿中產生的乾式蝕刻可穿透更受約束的溝槽,並使精細的剩餘結構的變形更少。然而,局部電漿可經由在其放電時產生電弧而損壞基板。
由此,需要能用於產生高品質元件及結構的改進的系統及方法。本技術滿足此等及其他需要。
例示性的蝕刻方法可包括使含氟前驅物及含氫前驅物流至半導體處理腔室的遠端電漿區域中。可使含氫前驅物以相對於含氟前驅物的流動速率至少2:1的流動速率流動。方法可包括形成含氟前驅物及含氫前驅物的電漿以產生電漿流出物。方法可包括使電漿流出物流至容納基板的基板處理區域中。基板可包括鉭或鈦材料的曝露區域及含矽材料或金屬的曝露區域。方法可包括用電漿流出物接觸基板。方法可包括相對於含矽材料或金屬選擇性移除鉭或鈦材料。
在一些實施例中,鉭或鈦材料可為或包括鉭或鈦金屬或鉭或鈦的氧化物或氮化物。金屬可為鎢、鈷或銅。可將電漿功率維持在小於或約1,000 W。可在高於或約150℃的溫度下執行蝕刻方法。可在低於或約10 Torr的壓力下執行蝕刻方法。方法可包括在使含氟前驅物流動之前執行預處理。預處理可包括用包含氧、氫、水蒸汽或氮中之一或多者的電漿接觸基板。方法可包括在蝕刻方法之後執行後處理,其中後處理包含用包含氫、氮、氧或水蒸汽中之一或多者的電漿接觸基板。方法可包括自半導體處理腔室移除基板。方法可包括自半導體處理腔室的腔室壁移除殘留物。移除殘留物可包括提供含氯前驅物或電漿流出物,或含溴前驅物或電漿流出物。
本技術的一些實施例可包含蝕刻方法。方法可包括形成包括氧、氫或氮中之一或多者的處理前驅物電漿,以產生處理電漿流出物。方法可包括使處理電漿流出物流至半導體處理腔室的基板處理區域中。方法可包括用處理電漿流出物接觸基板處理區域中容納的基板。基板可界定鉭或鈦材料的曝露區域及鎢、鈷或銅的曝露區域。方法可包括自鉭或鈦材料的表面移除含碳材料。方法可包括形成含氟前驅物及含氫前驅物的電漿。方法可包括用電漿流出物接觸基板。方法可包括移除鉭或鈦材料。
在一些實施例中,可使含氫前驅物以相對於含氟前驅物大於或約2:1的速率流動。可將形成含氟前驅物的電漿期間的電漿功率維持在小於或約1,000 W。可在大於或約300℃的溫度下執行蝕刻方法。可在小於或約10 Torr的壓力下執行蝕刻方法。方法可包括在蝕刻方法之後執行後處理。後處理可包括用包含氫的電漿接觸基板。方法可包括自半導體處理腔室移除基板。方法可包括自半導體處理腔室的腔室壁移除殘留物。
本技術的一些實施例可包含蝕刻方法。方法可包括使含氟前驅物及含氫前驅物流至半導體處理腔室的遠端電漿區域中。可使含氫前驅物以相對於含氟前驅物的流動速率至少2:1的流動速率流動。方法可包括形成含氟前驅物及含氫前驅物的電漿以產生電漿流出物。方法可包括使電漿流出物流至容納基板的基板處理區域中。基板可包括鉭或鈦材料的曝露區域及含矽材料或金屬的曝露區域。方法可包括用電漿流出物接觸基板。方法可包括相對於含矽材料或金屬選擇性移除鉭或鈦材料。方法可包括形成包括氫的處理前驅物的電漿,以產生處理電漿流出物。方法可包括用處理電漿流出物接觸基板。
在一些實施例中,處理電漿流出物可經配置以自基板或半導體處理腔室中之一或多者移除殘留的氟。方法可包括自半導體處理腔室移除基板。方法可包括用含氯前驅物自半導體處理腔室的腔室壁移除殘留物。
相比於習知的系統及技術,此技術可提供許多好處。舉例而言,製程可允許執行乾式蝕刻,其可保護基板的特徵。另外,製程可相對於基板上的其他曝露材料選擇性移除鉭及鈦材料。結合以下描述和所附圖示更詳細地描述此等及其他實施例以及其優點和特徵中的許多者。
許多不同的半導體製程可使用稀酸,以用於清洗基板及自彼等基板移除材料。舉例而言,稀氫氟酸可為氧化矽、氧化鈦及其他材料的有效的蝕刻劑,可用於自基板表面移除此等材料。在蝕刻或清洗操作完成之後,可自晶圓或基板表面乾燥酸。使用稀氫氟酸(「DHF」)可稱為「濕」式蝕刻,且稀釋劑常常為水。可使用其他蝕刻製程,其使用輸送至基板的前驅物。舉例而言,電漿增強製程亦可藉由經由電漿增強前驅物以執行乾式蝕刻(包括反應離子蝕刻)來選擇性蝕刻材料。
雖然使用水溶液或水性製程的濕式蝕刻劑對於某些基板結構可有效地操作,但水可能在多種條件下水構成挑戰。舉例而言,當設置在包括金屬材料的基板上時,在蝕刻製程期間使用水可能造成問題。舉例而言,在基板上已形成一定量金屬化之後,可執行諸如凹陷間隙、移除氧化物介電質的某些後製造製程或其他製程,以移除含氧材料。如果在蝕刻期間以某一方式使用水,則可產生電解質,當接觸金屬材料時,介電質可使不同金屬之間發生電流腐蝕,且可在各種製程中腐蝕或置換金屬。另外,由於水稀釋劑的表面張力,微小的結構可發生圖案變形及崩壞。由於表面張力效應,水性材料亦不能滲透一些高深寬比特徵,且由於可能形成的離子溶液的電雙層而減小小空間中的蝕刻速率,這可減緩在特徵內的輸送。這可延長曝露時間,且影響相對於其他材料的蝕刻。
電漿蝕刻可解決與水性蝕刻相關的問題,但可能出現其他問題。舉例而言,反應離子蝕刻製程可使金屬曝露於離子活性,其經由撞擊可損壞結構,影響電特性。本技術執行可限制對表面的碰撞的乾式蝕刻製程,同時執行可促進靶材料移除的反應,以此解決此等問題。另外,相比於習知的技術,所使用的材料及條件可改進蝕刻。
雖然餘下的揭示案將例行地說明使用所揭示技術的具體蝕刻製程,但將容易地理解,系統及方法等效地應用於可在所描述的腔室中發生的沉積及清洗製程,以及包括中段製程及後段製程處理的其他蝕刻技術,以及可用多種曝露材料(可經維持或基本上維持)執行的其他蝕刻。因此,不應將本技術視為限制於僅使用例示性的蝕刻製程或腔室。此外,雖然將例示性腔室描述為提供本技術的基礎,但應理解本技術可應用於可允許所描述的操作進行的幾乎任何半導體處理腔室。
第1圖展示根據實施例的具有沉積、蝕刻、烘烤及固化腔室的處理系統100之一個實施例的俯視平面圖。在圖中,一對前開式晶圓傳送盒(FOUP)102提供具有多種尺寸的基板,此等基板為機械手臂104所接收,且放置於低壓保持區域106中,然後放置於基板處理腔室108a至108f中的一者中,此等基板處理腔室安置於串列區段109a至109c中。第二機械手臂110可用於將基板晶圓自保持區域106輸送至基板處理腔室108a至108f並返回。每一基板處理腔室108a至108f可經配置以執行多個基板處理操作,基板處理操作包括本文描述的乾式蝕刻製程,以及週期性層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清洗、除氣、定向及其他基板製程。
基板處理腔室108a至108f可包括一或多個系統部件,其用於沉積、退火、固化及/或蝕刻基板晶圓上的介電膜。在一個配置中,可使用兩對處理腔室(例如108c至108d及108e至108f)在基板上沉積介電材料,並且可使用第三對處理腔室(例如108a至108b)蝕刻所沉積介電質。在另一配置中,所有三對腔室(例如108a至108f)可經配置以蝕刻基板上的介電膜。所描述的製程中的任何一或多者可在與不同實施例中展示的製造系統分離的腔室中進行。將理解系統100涵蓋用於介電膜的沉積、蝕刻、退火及固化腔室的其他配置。
第2A圖展示例示性處理系統200的橫截面圖,處理腔室內有分隔電漿產生區域。在膜蝕刻期間,例如氮化鈦、氮化鉭、鎢、矽、多晶矽、氧化矽、氮化矽、氮氧化矽、碳氧化矽等,製程氣體可經由氣體入口組件205流至第一電漿區域215中。系統中可視情況包括遠端電漿系統(RPS)201,且該遠端電漿系統201可處理第一氣體,第一氣體隨後行進穿過氣體入口組件205。入口組件205可包括兩個或兩個以上不同的氣體供應通道,其中第二通道(未圖示)可繞過RPS 201(如果有)。
圖示了冷卻板203、面板217、離子抑制器223、噴淋頭225及其上安置基板255的底座265或基板支撐件,且上述部件根據實施例可包括每一部件。底座265可具有熱交換通道,熱交換流體流過該熱交換通道以控制基板的溫度,可操作該熱交換流體以在處理操作期間加熱及/或冷卻基板或晶圓。亦可使用嵌入式電阻式加熱器元件電阻式加熱底座265的晶圓支撐盤(其可包括鋁、陶瓷或其組合),從而達到相對高的溫度,例如自高達或約100℃至高於或約1100℃。
面板217可為稜錐形、圓錐形或另一類似的結構,由窄的頂部延展至寬的底部。面板217可另外如圖所示為平坦的,包括用於分配製程氣體的複數個貫穿通道。取決於RPS 201的使用,電漿產生氣體及/或電漿激發物種可通過面板217中的複數個孔(如第2B圖所示),以更均勻地輸送至第一電漿區域215中。
例示性配置可包括使氣體入口組件205開至氣體供應區域258中,氣體供應區域258藉由面板217與第一電漿區域215分隔,使得氣體/物種流過面板217中的孔流至第一電漿區域215中。可選擇結構及操作特徵以阻止電漿自第一電漿區域215顯著回流至供應區域258、氣體入口組件205及流體供應系統210中。圖示面板217或腔室的導電頂部及噴淋頭225,絕緣環220位於特徵之間,相對於噴淋頭225及/或離子抑制器223,向面板217施加AC電位。絕緣環220可位於面板217與噴淋頭225及/或離子抑制器223之間,使第一電漿區域中形成電容耦合電漿(CCP)。擋板(未圖示)可另外位於第一電漿區域215中,或以其他方式與氣體入口組件205耦接,影響流體經由氣體入口組件205流至區域中。
離子抑制器223可包含一板或其他幾何形狀,其界定穿過結構的複數個孔口,該等孔口經配置以抑制離子帶電物種遷移出第一電漿區域215,同時允許不帶電的中性或自由基物種通過離子抑制器223到達抑制器與噴淋頭之間的活化氣體輸送區域中。在實施例中,離子抑制器223可包含具有多個孔口配置的多孔板。此等不帶電物種可包括高反應性物種,其與低反應性載體氣體經由孔口一起輸送。如上文所指出,可減少離子物種穿過孔的遷移,在一些情況下,可完全抑制離子物種穿過孔的遷移。控制通過離子抑制器223的離子物種量可有利地提供對接觸下置晶圓基板的氣體混合物的加大控制,從而又可加大對氣體混合物的沉積及/或蝕刻特性的控制。舉例而言,調整氣體混合物的離子濃度可顯著改變其蝕刻選擇性,例如SiNx:SiOx蝕刻比、Si:SiOx蝕刻比等。在執行沉積的替代實施例中,亦可調整介電材料的保形與流動式沉積的平衡。
離子抑制器223中的複數個孔口可經配置以控制活化氣體,亦即離子、自由基及/或中性物種通過離子抑制器223。舉例而言,可控制孔的深寬比或孔直徑與長度的比及/或孔的幾何形狀,使得減少活化氣體中離子帶電物種通過離子抑制器223的流動。離子抑制器223中的孔可包括面向電漿激發區域215的錐形部分及面向噴淋頭225的圓柱形部分。可調整圓柱形部分的形狀及尺寸,控制離子物種向噴淋頭225的流動。亦可對離子抑制器223施加可調整的電偏壓,作為額外的手段以控制離子物種穿過抑制器的流動。
離子抑制器223可用於減少或消除自電漿產生區域向基板行進的離子帶電物種的量。不帶電的中性及自由基物種仍可通過離子抑制器中的開口以與基板反應。應指出在實施例中可能不執行完全消除圍繞基板的反應區域中的離子帶電物種。在某些情況下,預期離子物種到達基板,從而執行蝕刻及/或沉積製程。在此等情況下,離子抑制器可有助於將反應區域中的離子物種濃度控制於有助於製程的位準。
結合噴淋頭225及離子抑制器223可使第一電漿區域215中存在的電漿避免直接激發基板處理區域233中的氣體,同時仍允許激發物種自腔室電漿區域215行進至基板處理區域233中。以此方式,腔室可經配置以阻止電漿接觸正蝕刻的基板255。這可有利地保護基板上圖案化的多個複雜的結構及膜,如果直接接觸所產生的電漿,此等結構及膜可能損壞、脫位或以其他方式翹曲。另外,當允許電漿接觸基板或接近基板位準時,氧化物物種蝕刻的速率可提高。因此,如果材料的曝露區域為氧化物,則可藉由將電漿維持於基板遠端來進一步保護此材料。
處理系統可進一步包括與處理腔室電耦接的電源240,其向面板217、離子抑制器223、噴淋頭225及/或底座265提供電源以在第一電漿區域215或處理區域233中產生電漿。電源可經配置以取決於所執行的製程向腔室輸送可調整的電量。此配置可允許在所執行的製程中使用可調的電漿。與常常具有開或關功能的遠端電漿單元不同,可配置可調電漿以向電漿區域215輸送特定的電量。這繼而可允許發展特定的電漿特性,使得以特定的方式解離前驅物來增強由此等前驅物產生的蝕刻剖面。
可在高於噴淋頭225的腔室電漿區域215中或在低於噴淋頭225的基板處理區域233中點燃電漿。電漿可存在於腔室電漿區域215中以自流入的(例如)含氟前驅物或其他前驅物產生自由基前驅物。可在處理腔室的導電頂部(例如面板217)與噴淋頭225及/或離子抑制器223之間施加通常位於射頻(RF)範圍中的AC電壓,以在沉積期間點燃腔室電漿區域215中的電漿。RF電源可產生13.56 Mhz的高RF頻率,但亦可獨立或與13.56 MHz頻率結合產生其他頻率。
第2B圖展示影響穿過面板217的處理氣體分配的特徵的詳細視圖253。如第2A圖及第2B圖所示,面板217、冷卻板203及氣體入口組件205相交,以界定氣體供應區域258,可將製程氣體自氣體入口205輸送至該氣體供應區域258中。氣體可填充氣體供應區域258,且穿過面板217中的孔口259流至第一電漿區域215。孔口259可經配置以引導以基本上單向的方式流動,使得製程氣體可流至處理區域233中,但在橫越面板217後,可部分地或完全阻止製程氣體回流至氣體供應區域258中。
用於處理系統200中的氣體分配組件(例如噴淋頭225)可稱為雙通道噴淋頭(DCSH),且第3圖所描述的實施例對此詳細地描述。雙通道噴淋頭可提供蝕刻製程,其允許分離處理區域233之外的蝕刻劑以在將蝕刻劑輸送至處理區域中之前提供與腔室部件及彼此的有限相互作用。
噴淋頭225可包含上板214及下板216。可使該等彼此耦接以界定板之間的容積218。板的耦接可由此提供穿過上板及下板的第一流體通道219及穿過下板216的第二流體通道221。所形成的通道可經配置以使流體僅經由第二流體通道221穿過下板216自容積218進入,且第一流體通道219可同板與第二流體通道221之間的容積218流體地隔離。可穿過噴淋頭225的一側流體地進入容積218。
第3圖是根據實施例的與處理腔室一起使用的噴淋頭325的底視圖。噴淋頭325可對應於第2A圖所示的噴淋頭225。貫穿孔365展示第一流體通道219的視圖,其可具有複數個形狀及配置,從而控制且影響前驅物穿過噴淋頭225的流動。小孔375展示第二流體通道221的視圖,其可基本上均勻地分佈於噴淋頭的表面上方,甚至分佈於貫穿孔365中,且其相比於其他配置有助於在前驅物離開噴淋頭時更均勻地混合前驅物。
可使用前文所討論的腔室執行包括蝕刻方法的例示性方法。參照第4圖,其展示根據本技術之實施例的方法400中的例示性操作。方法400在方法起始之前包括一或多個操作,包括前段處理、沉積、閘極形成、蝕刻、拋光、清洗或可在所描述操作之前執行的任何其他操作。方法可包括多個可選的操作,該等操作可與根據本技術的方法的一些實施例具體相關或不相關。舉例而言,描述許多操作從而提供所執行製程的更廣泛範疇,但這並非技術的關鍵,或可藉由將容易地理解的替代方法執行該等操作。方法400可描述第5A圖至第5B圖所示意性展示的操作,將結合方法400的操作描述該等圖示。應理解圖式僅說明部分示意圖,且基板可包含任何數量的其他材料及特徵,其具有圖中所說明的各種特性及態樣。
方法400可能涉及或不涉及可選的操作以使半導體結構發展至特定的製造操作。應理解可在任何數量的半導體結構上執行方法400,該等半導體結構包括例示性結構,可在其上執行金屬材料移除操作。如第5A圖所示的例示性結構500所示,例示性半導體結構可包括溝槽、通孔或可包括一或多種曝露材料的其他凹陷特徵。結構可包括基板505,一或多種材料可位於其上。舉例而言,例示性基板505可含有矽或某一其他半導體基板材料以及層間介電材料,可穿過層間介電材料形成凹部、溝槽、通孔或隔離結構,且該基板505亦可包括一或多種上覆材料。所曝露的材料可為或包括金屬材料,例如閘極、介電材料、接觸材料、電晶體材料或可用於半導體製程的任何其他材料。在一些實施例中,例示性基板可包括金屬510,溝槽可穿過上覆材料515在其中形成。金屬510可為或包括鎢、鈷、銅或基板內的某一其他材料或金屬。上覆材料515可為或包括介電材料(包括層間介電質),其可為或包括含矽材料,例如氧化矽、碳氧化矽、碳氮氧化矽及碳氮化矽。在一些實施例中,材料可為氧化矽,其特徵可在於大於或約3.0或高達或約4.0的介電常數,這可提高蝕刻製程相對於氧化矽的選擇性。另外,上覆材料515上可包括鈦或鉭材料520,且該等材料可為移除的靶。舉例而言,材料可為氧化鈦、氮化鈦、氧化鉭、氮化鉭或可包括鈦或鉭的任何其他材料。可相對於一或多種其他材料曝露鉭及/或鈦材料,該一或多種其他材料包括金屬510及上覆材料515或多種其他半導體材料(欲相對於其移除鉭及/或鈦材料)中之任一者。
應理解所指出的結構不意欲具有限制性,且類似地包含多種其他半導體結構(包括鉭及/或鈦材料)中之任一者。其他例示性結構可包括半導體生產中常見的二維及三維結構,且其中或其上相對於一或多種其他材料移除含鈦材料及/或含鉭材料,因為本技術可相對於任何數量的其他材料(包括上文指出的每一材料)選擇性移除鉭或鈦材料。另外,雖然高深寬比結構可自本技術受益,但本技術可等效地應用於低深寬比及任何其他結構。
舉例而言,根據本技術的結構層的特徵可在於結構的任何深寬比或高度寬度比,但在一些實施例中,材料的特徵可在於更大的深寬比,使用習知的技術或方法這可能不允許充分的蝕刻。舉例而言,在一些實施例中,例示性結構的任何層的深寬比可大於或約為10:1,大於或約為20:1,大於或約為30:1,大於或約為40:1,大於或約為50:1或更大。另外,每一層的特徵可在於較小的寬度或厚度,其小於或約為100 nm,小於或約為80 nm,小於或約為60 nm,小於或約為50 nm,小於或約為40 nm,小於或約為30 nm,小於或約為20 nm,小於或約為10 nm,小於或約為50 nm,小於或約為1 nm或更小,包括任何所述數字的任何分數,例如20.5 nm、1.5 nm等。這種高深寬比及最小厚度的組合對於許多習知的蝕刻操作可具有挑戰性,或沿垂直或水平距離穿過有限的寬度需要非常長的蝕刻時間來移除層。此外,習知的技術亦可能發生損壞或移除其他曝露層。
在實施例中可執行方法400以移除曝露的含鉭或鈦的材料,但在本技術的實施例中,可在任何數量的結構中移除任何數量的材料。方法可包括用於移除鈦及鉭材料的具體操作,且可包括用於製備或處理待蝕刻或維持的材料的一或多個可選的操作。舉例而言,例示性基板結構在待移除的膜上可具有先前的處理殘留物,例如鈦或鉭材料。舉例而言,先前處理的殘留光阻劑或副產物可留在鈦或鉭層上。此等材料可阻止接近待移除的材料,或與清潔的表面相比可與蝕刻劑發生不同的相互作用,這可使蝕刻的一或多個態樣受阻。因此,在一些實施例中,可選的操作405中可視情況發生鈦或鉭材料膜或材料的預處理。例示性預處理操作可包括熱處理、濕處理、或電漿處理,例如可在腔室200以及上文所描述的系統100上可包括的任何數量的腔室中執行該等處理。
在一例示性電漿處理中,可自意欲以一或多種方式與殘留物相互作用的前驅物中發展遠端或局部電漿。舉例而言,使用諸如上文所描述的腔室200的腔室,可自一或多種前驅物產生遠端或局部電漿。舉例而言,可使含氧前驅物、含氫前驅物、含氮前驅物、含氦前驅物及/或某一其他前驅物流至遠端電漿區域或處理區域中,此處可碰撞電漿。電漿流出物可流至基板,且可接觸殘留材料,例如碳材料或其他遮罩或抗蝕材料殘留物。取決於將移除以曝露鈦或鉭材料的材料,電漿製程可為物理製程或化學製程。舉例而言,電漿流出物可流動以接觸並物理地移除殘留物(例如藉由濺射操作),或前驅物可流動以與殘留物相互作用以產生揮發性副產物,可自腔室移除揮發性副產物。
預處理中使用的例示性前驅物可為或包括氫、烴、水蒸汽、醇、過氧化氫或熟習此項技術者將理解的包括氫的任何材料。例示性含氧前驅物可包括分子氧、臭氧、氧化亞氮、一氧化氮或其他含氧材料。亦可使用氮氣,或可使用具有氫、氧及/或氮中之一或多者的組合前驅物來移除特定的殘留物。在一些實施例中,前驅物可不包括雙原子氧,其更可能氧化所曝露的金屬。一旦移除殘留物或副產物,則可曝露清潔的鈦或鉭表面以用於蝕刻。
方法400可包括在操作410中使含氟前驅物及含氫前驅物流至半導體處理腔室的遠端電漿區域中。可使遠端電漿區域與處理區域流體地耦接,但可實體上分隔遠端電漿區域以在基板位準限制電漿,這可損壞結構500上曝露的結構或材料。在一些實施例中,遠端電漿區域可包括流體地耦接至半導體處理腔室的入口的遠端電漿系統(「RPS」)單元,例如上文所討論的RPS 201。在一些實施例中,遠端電漿區域可包括電容耦合電漿(「CCP」)區域,例如藉由電容耦合面板217及噴淋頭225及/或離子抑制器223而形成的第一電漿區域215,且CCP區域可藉由其電極(例如噴淋頭225及/或離子抑制器223)中之一者與處理區域物理地分隔。方法400可進一步包括形成含氟前驅物及含氫前驅物的電漿以產生電漿流出物,及使電漿流出物流至處理區域中以在操作415中接觸基板。在操作420中,如第5B圖所示,可自基板移除鈦或鉭材料520。在實施例中,可相對於基板、金屬510及上覆材料515選擇性移除鈦或鉭材料。
藉由使用特定的製程條件,可將電漿移除執行為選擇性增強的乾式蝕刻。因此,可執行根據本技術態樣的技術以自窄特徵移除鉭及鈦材料以及高深寬比特徵,以及可在其他情況下不適合濕式蝕刻或可損壞其他曝露材料的其他電漿蝕刻的薄尺寸。可執行可選的操作以清除基板或腔室的殘留物,且可選的操作425中可包括後處理。後處理可包括與預處理類似的操作,且可包括上文關於預處理所討論的前驅物或操作中之任一者。在一些實施例中,後處理可自基板或腔室清除殘留的氟,且可包括氫電漿。
在一些實施例中,在可選的操作430中,可執行額外的後處理以進一步自處理腔室(例如自腔室壁或腔室部件)移除殘留的鈦或鉭材料。舉例而言,先前的操作一旦完成,在一些實施例中可自處理腔室移除基板。在移除基板的情況下,可自含氯前驅物產生可在遠端形成的電漿,其可使得與殘留的氟化鈦或鉭材料發生相互作用。作為一個非限制性實例,可使用三氯化硼,其可有益地解離以產生多種揮發性材料。繼續此實例,對於鈦蝕刻製程,流出的材料可包括四氟化鈦,這不意欲限制技術,鉭可能發生同樣的情況。在製程期間,此材料的一部分可沉積於腔室側壁上。藉由形成含氯電漿,可產生比四氟化物更具揮發性的兩種揮發性副產物。舉例而言,氯可轉移至鈦或鉭,同時硼接受氟。四氟化鈦及三氟化硼可為更具揮發性的產物,且均可自腔室排出。另外,在足夠的處理溫度(包括上文討論的任何溫度)下,可在不碰撞電漿的情況下執行製程,且替代地製程可包括使處理前驅物流至可執行熱反應的處理區域中。
含氟前驅物可包括選自原子氟、雙原子氟、三氟化氮、四氟化碳、氟化氫、二氟化氙及半導體處理中使用或有用的各種其他含氟前驅物的群組的至少一種前驅物。方法600中使用的例示性含氟前驅物可包括三氟化氮(NF 3)。其他來源的氟可與三氟化氮一起使用或替代三氟化氮。含氫前驅物可包括氫、烴、水、過氧化氫或包括氫的其他材料,但在一些實施例中,蝕刻操作使用的前驅物可為無氧的。前驅物亦可包括任何數量的載體氣體,其可包括氮、氦、氬,或其他稀有、惰性或有效前驅物。
作為非限制性實例,雖然三氟化氮在電漿中可容易地解離,且蝕刻包括所曝露材料中之任一者的多種材料,但在一些實施例中藉由併入含氫前驅物,在製程條件下,可調整蝕刻機制。舉例而言,在一些實施例中,鈦或鉭材料可為鈦或鉭的氧化物或氮化物,其可用三氟化氮蝕刻。舉例而言,氟可與鈦或鉭相互作用,且產生揮發性的鈦或鉭副產物,且可排出殘留的氮或氧。在許多製程中,含矽材料或上文指出的金屬可發生類似的製程。然而,本技術可藉由併入含氫前驅物來抑制此等材料的蝕刻。
不限於任何特定理論,當電漿流出物中包括提高增加的氫濃度時,氫與矽及金屬材料的相互作用可超過與鈦或鉭材料的相互作用,且可終止於此等材料的表面。舉例而言,氫可與氮化矽鍵結,作為一個非限制性實例,且可形成氫飽和膜。類似地,當曝露於有效量的氫自由基時,先前提到的金屬中之任一者(例如鎢)可具有表面鍵終止。此效應可限制或阻止氟滲入材料結構,限制或阻止材料的蝕刻,鈦或鉭材料不發生此情況,不會與過量氫發生相同的相互作用。
換言之,在一些實施例中,氫可抑制矽及金屬蝕刻,同時維持鈦及/或鉭蝕刻。隨後這樣可增加相對於其他任何曝露材料蝕刻鈦或鉭材料的選擇性。因此,在一些實施例中,可將氫前驅物與氟前驅物的流動速率比維持在大於或約1.0:1,維持在大於或約1.5:1、大於或約2.0:1、大於或約2.5:1、大於或約3.0:1、大於或約3.5:1、大於或約4.0:1、大於或約4.5:1、大於或約5.0:1、大於或約10:1或更高。
處理條件可影響且促進根據本技術的蝕刻。舉例而言,在一些實施例中,可在較低的電漿功率下產生蝕刻電漿,這樣可降低氟解離,且控制蝕刻速率。在一些實施例中,可在小於或約1,000 W的電漿功率下產生電漿,且可在小於或約900 W、小於或約800 W、小於或約700 W、小於或約600 W、小於或約500 W、小於或約400 W、小於或約300 W、小於或約200 W或更低的功率下產生電漿。另外,當處理溫度高於或約為200℃,相對於鈍化的材料,鈦或鉭材料的蝕刻速率可提高,這可表示前驅物的解離及/或活化與鈦或鉭材料的反應。當溫度繼續增加,可進一步促進解離,與鈦或鉭材料的反應亦如此。
因此,在本技術的一些實施例中,可在高於或約150℃的基板、底座及/或腔室溫度下執行蝕刻方法,且可在高於或約250℃、高於或約250℃、高於或約300℃、高於或約350℃、高於或約400℃、高於或約450℃、高於或約500℃或更高的溫度下執行蝕刻方法。亦可將溫度維持在此等範圍、此等範圍包含的更小範圍或此等範圍中之任一者之間內的任何溫度。在一些實施例中,可對具有多個所產生特徵的基板執行方法,這可產生熱預算。因此,在一些實施例中,可在低於或約為800℃的溫度下執行方法,且可在低於或約為750℃、低於或約為700℃、低於或約為650℃、低於或約為600℃、低於或約為550℃、低於或約為500℃或更低的溫度下執行方法。
腔室內的溫度亦可影響所執行的操作,以及影響鹵素自過渡金屬中解離的溫度。因此,在一些實施例中,可將壓力保持為低於約50 Torr、低於或約40 Torr、低於或約30 Torr、低於或約25 Torr、低於或約20 Torr、低於或約15 Torr、低於或約10 Torr、低於或約9 Torr、低於或約8 Torr、低於或約7 Torr、低於或約6 Torr、低於或約5 Torr、低於或約4 Torr、低於或約3 Torr、低於或約2 Torr、低於或約1 Torr、低於或約0.1 Torr或更低。亦可將壓力維持在此等範圍、此等範圍包含的更小範圍或此等範圍中之任一者之間內的任何壓力。在一些實施方式中,可將處理壓力維持在約1 Torr與約10 Torr之間,這可促進蝕刻的開始,且可促進鈦或鉭材料的蝕刻。另外,當壓力繼續增加,蝕刻可升高至一點,隨後開始減少,且最終當壓力繼續增加時停止,當壓力繼續增加時可抑制與鈦或鉭材料的相互作用,或可將副產物氟重新引入至所蝕刻的膜,進一步限制移除。因此,在一些實施例中,可在一些實施例中將處理腔室內的壓力維持在低於或約10 Torr。
進一步加大對蝕刻速度的控制,在一些實施例中含氟前驅物可為脈衝輸送的,且可在蝕刻製程中連續地或以一系列脈衝輸送含氟前驅物,該等脈衝可為持續的或隨時間變化。脈衝輸送的特徵可在於含氟前驅物流動的第一時間段,及含氟前驅物暫停或停止的第二時間段。任何脈衝操作的時間段可彼此相同或彼此不同,任一時間段都可以更長。在實施例中,可執行時間段或前驅物連續流動達大於或約為1秒,大於或約為2秒,大於或約為3秒,大於或約為4秒,大於或約為5秒,大於或約為6秒,大於或約為7秒,大於或約為8秒,大於或約為9秒,大於或約為10秒,大於或約為11秒,大於或約為12秒,大於或約為13秒,大於或約為14秒,大於或約為15秒,大於或約為20秒,大於或約為30秒,大於或約為45秒,大於或約為60秒或更長的時間段。時間亦可為此等範圍中之任一者所包含的任何更小的範圍。在一些實施例中,當輸送前驅物發生更長的時間段,蝕刻速率可增加。在此等脈衝期間,在一些實施例中,氫前驅物可持續流動。
藉由執行根據本技術的實施例的操作,可相對於包括先前所描述的材料中之任一者的其他材料而選擇性蝕刻含鈦材料或含鉭材料。舉例而言,本技術可相對於金屬的曝露區域選擇性蝕刻鈦或鉭材料,該等金屬包括鎢、鈷或銅或包括前文提到的含矽材料的介電質。本技術的實施例可按至少約20:1的速率相對於金屬或含矽材料中之任一者而蝕刻含鈦材料及/或含鉭材料,且可按大於或約25:1、大於或約30:1、大於或約50:1、大於或約100:1、大於或約150:1、大於或約200:1、大於或約250:1、大於或約300:1、大於或約350:1、大於或約400:1、大於或約450:1、大於或約500:1或更高的選擇性相對於所指出的其他曝露材料而蝕刻鈦或鉭材料。舉例而言,根據本技術之一些實施例而執行的蝕刻可蝕刻鈦及/或鉭材料,同時大體上或基本上維持上文所描述的金屬或含矽材料中之任一者。
在前文的描述中,出於解釋的目的,已闡述許多細節,以便理解本技術的各個實施例。然而,對於熟習此項技術者顯而易見的是,可在無此等細節中的一些者或存在其他細節的情況下實踐某些實施例。
雖然已揭示若干實施例,但熟習此項技術者應瞭解可在不脫離實施例精神的情況下使用修改、替代構造或等效物。另外,未描述許多已知的製程及要素,以便避免不必要地使本技術難以理解。因此,不應認為以上描述限制本技術的範疇。另外,本文按順序或按步驟描述方法或製程,但應理解可同時執行操作或按與所列出的次序不同的次序執行操作。
在提供數值範圍的情況下,應理解除非上下文另有明確規定,亦具體地揭示彼範圍的上限及下限之間的小至下限單位最小分數的每一中介值。涵蓋所說明範圍中的任何所說明值或未說明中介值與任何其他所說明或中介值之間的任何較窄的範圍。彼等較小範圍的上限及下限可獨立地包括於範圍或自範圍中排除,並且本技術亦涵蓋每一範圍(上限及下限均不包括於較小的範圍中,或其中一者或兩者均包括於較小的範圍中),其中每一範圍受到所說明範圍中的具體排除的限值的限制。在所說明範圍包括限值中的一者或兩者,亦包括排除彼等所包括限值中之一者或兩者的範圍。
如本文及所附申請專利範圍中所使用,除非上下文另有明確指出,單數形式的「一」(「a」)、「一」(「an」)及「該」包括複數的引用。由此,舉例而言,提及「一前驅物」包括複數個此類前驅物,提及「該層」包括提及熟習此項技術者已知的一或多個層及其等效物,諸如此類。
此外,當用於本說明書及以下請求項中時,字組「包含」(「comprise(s)」)、「包含」(「comprising」)、「含有」(「contain(s)」)、「含有」(「containing」)、「包括」(「include(s)」)及「包括」(「include(s)」)指明所說明特徵、整數、組件或操作的存在,但其不排除存在或添加一或多個其他特徵、整數、組件、操作、行為或群組。
100:處理系統 102:前開式晶圓傳送盒 104:機械手臂 106:低壓保持區域 108a:基板處理腔室 108b:基板處理腔室 108c:基板處理腔室 108d:基板處理腔室 108e:基板處理腔室 108f:基板處理腔室 109a:串列區段 109b:串列區段 109c:串列區段 110:第二機械手臂 200:處理系統 201:遠端電漿系統 203:冷卻板 205:氣體入口組件 210:流體供應系統 214:上板 215:第一電漿區域 216:下板 217:面板 218:容積 219:第一流體通道 220:絕緣環 221:第二流體通道 223:離子抑制器 225:噴淋頭 233:基板處理區域 240:電源 253:視圖 255:基板 258:氣體供應區域 259:孔口 265:底座 325:噴淋頭 365:貫穿孔 375:小孔 400:方法 405:操作 410:操作 415:操作 420:操作 425:操作 430:操作 500:結構 505:基板 510:金屬 515:上覆材料 520:鈦或鉭材料
可參考說明書的剩餘部分及圖式進一步理解所揭示技術的性質和優點。
第1圖展示根據本技術之一些實施例的例示性處理系統的一實施例的俯視平面圖。
第2A圖展示根據本技術之一些實施例的例示性處理腔室的示意性橫截面圖。
第2B圖展示根據本技術之一些實施例的第2A圖所示處理腔室的一部分的詳細視圖。
第3圖展示根據本技術之一些實施例的例示性噴淋頭的底視平面圖。
第4圖展示根據本技術之一些實施例的方法的例示性操作。
第5A圖至第5B圖展示根據本技術之實施例的所處理基板的橫截面圖。
圖示中的若干者為示意圖。應理解圖示係用於說明的目的,且除非明確指出為按比例的,否則不應認為其為按比例的。另外,提供作為示意圖的圖示以幫助理解,且圖示可能不包括與實際表示相比的所有態樣或資訊,且可為了說明性目的而包括額外或誇示的材料。
在附圖中,相似的組件及/或特徵可具有相同的元件符號。另外,可藉由在元件符號後添加區分相似組件的字母來區分同一類型的各個組件。如果說明書中僅使用第一元件符號,則不管字母,描述適用於具有同一第一元件符號的相似組件中的任一者。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
400:方法
405:操作
410:操作
415:操作
420:操作
425:操作
430:操作

Claims (19)

  1. 一種蝕刻方法,其包含以下步驟:使一含氟前驅物及一含氫前驅物流至一半導體處理腔室的一遠端電漿區域中,其中使該含氫前驅物以相對於該含氟前驅物的該流動速率至少2:1的一流動速率流動;形成該含氟前驅物及該含氫前驅物的一電漿以產生電漿流出物;使該等電漿流出物流至容納一基板的一基板處理區域中,其中該基板包含一鉭或鈦材料的一曝露區域及一含矽材料的一曝露區域;用該等電漿流出物接觸該基板;及相對於該含矽材料選擇性移除該鉭或鈦材料。
  2. 如請求項1所述之蝕刻方法,其中該鉭或鈦材料包含鉭或鈦金屬或鉭或鈦的氧化物或氮化物。
  3. 如請求項1所述之蝕刻方法,其中該基板進一步包含金屬的一曝露區域,該金屬包含鎢、鈷或銅。
  4. 如請求項1所述之蝕刻方法,其中將一電漿功率維持在小於或約1,000W。
  5. 如請求項1所述之蝕刻方法,其中在高於或約150℃的一溫度下執行該蝕刻方法。
  6. 如請求項1所述之蝕刻方法,其中在低於或約10Torr的一壓力下執行該蝕刻方法。
  7. 如請求項1所述之蝕刻方法,其進一步包含 以下步驟:在使該含氟前驅物流動之前執行一預處理,其中該預處理之步驟包含以下步驟:用包含氧、氫、水蒸汽或氮中之一或多者的一電漿接觸該基板。
  8. 如請求項1所述之蝕刻方法,其進一步包含以下步驟:在該蝕刻方法之後執行一後處理,其中該後處理之步驟包含以下步驟:用包含氫、氮、氧或水蒸汽中之一或多者的一電漿接觸該基板。
  9. 如請求項1所述之蝕刻方法,其進一步包含以下步驟:自該半導體處理腔室移除該基板,且自該半導體處理腔室的腔室壁移除一殘留物。
  10. 如請求項9所述之蝕刻方法,其中移除該殘留物之步驟包含以下步驟:提供一含氯前驅物或電漿流出物或一含溴前驅物或電漿流出物。
  11. 一種蝕刻方法,其包含以下步驟:形成包含氧、氫或氮中之一或多者的一處理前驅物的一電漿,以產生處理電漿流出物;使該等處理電漿流出物流至一半導體處理腔室的一基板處理區域中;用該等處理電漿流出物接觸該基板處理區域中容納的一基板,其中該基板界定一鉭或鈦材料的一曝露區域及 一含矽材料的一曝露區域;自該鉭或鈦材料的一表面移除一含碳材料;形成一含氟前驅物及一含氫前驅物的電漿,其中使該含氫前驅物以相對於該含氟前驅物更大或約2:1的一速率流動;用電漿流出物接觸該基板;及相對於該含矽材料移除該鉭或鈦材料。
  12. 如請求項11所述之蝕刻方法,其中將形成該含氟前驅物的一電漿期間的一電漿功率維持在小於或約1,000W。
  13. 如請求項11所述之蝕刻方法,其中在高於或約300℃的一溫度下執行該蝕刻方法。
  14. 如請求項11所述之蝕刻方法,其中在低於或約10Torr的一壓力下執行該蝕刻方法。
  15. 如請求項11所述之蝕刻方法,其進一步包含以下步驟:在該蝕刻方法之後執行一後處理,其中該後處理之步驟包含以下步驟:用包含氫的一電漿接觸該基板。
  16. 如請求項11所述之蝕刻方法,其進一步包含以下步驟:自該半導體處理腔室移除該基板,及自該半導體處理腔室的腔室壁移除一殘留物。
  17. 一種蝕刻方法,其包含以下步驟:使一含氟前驅物及一含氫前驅物流至一半導體處理腔 室的一遠端電漿區域中,其中使該含氫前驅物以相對於該含氟前驅物的該流動速率至少2:1的一流動速率流動;形成該含氟前驅物及該含氫前驅物的一電漿以產生電漿流出物;使該等電漿流出物流至容納一基板的一基板處理區域中,其中該基板包含一鉭或鈦材料的一曝露區域及一含矽材料的一曝露區域;用該等電漿流出物接觸該基板;相對於該含矽材料選擇性移除該鉭或鈦材料;形成包含氫的一處理前驅物的一電漿,以產生處理電漿流出物;及用該等處理電漿流出物接觸該基板。
  18. 如請求項17所述之蝕刻方法,其中該等處理電漿流出物經配置以自該基板或該半導體處理腔室中之一或多者移除殘留的氟。
  19. 如請求項17所述之蝕刻方法,其進一步包含以下步驟:自該半導體處理腔室移除該基板,及用一含氯前驅物自該半導體處理腔室的腔室壁移除一殘留物。
TW110133301A 2020-09-11 2021-09-08 用於選擇性金屬化合物移除之系統及方法 TWI785783B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/018,206 US11769671B2 (en) 2020-09-11 2020-09-11 Systems and methods for selective metal compound removal
US17/018,206 2020-09-11

Publications (2)

Publication Number Publication Date
TW202226366A TW202226366A (zh) 2022-07-01
TWI785783B true TWI785783B (zh) 2022-12-01

Family

ID=80627059

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110133301A TWI785783B (zh) 2020-09-11 2021-09-08 用於選擇性金屬化合物移除之系統及方法

Country Status (6)

Country Link
US (2) US11769671B2 (zh)
JP (1) JP2023531127A (zh)
KR (1) KR20220154798A (zh)
CN (1) CN115485819A (zh)
TW (1) TWI785783B (zh)
WO (1) WO2022055900A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20240038557A1 (en) * 2022-07-28 2024-02-01 Applied Materials, Inc. Methods and apparatus for processing a substrate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050215445A1 (en) * 2002-07-29 2005-09-29 Mohamed Boumerzoug Methods for residue removal and corrosion prevention in a post-metal etch process
US20140179111A1 (en) * 2012-12-21 2014-06-26 Applied Materials, Inc. Selective titanium nitride etching
TW201430944A (zh) * 2012-12-18 2014-08-01 Applied Materials Inc 非原地的電漿氧化物蝕刻
US20140308816A1 (en) * 2011-10-07 2014-10-16 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US20150206764A1 (en) * 2014-01-17 2015-07-23 Applied Materials, Inc. Titanium oxide etch
US9275834B1 (en) * 2015-02-20 2016-03-01 Applied Materials, Inc. Selective titanium nitride etch
US20180358220A1 (en) * 2017-06-09 2018-12-13 Lam Research Corporation Metal doped carbon based hard mask removal in semiconductor fabrication

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9064816B2 (en) * 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9040422B2 (en) * 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US11328909B2 (en) * 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050215445A1 (en) * 2002-07-29 2005-09-29 Mohamed Boumerzoug Methods for residue removal and corrosion prevention in a post-metal etch process
US20140308816A1 (en) * 2011-10-07 2014-10-16 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
TW201430944A (zh) * 2012-12-18 2014-08-01 Applied Materials Inc 非原地的電漿氧化物蝕刻
US20140179111A1 (en) * 2012-12-21 2014-06-26 Applied Materials, Inc. Selective titanium nitride etching
US20150206764A1 (en) * 2014-01-17 2015-07-23 Applied Materials, Inc. Titanium oxide etch
US9275834B1 (en) * 2015-02-20 2016-03-01 Applied Materials, Inc. Selective titanium nitride etch
US20180358220A1 (en) * 2017-06-09 2018-12-13 Lam Research Corporation Metal doped carbon based hard mask removal in semiconductor fabrication

Also Published As

Publication number Publication date
US20220084832A1 (en) 2022-03-17
US20220351979A1 (en) 2022-11-03
WO2022055900A1 (en) 2022-03-17
US11769671B2 (en) 2023-09-26
KR20220154798A (ko) 2022-11-22
CN115485819A (zh) 2022-12-16
TW202226366A (zh) 2022-07-01
JP2023531127A (ja) 2023-07-21

Similar Documents

Publication Publication Date Title
TWI778793B (zh) 用於高深寬比結構之移除方法
TWI781757B (zh) 用於移除含鋁薄膜之系統及方法
JP7401593B2 (ja) 空隙を形成するためのシステム及び方法
US11121002B2 (en) Systems and methods for etching metals and metal derivatives
TWI785783B (zh) 用於選擇性金屬化合物移除之系統及方法
TWI823251B (zh) 用於移除含氮化物膜的系統及方法
US11682560B2 (en) Systems and methods for hafnium-containing film removal
US11328909B2 (en) Chamber conditioning and removal processes
US10256112B1 (en) Selective tungsten removal
TWI837885B (zh) 高深寬比特徵中的金屬沉積及蝕刻
US11984325B2 (en) Selective removal of transition metal nitride materials