CN101542693A - 干式光阻剥除方法及设备 - Google Patents

干式光阻剥除方法及设备 Download PDF

Info

Publication number
CN101542693A
CN101542693A CNA2007800441125A CN200780044112A CN101542693A CN 101542693 A CN101542693 A CN 101542693A CN A2007800441125 A CNA2007800441125 A CN A2007800441125A CN 200780044112 A CN200780044112 A CN 200780044112A CN 101542693 A CN101542693 A CN 101542693A
Authority
CN
China
Prior art keywords
chamber
base material
photoresistance
outer shell
photoresist layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2007800441125A
Other languages
English (en)
Inventor
塞奥-米·乔
马耶德·A·福阿德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101542693A publication Critical patent/CN101542693A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Abstract

本发明提出一种从一基材剥除光阻的处理方法。本发明也提出一种将一掺杂物注入一膜堆栈的一层中、剥除该经注入的膜堆栈以及退火处理该经剥除的膜堆栈的处理系统。当将高浓度的掺杂物注入一光阻层时,会在该光阻层表面上形成一不易移除的外壳层。本发明所述的方法可有效地移除表面具有上述的外壳层的光阻层。

Description

干式光阻剥除方法及设备
技术领域
本发明的实施例大致是涉及从基材(substrate)剥除光阻的方法与实施该方法的设备。本发明的实施例也涉及注入离子与剥除光阻的系统。
背景技术
集成电路可包括超过一百万个微电子场效晶体管(例如,互补式金氧半导体(complementary metal-oxide-semiconductor,CMOS)场效晶体管)形成在一基材(例如,半导体晶片)上并合作在电路中执行不同功能。电路制造中,光阻胶(photoresist)可经沉积、曝光与显影以产生一掩膜(mask)来蚀刻下层。
为了产生集成电路,须将离子注入集成电路的不同部分。离子注入过程中,晶片受到一束带电离子(称为掺杂物)的轰击。注入可改变注入掺杂物的材料的特性,主要是用来达成特定的电子性能。这些掺杂物是经加速至可允许它们穿透(即,注入)该膜至所需的深度的能量。注入过程中,离子可注入光阻层中并导致坚硬、外壳状(crust-like)层形成于光阻胶的表面。利用传统的去胶工艺(stripping process)难以移除该外壳层。再者,若未移除外壳层或下层光阻胶的话,残余的阻胶在接下来的工艺步骤会成为污染物。
因此,需要剥除(strip)光阻的改良方法。
发明内容
本发明大致上包括一从基材剥除光阻的处理方法。本发明也包括将掺杂物注入集成电路并接着在注入步骤中剥除存在的光阻的处理系统。可藉由将光阻暴露在水蒸气、以及氟气与氧气中至少一个和氢气的等离子体形式而有效地剥除光阻与外壳(若有的话)。接着可执行退火。藉由在相同的处理系统中进行注入、剥除与退火,可减少氧化作用并提高基材产量。因为注入室内可能残留一部分的掺杂物且该掺杂物可用于接下来的光阻注入而提高基材产量。残留在注入室内的那部分掺杂物可减少执行下一基材注入所需的时间。
一实施例中,光阻剥除方法包括将其上具有光阻层的一基材置于腔室内;在远程等离子体源中从氟气与氧气中至少一个以及氢气而形成一等离子体;将来自远程等离子体源的等离子体与水蒸气导入腔室内;并从基材上剥除光阻。
另一实施例中,光阻剥除方法包括将一基材置于处理室中,该基材其上具有一光阻层;将一或更多离子注入位于该光阻与该基材之间的一层;该注入在该光阻层的至少一部分中形成一外壳层;在一远程等离子体源中点燃(igniting)一等离子体并将该外壳层暴露于等离子体中;暴露该外壳层于水蒸气中;并移除该外壳层与光阻层。
另一实施例中,提供在相同的处理系统中注入、剥除与退火的处理系统。处理系统的一处理室是适以执行剥除处理,该剥除处理包括将光阻暴露于水蒸气与一等离子体(由氟气与氧气中至少一个以及氢气所形成)。比起传统工艺较有利的是,可减少基材的氧化并提高基材的产量。
另一实施例中,提出一种注入的处理系统,该系统包括一传送室;一注入室,耦接至该传送室;一剥除室,耦接至该传送室;一退火室,耦接至该传送室;一工厂接合部(factory interface),耦接至该传送室;以及一或更多个前开式整合盒(Front Opening Unified Pod,FOUP),耦接至工厂接合部。
附图说明
为了详细地了解本发明上述的特征,本发明更明确的描述(简短地总结于上)可参照许多实施例(某些描述于附图中)。然而,由于本发明容许其它等效的实施例,可以理解附图仅描述本发明的典型实施例且因此不应视为本发明范围的限制。
图1是根据本发明的一实施例的剥除室的剖面图。
图2是具有外壳层形成于其上的一结构的剖面图。
图3是根据本发明的一实施例的剥除处理流程图。
图4是根据本发明的处理系统的概略平面图。
图5是可执行在图4中根据本发明的系统的不同处理的流程图。
为了加速理解,可使用相同的附图标记代表图式共有的相同组件。可以理解可有利地将一实施例的组件与特征并入其它实施例中而不需进一步列举。
然而,需要注意附图仅描述本发明的示范性实施例,由于本发明容许其它等效的实施例,因此不视为对本发明的范围的限制。
【主要附图标记说明】
100 反应室            102 处理室
104 基材底座          106 远程等离子体源
108 控制器            110 第一部分
112 第二部分          114 真空泵
116 侧壁              118 盖件
120 喷头              122 混合容积
124 反应容积          126 基材
128 气体充填式灯泡    130 嵌入式电阻加热器
132 导管              134 来源
136 排出口            138 节流阀
140 支持系统          142 远程等离子体室
144 气体面板          146 电源
148 射频产生器        150 调谐组件
152 应用器            160 接地参考点
162 等离子体          166 导管
168 入口              170 开口
196 偏压功率源(bias power source)  198 电极
200 工件              202 基材
204 光阻层            206 外壳部分
208 膜堆栈            300、500 过程;
步骤:
400 处理系统          402 传送室
404A、404B、404C  处理室
406 机器装置          408 前开式整合盒
410 负载锁定室        412 工厂接合部
具体实施方式
本发明大致上包括从位于基材上的膜堆栈剥除光阻的处理方法。本发明也包括将一掺杂物注入膜堆栈的一层中并随后剥除位于膜堆栈上的光阻层的处理系统。当将高浓度的掺杂物注入光阻内时,可在光阻层上形成一外壳层。因为光阻在注入过程中丧失氢而形成外壳层。光阻层表面丧失氢可促进碳的键结而产生坚硬、石墨状外壳。可利用水蒸气与一等离子体(由氟气与氧气的至少一个以及氢气所形成)从基材上有效地剥除光阻(包括外壳)。接着可退火处理经剥除的膜堆栈。藉由在单一处理系统进行注入、剥除与退火处理,可避免膜堆栈的氧化并同时提供高的基材产量。注入室内可能残留一部分的掺杂物且该掺杂物可用于接下来的光阻注入因而提高基材产量。残留在注入室内的那部分掺杂物可减少执行下一基材注入所需的时间。
图1是根据本发明一实施例的剥除室100的概略图。适当剥除室或灰化反应室的实例是详细描述于2002年10月4日申请号10/264,664的美国专利申请案以及2005年7月29日申请号11/192,989的美国专利申请案,在此以参考数据的方式并入本文中。反应室100的显著特征简短地描述于下。
反应室100包括一处理室102、一远程等离子体源106与一控制器108。处理室102通常为一真空容器,其包括一第一部分110与一第二部分112。一实施例中,第一部分110包括一基材底座104、一侧壁116与一真空泵114。第二部分112包括一盖件118与一气体分配板(喷头)120,该分配板界定一气体混合容积122与一反应容积124。盖件118与侧壁116通常是由一金属(例如,铝(Al)、不锈钢等等)所形成并电性耦接于一接地参考点(ground reference)160。
基材底座104支撑反应容积124内的一基材(晶片)。一实施例中,基材底座104可包括一辐射热能来源(诸如,气体充填式灯泡128以及嵌入式电阻加热器130)与导管132。导管132经由底座104的晶片支撑面中的沟槽(未显示)从来源134提供气体(例如,氦)给基材126的背侧。气体可促进支撑底座104与晶片126之间的热交换。底座104可包括一耦接于偏压功率源196的电极198以在处理期间对基材126进行偏压。
真空泵114是耦接至形成于处理室102的侧壁116中的排出口136。真空泵114是用来在处理室102中维持所需的气压以及从处理室102排出处理后气体与其它挥发性化合物。一实施例中,真空泵114包括一节流阀138以控制处理室102中的气压。
处理室102也包括用以固定与释放基材126、侦测处理终点、内部检测等等的传统系统。上述的系统共同称为支持系统140。
远程等离子体源106包括一电源146、一气体面板144与一远程等离子体室142。一实施例中,电源146包括一射频(radio-frequency,RF)产生器148、一调谐组件(tuning assembly)150与一应用器(applicator)152。射频产生器148能够在频率约200kHz至700kHz下产生约200W至5000W。应用器152是感应地耦接至远程等离子体室142并可能量化气体面板144所提供的处理气体(或气体混合物)以形成等离子体162,该等离子体是通过处理室内的喷头120传送至反应容积124。一实施例中,远程等离子体室142具有一环形(toroidal)几何形状,可局限等离子体并促进自由基形式的有效产生以及降低等离子体的电子温度。其它实施例中,远程等离子体源106可为一微波等离子体源。又其它实施例中,反应容积124内形成的等离子体可通过感应式或电容耦合加以形成。
气体面板144利用一导管166来传送处理气体至远程等离子体室142。气体面板144(或导管166)包括诸如质量流量控制器与关闭阀等构件(未显示)以控制供应给等离子体室142的各个气体的气压与流速。远程等离子体室142中,处理气体是经离子化与解离以形成反应种(reactive species)。
反应种是通过形成于盖件118中的入口168导入混合容积122。为了使充电等离子体对晶片126上的组件的伤害达到最小,在气体通过喷头120中复数个开口170到达反应容积124前,处理气体的离子种大致上在混合容积122内受到中和。
图2是一工件200的剖面图,该工件包括其上具有膜堆栈208与光阻层204的基材202。一般显示的膜堆栈208是指位于基材202与光阻层204之间的一或多层。光阻层204可具有一外壳部分206。光阻层204在注入过程中暴露于诸如磷、砷或硼的掺杂物因而在光阻层204上形成外壳部分。
注入处理会导致光阻表面损失氢。因为氢的损失,形成碳-碳键结并造成厚的碳化外壳层。对于非常高剂量的掺杂物(即,约1×1015)与相对低能量的注入来说,外壳层可能含有高浓度的掺杂物。一实施例中,掺杂物包括硼。另一实施例中,掺杂物包括砷。又另一实施例中,掺杂物包括磷。标准光阻的图式(representation)与外壳层的图式显示如下。
Figure A20078004411200101
因为外壳层包括诸如硼、磷或砷的掺杂物,包含氧的传统剥除方法的移除不足以有效地移除外壳层206与光阻层204。
剥除过程
图3是根据本发明的一实施例的剥除过程300的流程图。过程300藉由将工件200导入腔室100而开始于步骤302。步骤304中,将剥除气体导入远程等离子体源142。步骤306中,将等离子体由远程等离子体源142导入腔室100。步骤308中,藉由剥除方式从工件200上移除光阻层204,包括任何假若存在的外壳层206。
剥除过程中,发生下列化学反应:
-CH2 -+3O3→3O2+CO2+H2O
-CH2+2OH→CO2+2H2
适当的剥除气体包括氢、臭氧、氧、氟与水蒸气。一实施例中,可提供氢、氧、水蒸气与氟。提供的氧的数量可能由于安全考虑而受到限制,且在一实施例中,可通过使用足够的氟而加以排除。
氢、氟与氧气是由气体面板提供给远程等离子体源。另一方面,可藉由远程蒸发水分而产生水蒸气并接着直接或藉由气体面板搭配其它气体而提供给处理室。维持水蒸气高于水的沸点。
一实施例中,可提供每分钟约500sccm至约10升的氢给腔室。另一实施例中,所提供的氢的数量约每分钟7升。对于水蒸气来说,可提供每分钟约50sccm至约5升的量给腔室。另一实施例中,可提供约90sccm的水蒸气给腔室。又另一实施例中,可提供350sccm的水蒸气给腔室。对于氟来说,可提供约500sccm的量给腔室。一实施例中,可提供约250sccm的氟给腔室。对于氧来说,可提供约0sccm至约500sccm的量给腔室。一实施例中,可提供200sccm的氧给腔室。
可提供射频功率给远程等离子体源以引发等离子体。射频功率约5kW。为了开始剥除而将等离子体提供给处理室。一实施例中,压力高达8Torr。另一实施例中,压力是约2Torr至约5Torr。基材温度是约室温至约350℃。另一实施例中,温度是约80℃至约200℃。又另一实施例中,基材温度可能为120℃。又另一实施例中,基材温度可能为220℃。若基材温度高于约350℃,则开始燃烧光阻。
一实施例中,可提供射频偏压给剥除室。射频偏压有助于打破经注入的光阻与外壳层。射频偏压可额外地提供一微蚀(soft etching)并有助于从基材移除任何残余物。射频偏压的强度越高,光阻与外壳移除将会越具侵略性。再者,射频偏压越高,基材受损的几率越高。
可使从基材剥除光阻与外壳层的处理条件达到最佳化以改善移除速率。举例来说,较高剂量比例的注入(即,高于约1×1016)的外壳层相当厚。藉由调整氢、氟与水蒸气的数量,可使光阻与外壳层的移除速率达到最佳。当下面讨论有关硼注入光阻时,可预期砷注入光阻与磷注入光阻具有相似的结果。
实施例1
通过远程等离子体提供每分钟7升的氢搭配90sccm的水蒸气给一处理室以移除硼注入光阻。以每分钟3000埃的速率移除硼注入光阻与外壳层。
实施例2
通过远程等离子体源提供每分钟7升的氢搭配2900sccm的水蒸气给一处理室以移除硼注入光阻。将基材维持在120℃,且将腔室压力维持在2Torr。以每分钟300埃的速率移除硼注入光阻与外壳层。
实施例3
通过远程等离子体源提供250sccm的CF4与5000sccm的O2搭配350sccm的水蒸气给一处理室以移除硼注入光阻。将基材维持在220℃。在60秒内完全移除硼注入光阻与外壳层。
比较实施例
将传统的氧剥除方法用在具有含硼外壳层的光阻上。由于移除速率约每分钟0埃的关系,该处理无法疑除光阻与外壳层。
图4是根据本发明的一处理系统400的概略平面图。图4所示的实施例中,处理系统400包括一中心传送室402,由三个处理室404A-C所包围。工厂接合部412藉由一负载锁定室410耦接至传送室402。在工厂接合部412中配置一或更多个前开式整合盒408以储存基材。将一机器装置406配置于中心传送室402中以助于在处理室404A-C与负载锁定室410之间传送基材。可从前开式整合盒408通过负载锁定室410将基材提供给系统400的处理室404A-C且通过负载锁定室410从系统400移除至前开式整合盒408。
各个处理室404A-B是适以执行基材处理中的不同步骤。举例来说,处理室404A是将掺杂物注入工件的注入室。示范性的注入室为室,可从Applied Materials,Inc(Santa Clara,California)取得,详述于2006年12月8日申请号11/608,357的美国专利案中,在此将其全文以参考数据并入本文中。可以理解也可使用其它适当的注入室,包括其它制造商生产的那些腔室。
腔室404B是适以作为一剥除室并是用来从工件上剥除光阻与外壳层。示范性的剥除室404B是如图1中所描述的反应室100。也可从Applied Materials,Inc取得适当的湿式剥除室。可以理解也可使用其它适当的注入室,包括其它制造商生产的那些腔室。
处理室404C是一退火室,是用以对剥除之后的工件进行退火处理。可以应用的示范性退火室是快速热处理室,可从Applied Materials,Inc取得,其是详述于美国专利编号7,018,941中,在此将其全文以参考数据并入本文中。可以理解也可使用其它适当的注入室,包括其它制造商生产的那些腔室。
藉由在单一处理工具中提供注入、剥除与退火室,可提高基材产量。首先将掺杂物注入基材以处理基材。接着,从经注入的基材上剥除光阻。最后,退火处理经剥除的基材。
将所有三个处理室404配置于相同的群组工具设备400也可提高产量与节省金钱。藉由不打破处理步骤之间的真空,可维持真空并因此减少腔室运转之间的停工期。再者,对于注入室来说,当下一基材进行处理时,注入步骤所需的高达约30%的必须掺杂物已经存在于注入室中。未使用的掺杂物可残留于注入室中并至少使注入室部分饱和。由于处理开始的时间点上注入室中已经具有掺杂物,可较快地处理光阻并提供较少的掺杂物气体。
图5是利用图4的处理系统或其它适当系统而执行的过程500的流程图。过程500开始于步骤502,其中是利用例如2006年12月8日申请号11/608,357的美国专利申请案所述的方法而在腔室404A中注入膜堆栈的一层。步骤504中,利用方法300或其它适当方法在腔室404B中剥除注入期间内位于膜堆栈上的光阻层。步骤506中,如美国专利号7,018,941所述的退火处理经剥除的膜堆栈。
藉由利用氢、水蒸气、氟与氧,可有效地且效率高地从基材剥除形成于其上的光阻与外壳层。将一注入室与一或更多个退火室与剥除室并入单一群组工具中可提高基材产量并减少花费。
虽然上述是有关于本发明的实施例,但可在不悖离本发明基本范围的情况下设计本发明其它与进一步的实施例,其中本发明的范围是由下述的权利要求书所界定。

Claims (20)

1、一种光阻剥除方法,其至少包含:
将一其上具有一光阻层的基材置于一剥除室中;
在一远程等离子体源中由氟气与氧气的至少一个以及氢气形成一等离子体;
将来自该远程等离子体源的等离子体与水蒸气导入该室中;以及
从该基材剥除该光阻。
2、如权利要求1所述的方法,其中该光阻层在剥除前是暴露于一注入处理。
3、如权利要求1所述的方法,还包括:
退火处理该经剥除的基材。
4、如权利要求1所述的方法,还包括:
将该具有该光阻的基材置于一注入室中,将离子注入位于该基材与该光阻层之间的一层中,并在该光阻上形成一外壳层;
从该注入室传送该基材;
将该基材从该剥除室传送至一退火室;以及
退火处理该基材。
5、如权利要求4所述的方法,其中该离子是选自下列所构成的群组:硼、磷、砷及其组合物。
6、如权利要求4所述的方法,其中该外壳层包括由两个碳-碳单键结合在一起的两个芳香环。
7、如权利要求1项所述的方法,其中该剥除步骤包括将该光阻转换成氧双原子、二氧化碳、水与氢双原子。
8、如权利要求1所述的方法,其中该剥除步骤还包括以一射频电流对该基材施加偏压。
9、一种光阻剥除方法,其至少包括:
将一基材置于一处理室中,其中该基材其上具有一光阻层;
将一或更多离子注入位于该光阻层与该基材之间的一层中,该注入步骤可在该光阻层的至少一部分上形成一外壳层;
在一远程等离子体源点燃(ignite)一等离子体并将该外壳层暴露于该等离子体中;
将该外壳层暴露于水蒸气中;以及
移除该外壳层与该光阻层。
10、如权利要求9所述的方法,其中该外壳层包括由两个碳-碳单键结合在一起的两个芳香环。
11、如权利要求9所述的方法,其中该被注入的离子包括硼且该等离子体是藉由使氢气流过该远程等离子体源而点燃。
12、如权利要求11所述的方法,其中该水蒸气的流速是介于约80sccm至约100sccm之间。
13、如权利要求11所述的方法,其中该水蒸气的流速是介于约2800sccm至约3000sccm之间。
14、如权利要求9所述的方法,其中该被注入的离子包括硼且该等离子体是藉由使四氟化碳与氧流过该远程等离子体源而点燃。
15、如权利要求14所述的方法,其中该四氟化碳的流速是介于约225sccm至约275sccm之间、该氧的流速是介于约4900sccm至约5100sccm之间且该水蒸气的流速是介于约325sccm至约375sccm之间。
16、如权利要求9所述的方法,其中该离子是选自下列所构成的群组:硼、磷、砷及其组合物。
17、如权利要求9所述的方法,其中该剥除步骤包括将该光阻转换成氧双原子、二氧化碳、水与氢双原子。
18、如权利要求9所述的方法,还包括退火处理该基材。
19、一种处理系统,其至少包括:
一传送室;
一注入室,耦接至该传送室;
一剥除室,耦接至该传送室;
一退火室,耦接至该传送室;
一工厂接合部,耦接至该传送室;以及
或更多个前开式整合盒,耦接至工厂接合部。
20、如权利要求19所述的系统,其中该剥除室包括一远程等离子体源与其耦接。
CNA2007800441125A 2006-12-11 2007-12-10 干式光阻剥除方法及设备 Pending CN101542693A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US86955406P 2006-12-11 2006-12-11
US60/869,554 2006-12-11

Publications (1)

Publication Number Publication Date
CN101542693A true CN101542693A (zh) 2009-09-23

Family

ID=39512438

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2007800441125A Pending CN101542693A (zh) 2006-12-11 2007-12-10 干式光阻剥除方法及设备

Country Status (6)

Country Link
US (1) US20080153306A1 (zh)
JP (1) JP2010512650A (zh)
KR (1) KR20090094368A (zh)
CN (1) CN101542693A (zh)
TW (1) TW200834265A (zh)
WO (1) WO2008073906A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101898391A (zh) * 2010-08-19 2010-12-01 英利能源(中国)有限公司 硅块脱胶系统和脱胶方法
CN109698126A (zh) * 2018-12-24 2019-04-30 上海华力集成电路制造有限公司 改善硅针孔缺陷的方法

Families Citing this family (147)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7758763B2 (en) * 2006-10-31 2010-07-20 Applied Materials, Inc. Plasma for resist removal and facet control of underlying features
JP5508701B2 (ja) * 2008-08-28 2014-06-04 岩谷産業株式会社 半導体処理装置及び処理方法
US8043434B2 (en) * 2008-10-23 2011-10-25 Lam Research Corporation Method and apparatus for removing photoresist
US8273259B1 (en) * 2009-01-17 2012-09-25 Novellus Systems, Inc. Ashing method
WO2011008436A2 (en) * 2009-07-13 2011-01-20 Applied Materials, Inc. Method for removing implanted photo resist from hard disk drive substrates
US8673162B2 (en) * 2009-12-10 2014-03-18 Applied Materials, Inc. Methods for substrate surface planarization during magnetic patterning by plasma immersion ion implantation
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) * 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US20120285621A1 (en) * 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9520301B2 (en) 2014-10-21 2016-12-13 Samsung Electronics Co., Ltd. Etching method using plasma, and method of fabricating semiconductor device including the etching method
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6267090A (ja) * 1985-09-19 1987-03-26 Shin Etsu Chem Co Ltd 4−クロロメチルフエニルメチルジクロロシラン
JP2724165B2 (ja) * 1987-08-28 1998-03-09 株式会社東芝 有機化合物膜の除去方法及び除去装置
JPH02114525A (ja) * 1988-10-24 1990-04-26 Toshiba Corp 有機化合物膜の除去方法及び除去装置
JP2827527B2 (ja) * 1990-03-05 1998-11-25 日本電気株式会社 フォトレジスト除去装置
JPH04171918A (ja) * 1990-11-06 1992-06-19 Fujitsu Ltd 半導体装置の製造方法
JPH05217957A (ja) * 1991-12-11 1993-08-27 Toshiba Corp 有機化合物膜の除去方法
KR100362599B1 (ko) * 1994-02-03 2003-02-11 어플라이드 머티어리얼스, 인코포레이티드 반도체 기판의 스트립핑,패시베이션 및 부식 억제 방법
JPH08186098A (ja) * 1994-12-27 1996-07-16 Ryoden Semiconductor Syst Eng Kk 感光性樹脂の除去方法および除去装置
JPH08306670A (ja) * 1995-05-09 1996-11-22 Sony Corp プラズマアッシング装置
EP0940846A1 (en) * 1998-03-06 1999-09-08 Interuniversitair Micro-Elektronica Centrum Vzw Method for stripping ion implanted photoresist layer
US6767698B2 (en) * 1999-09-29 2004-07-27 Tokyo Electron Limited High speed stripping for damaged photoresist
US6805139B1 (en) * 1999-10-20 2004-10-19 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6576564B2 (en) * 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
JP3893939B2 (ja) * 2001-10-26 2007-03-14 セイコーエプソン株式会社 レジスト剥離装置、レジスト剥離方法、半導体装置の製造方法
US6848455B1 (en) * 2002-04-22 2005-02-01 Novellus Systems, Inc. Method and apparatus for removing photoresist and post-etch residue from semiconductor substrates by in-situ generation of oxidizing species
US6597964B1 (en) * 2002-05-08 2003-07-22 Taiwan Semiconductor Manufacturing Co., Ltd Thermocoupled lift pin system for etching chamber
US20070051471A1 (en) * 2002-10-04 2007-03-08 Applied Materials, Inc. Methods and apparatus for stripping
JP2005150460A (ja) * 2003-11-17 2005-06-09 Shimada Phys & Chem Ind Co Ltd レジスト除去装置およびレジスト除去方法
US20050158667A1 (en) * 2004-01-20 2005-07-21 Applied Materials, Inc. Solvent free photoresist strip and residue removal processing for post etching of low-k films
JP2006156486A (ja) * 2004-11-25 2006-06-15 Tokyo Electron Ltd 基板処理方法および半導体装置の製造方法
US20060128160A1 (en) * 2004-12-10 2006-06-15 Yoo Woo S Photoresist strip using solvent vapor
JP4413880B2 (ja) * 2006-03-17 2010-02-10 パナソニック株式会社 半導体装置の製造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101898391A (zh) * 2010-08-19 2010-12-01 英利能源(中国)有限公司 硅块脱胶系统和脱胶方法
CN101898391B (zh) * 2010-08-19 2012-05-02 英利能源(中国)有限公司 硅块脱胶系统和脱胶方法
CN109698126A (zh) * 2018-12-24 2019-04-30 上海华力集成电路制造有限公司 改善硅针孔缺陷的方法

Also Published As

Publication number Publication date
JP2010512650A (ja) 2010-04-22
KR20090094368A (ko) 2009-09-04
US20080153306A1 (en) 2008-06-26
TW200834265A (en) 2008-08-16
WO2008073906A3 (en) 2008-09-12
WO2008073906A2 (en) 2008-06-19

Similar Documents

Publication Publication Date Title
CN101542693A (zh) 干式光阻剥除方法及设备
KR102273916B1 (ko) 반도체 패터닝 애플리케이션들을 위한 도핑된 ald 막들
US7935643B2 (en) Stress management for tensile films
KR101853802B1 (ko) 라디칼­성분 cvd에 의한 컨포멀 층들
CN101903981A (zh) 从基板移除表面掺杂
TWI355690B (en) A method for depositing and curing low-k films for
US8741788B2 (en) Formation of silicon oxide using non-carbon flowable CVD processes
US20130288485A1 (en) Densification for flowable films
KR20210143706A (ko) 평탄한 실리콘막들의 pecvd 성막
US8318584B2 (en) Oxide-rich liner layer for flowable CVD gapfill
US20170148628A1 (en) Plasma activated conformal dielectric film deposition
KR101975071B1 (ko) 플라즈마 활성화된 컨포멀 유전체 막 증착
EP2483906B1 (en) Method for ion source component cleaning
TWI389251B (zh) 處理薄膜之方法
CN101903984B (zh) 利用等离子体清洁处理形成钝化层以降低自然氧化物生长的方法
CN102955381B (zh) 用于改善的器件集成的光刻胶剥离方法
CN106952799A (zh) 使用基于等离子体的工艺消除氟残余物的系统和方法
TW408363B (en) Systems and methods for high temperature processing of semiconductor wafers
CN101167165B (zh) 增加pecvd氮化硅膜层的压缩应力的方法
KR20120106970A (ko) 고이동성 모놀리식 p-i-n 다이오드
TW382745B (en) Ashing method
KR20120111738A (ko) 융통성을 가진 질소/수소 비율을 이용하여 제조된 라디칼에 의한 유전체 필름의 성장
KR20120094490A (ko) 비­탄소 유동성 cvd 필름의 경화
WO2011126748A2 (en) Depositing conformal boron nitride films
CN107833825A (zh) 掺杂氧的碳化硅膜的基于远程等离子体的沉积

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20090923