JP2010512650A - 乾燥フォトレジスト除去プロセスと装置 - Google Patents

乾燥フォトレジスト除去プロセスと装置 Download PDF

Info

Publication number
JP2010512650A
JP2010512650A JP2009540518A JP2009540518A JP2010512650A JP 2010512650 A JP2010512650 A JP 2010512650A JP 2009540518 A JP2009540518 A JP 2009540518A JP 2009540518 A JP2009540518 A JP 2009540518A JP 2010512650 A JP2010512650 A JP 2010512650A
Authority
JP
Japan
Prior art keywords
chamber
substrate
photoresist
layer
removal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2009540518A
Other languages
English (en)
Other versions
JP2010512650A5 (ja
Inventor
ソン−ミ チョー,
マジード, エー. フォード,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2010512650A publication Critical patent/JP2010512650A/ja
Publication of JP2010512650A5 publication Critical patent/JP2010512650A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

【課題】 基板からフォトレジストを除去する方法を提供する。
【解決手段】 積層膜の層にドーパントを注入し、除去された積層膜をアニールし、且つ注入された積層膜を除去する処理システムを提供する。高いドーパント濃度がフォトレジスト層に注入される場合、容易に除去されないことになるフォトレジスト層の表面上にクラスト層が形成する可能性がある。本明細書に記載される方法は、その表面上にこのようなクラストを持つフォトレジスト層を除去するのに効果がある。
【選択図】 図4

Description

発明の背景
発明の分野
[0001]本発明の実施形態は、一般的には、基板からフォトレジストを除去する方法とその実施のための装置に関する。本発明の実施形態は、また、イオンを注入し、且つフォトレジストを除去するシステムに関する。
関連技術の説明
[0002]集積回路は、基板(例えば、半導体ウエハ)上に形成される百万を超えるマイクロ電界効果型トランジスタ(例えば、相補型金属酸化物半導体(CMOS)電界効果トランジスタ)を含み、回路内で様々な機能を行うように協調することができるものである。回路製造中、フォトレジストを堆積させ、露光し、現像して、下に横たわる層をエッチングするのに用いられるマスクを生成させる。
[0003]集積回路を製造するために、集積回路の様々な部分にイオンを注入する必要がある場合がある。イオンの注入中、ウエハは、ドーパントと呼ばれる電気的に荷電されたイオンのビームによって衝撃が与えられる。注入は、材料の特性を変化させ、ドーパントは主に特定の電気的性能を達成するように注入される。これらのドーパントは、それらを望ましい深さまで膜に侵入(注入)させることを可能にするエネルギーに加速される。注入中、イオンはフォトレジスト層に注入され、硬いクラスト状の層をフォトレジストの表面上に形成させる。クラスト層は、従来の除去(stripping)プロセスを用いて取り除くのは(to remove)困難である。更に、クラスト層或いは下に横たわるフォトレジストが取り除かれない場合には、残留するレジスト部は次の処理ステップ中に汚染物となる可能性がある。
[0004]従って、フォトレジストを除去する改善された方法が求められている。
[0005]本発明は、一般的には、基板からフォトレジストを除去する方法を含む。本発明は、また、集積回路にドーパントを注入し、次に注入工程中に存在するフォトレジストを除去する処理システムを含む。フォトレジストと、存在する場合のクラストは、フォトレジストを、水蒸気と、水素ガスと、フッ素ガスと酸素ガスの少なくとも一つとから形成されたプラズマにさらすことによって効果的に除去することができる。次に、アニールを行うことができる。同一処理システム内で注入と除去とアニールを与えることによって、酸化が低減され、基板の処理能力が増強されることになる。ドーパントの一部が注入チャンバ内に残り、次のフォトレジストの注入中に用いることができることから、基板の処理能力が強化されることになる。注入チャンバ内に残っているドーパントの部分は、次の基板に対して注入を行うのに必要な時間を短縮させる。
[0006]一実施形態において、フォトレジスト除去方法は、その上にフォトレジスト層を持つ基板をチャンバ内に位置決めするステップと、遠隔プラズマ源内の水素ガスと、フッ素ガスと酸素ガスの少なくとも一つとからプラズマを形成させるステップと、遠隔プラズマ源からのプラズマと水蒸気をチャンバ内に導入するステップと、基板からフォトレジストを除去するステップと、を含む。
[0007]他の実施形態において、フォトレジスト除去方法は、基板を処理チャンバ内に配置するステップであって、基板がその上にフォトレジスト層を持つ、前記ステップと、フォトレジストと基板の間に配置された層内に一つ以上のイオンを注入するステップと、フォトレジスト層の少なくとも一部から外側にクラスト層を形成するステップと、遠隔プラズマ源内のプラズマを点火し、クラスト層をプラズマにさらすステップと、クラスト層を水蒸気にさらすステップと、クラスト層とフォトレジスト層を取り除くステップと、を含む。
[0008]他の実施形態において、処理システムは、同一処理システム内で注入と除去とアニールを与える。処理システムの一つの処理チャンバは、フォトレジストを水蒸気と、水素ガスと、フッ素ガスと酸素ガスの少なくとも一つとから形成されるプラズマとにさらすステップを含む除去プロセスを行うように構成される。有利なことに、基板の酸化が低減され、基板の処理能力が従来のプロセスより増強されることになる。
[0009]他の実施形態において、該システムが搬送チャンバと、搬送チャンバと結合した注入チャンバと、搬送チャンバと結合した除去チャンバと、搬送チャンバと結合したアニールチャンバと、搬送チャンバと結合したファクトリインタフェースと、ファクトリインタフェースと結合した一つ以上のFOUPを備える処理システムは注入を与える。

[0010]本発明の上記特徴が詳細に理解され得るように、上で簡単にまとめられた本発明のより具体的な説明は、その一部が添付の図面に示される実施形態によって参照することができる。しかしながら、添付の図面は、本発明の典型的な実施形態のみを示しているので、その範囲を制限するものと考えられるべきでなく、本発明は他の等しく効果的な実施形態を許容してもよいことは留意されるべきである。
図1は、本発明の実施形態の除去チャンバの断面図である。 図2は、クラスト層がその上に形成された構造の断面図である。 図3は、本発明の一実施形態の除去プロセスの流れ図である。 図4は、本発明の処理システムの平面概略図である。 図5は、本発明の図4のシステム内で行うことができる別のプロセスに対する流れ図である。
[0016]理解を図るために、可能なところでは同じ符号を用いて、各図に共通な同じ構成要素を示している。一つの実施形態の構成要素と特徴が更に繰り返すことなく他の実施形態にも便宜的に組み込まれてもよいことは企図されることである。
[0017]しかしながら、添付の図面は、本発明の例示的実施形態のみを示すので、本発明の範囲を制限するものと考えられるべきでなく、本発明は他の等しく効果的な実施形態を許容してもよいことは留意されるべきである。
詳細な説明
[0018]本発明は、一般的には、基板上に配置された積層膜からフォトレジストを除去する方法を含む。本発明は、また、積層膜の一層にドーパントを注入し、次に積層膜上に配置されたフォトレジスト層を除去する処理システムを含む。高いドーパント濃度がフォトレジストに注入される場合、クラスト層がフォトレジスト上に形成することになる。クラスト層は、注入中、フォトレジストが水素を失うことにより形成することになる。フォトレジスト層の表面から水素がなくなることによって、硬いグラファイト状のクラストを生成する炭素結合が促進される。クラストを含むフォトレジストは、水蒸気と、水素ガスと、フッ素ガスと酸素ガスの少なくとも一つのプラズマとを用いて基板から効果的に除去することができる。除去された積層膜は、次にアニールすることができる。単一処理システム内で注入と除去とアニールを与えることによって、高い基板処理能力を与えつつ積層膜の酸化を避けることができる。ドーパントの一部が注入チャンバ内に残り、次のフォトレジストの注入中に用いることができることから、基板処理能力を増強させることができる。注入チャンバ内に残るドーパントの部分は、次の基板に対して注入を行うのに必要な時間を短縮させる。
[0019]図1は、本発明の一実施形態の除去チャンバ100の概略図である。適切な除去チャンバ或いはアッシングリアクタの一例は、2002年10月4日に出願された米国特許出願第10/264,664号と2005年7月29日に出願された米国特許出願第11/192,989号に詳細に記載されており、これらの開示内容は本明細書に援用されている。リアクタ100の際立った特徴部は、以下に簡単に記載される。
[0020]リアクタ100は、プロセスチャンバ102と、遠隔プラズマ源106と、コントローラ108とを備える。プロセスチャンバ102は、たいてい、第一部分110と第二部分112を備える真空容器である。一実施形態において、第一部分110は、基板ペデスタル104と、側壁116と、真空ポンプ114とを備えている。第二部分112は、リッド118と、ガス混合容積122と反応容積124を画成するガス分配プレート(シャワーヘッド)120とを備えている。リッド118と側壁116は、たいてい、金属(例えば、アルミニウム(Al)、ステンレス鋼等)から形成され、接地基準160に電気的に結合される。
[0021]基板ペデスタル104は、反応容積124内に基板(ウエハ)126を支持する。一実施形態において、基板ペデスタル104は、ガス充填ランプ128のような放射熱源だけでなく、埋め込み抵抗ヒータ130とコンジット132を備える場合がある。コンジット132は、ペデスタル104のウエハ支持面内の溝(図示せず)を通って基板126の裏面にガス源134からガス(例えば、ヘリウム)を供給する。ガスは、支持ペデスタル104とウエハ126の間で熱交換を容易にする。ペデスタル104は、処理中、基板にバイアス電圧を与えるためにバイアス電源196に結合される電極198を含んでもよい。
[0022]真空ポンプ114は、プロセスチャンバ102の側壁116内に形成される排気ポート136に結合されている。真空ポンプ114は、プロセスチャンバ102内の所要のガス圧を維持するだけでなく、処理後のガスと他の揮発性化合物をチャンバ102から排気するのに用いられる。一実施形態において、真空ポンプ114は、スロットルバルブ138を備えて、プロセスチャンバ102内のガス圧を制御する。
[0023]プロセスチャンバ102は、また、基板を保持し開放すること、プロセスの終了を検出すること、内部診断等の従来のシステムを備えている。このようなシステムは、支援システム140として集約的に示されている。
[0024]遠隔のプラズマ源106は、電源146と、ガスパネル144と、遠隔プラズマチャンバ142を備えている。一実施形態において、電源146は、無線周波数(RF)発生器148と、同調アセンブリ150と、アプリケータ152を備えている。RF発生器148は、約200kHz〜700kHzで約200W〜5000Wを作り出すことができるものである。アプリケータ152は、遠隔プラズマチャンバ142に誘導的に結合され、ガスパネル144によって供給されるプロセスガス(或いはガス混合物)を励起して、チャンバ内でシャワーヘッド120を通じて反応容積124に分配されるプラズマ162を形成する。一実施形態において、遠隔プラズマチャンバ142は、プラズマを取り込み、ラジカル種の効率的生成を可能にするだけでなく、プラズマの電子温度を低下させるドーナツ状の形状をしている。他の実施形態において、遠隔プラズマ源106は、マイクロ波プラズマ源である場合がある。更に他の実施形態において、反応容積124に形成されるプラズマは、誘導性あるいは容量性の結合によって形成される。
[0025]ガスパネル144は、遠隔プラズマチャンバ142に処理ガスを分配するコンジット166を用いる。ガスパネル144(或いはコンジット166)は、チャンバ142へ供給される個々のガスのガス圧と流量を制御するためのマスフローコントローラや遮断弁のような手段(図示せず)を備えている。遠隔プラズマチャンバ142において、プロセスガスをイオン化し解離させて、反応性化学種の成分を形成する。
[0026]反応性化学種は、リッド118に形成される注入口ポート168を通って混合容積122に送られる。ウエハ126上のデバイスへの荷電プラズマの損傷を最小化するために、プロセスガスのイオン種は、シャワーヘッド120内の複数の開口170を通して反応容積124にガスが達する前に混合容積122内で実質的に中和される。
[0027]図2は、積層膜208とその上にフォトレジスト層204を持つ基板202を備える工作物200の断面図である。積層膜208は一般的に示されているが、基板202とフォトレジスト層204の間にあることになる一つ以上の層を言う。フォトレジスト層204は、クラスト部分206を持つ場合がある。クラスト部分206は、注入プロセス中フォトレジスト層がリン、ヒ素、又はホウ素のようなドーパントにさらされた結果としてフォトレジスト上に形成されることになる。
[0028]注入プロセスは、フォトレジストの表面から水素を失わせることができる。水素が喪失することから、炭素−炭素結合が形成し、厚い炭化クラスト層が生じる。非常に多量のドーパント(即ち、約1×1015)と相対的に低いエネルギー注入の場合、クラスト層は、高濃度のドーパントを含有することができる。一実施形態において、ドーパントはホウ素を含んでもよい。他の実施形態において、ドーパントはヒ素を含んでもよい。また他の実施形態において、ドーパントはリンを含んでもよい。標準のフォトレジストの式とクラスト層の式を以下に示す。
Figure 2010512650
[0029]クラスト層がホウ素、リン、又はヒ素のようなドーパントを含むことから、酸素を含む従来の除去方法によって取り除くことは、クラスト層206とフォトレジスト層204を効果的に取り除くのに十分ではない。
除去プロセス
[0030]図3は、本発明の一実施形態の除去プロセス300の流れ図である。プロセス300は、チャンバ100に工作物200を導入することによってステップ302から始まる。ステップ304で、除去用ガスが遠隔プラズマ源142に導入することができる。ステップ306で、プラズマは、遠隔プラズマ源142からチャンバ100に導入される。存在する場合にはいかなるクラスト層206をも含む、フォトレジスト層204が、ステップ308で除去用溶液によって工作物から取り除かれる。
[0031]除去プロセス中、次の化学反応が起こる:
Figure 2010512650
[0032]適切な除去用ガスは、水素と、オゾンと、酸素と、フッ素と、水蒸気とを含んでもよい。一実施形態において、水素と、酸素と、水蒸気と、フッ素とが供給される場合がある。供給することができる酸素量は、安全上の考慮から制限される場合があり、一実施形態においては、フッ素の充分な使用によって排除される場合がある。
[0033]水素と、フッ素と、酸素のガスは、ガスパネルから遠隔プラズマ源に供給される。他方、水蒸気は別に水を蒸発させることによって生成され、次に直接的に処理チャンバに供給されるか、その他のガスとともにガスパネルによって供給されてもよい。水蒸気は水の沸点より高く維持されてもよい。
[0034]一実施形態において、毎分約500sccm〜約10リットルの水素をチャンバに供給する場合がある。他の実施形態において、供給される水素量は、毎分約7リットルであるのがよい。水蒸気に対しては、毎分約50sccm〜5リットルがチャンバに供給される場合がある。他の実施形態において、90sccmの水蒸気がチャンバに供給されるのがよい。更に他の実施形態において、350sccmの水蒸気がチャンバに供給されてもよい。フッ素に対しては、約500sccmがチャンバに供給される場合がある。一実施形態において、約250sccmのフッ素がチャンバに供給されてもよい。酸素に対しては、約0sccm〜500sccmがチャンバに供給される場合がある。一実施形態において、200sccmの酸素がチャンバに供給されるのがよい。
[0035]RF電力を遠隔プラズマ源に供給して、プラズマを開始させることができる。RF電力は、約5kwである場合がある。プラズマは、除去が行われる処理チャンバに供給することができる。一実施形態において、圧力は8トールまでであるのがよい。他の実施形態において、圧力は約2トール〜約5トールである場合がある。基板温度は、ほぼ室温〜約350℃であるのがよい。他の実施形態において、温度は、80℃〜約200℃であってもよい。更に他の実施形態において、基板温度は、120℃であるのがよい。なお更に他の実施形態において、基板温度は、220℃であるのがよい。基板の温度が約350℃より高い場合には、フォトレジストは燃焼し始めることができる。
[0036]一実施形態において、RFバイアスを除去チャンバに供給してもよい。RFバイアスは、注入されたフォトレジストとクラスト層を破壊するのを援助をすることができる。RFバイアスは、更にソフトエッチングを与え、基板からいかなる残留物をも取り除くことを援助する。RFバイアスの大きさが大きいほど、フォトレジストとクラストと取り除くことがより積極的に進む。更に、RFバイアスが大きいほど、基板が損傷する可能性が大きくなる。
[0037]フォトレジストとクラスト層を基板から除去するためのプロセス条件は、取り除く速度を改善するように最適化させることができる。例えば、注入のより高い注入速度(即ち、約1×1016以上)に対して、クラスト層は非常に厚くなり得る。水素と、フッ素と、水蒸気の量を調整することによって、フォトレジストとクラスト層の取り除く速度を最適化させる。ホウ素が注入されたフォトレジストに関連して以下に述べるが、同じ結果がヒ素が注入されたフォトレジストやリンが注入されたフォトレジストに対しても予想することができる。
実施例1
[0038]毎分7リットルの水素を90sccmの水蒸気と共に遠隔プラズマによって処理チャンバへ供給してホウ素が注入されたフォトレジストを取り除いた。ホウ素が注入されたフォトレジストとクラスト層を、毎分3000オングストロームの速度で取り除いた。
実施例2
[0039]毎分7リットルの水素を2900sccmの水蒸気と共に遠隔プラズマ源によって処理チャンバへ供給して、ホウ素が注入されたフォトレジストを取り除いた。基板を120℃に維持し、チャンバの圧力を2トールに維持した。ホウ素が注入されたフォトレジストとクラスト層を、毎分約300オングストロームの速度で取り除いた。
実施例3
[0040]250sccmのCFと5000sccmのOを遠隔プラズマ源によって供給して、ホウ素が注入されたフォトレジストを取り除いた。基板を220℃の温度に維持した。フォトレジストとクラスト層は60秒で完全に取り除いた。
比較例
[0041]従来の酸素除去方法を、ホウ素含有クラスト層を持つフォトレジストに用いた。プロセスは、取り除く速度がほぼ毎分0オングストロームであるようにフォトレジストとクラスト層を取り除かなかった。
[0042]図4は、本発明の処理システム400の平面概略図である。図4に示される実施形態において、処理システム400は、三つの処理チャンバ404A−404Cによって取り囲まれた中央搬送チャンバ402を含んでいる。ファクトリインタフェース412は、ロードロックチャンバ410によって搬送チャンバに結合される。一つ以上のFOUP408は、基板を保管するためのファクトリインタフェース412に配置される。ロボット406が中央搬送チャンバ内に位置決めされて、処理チャンバ404A−404Cとロードロックチャンバの間で基板の搬送を容易にする。基板は、ロードロックチャンバ410を通ってFOUP408からシステム400の処理チャンバ404A−404Cに準備され、ロードロックチャンバ410を通ってシステム400からFOUP408へ取り除かれる場合がある。
[0043]処理チャンバ404A−404Bの各々は、基板の処理において異なるステップを行うように構成される。例えば、処理チャンバ404Aは、工作物にドーパントを注入するための注入チャンバである。例示的な注入チャンバは、2006年12月8日に出願された米国特許出願第11/608,357号に記載されるカリフォルニア州サンタクララのAppliedMaterials社から入手できるP3i(登録商標)チャンバであり、この開示内容は本明細書に全体で援用されている。他の製造業者によって製造されるものを含む他の適切な注入チャンバも同様に用いられてよいことは企図されることである。
[0044]チャンバ404Bは、除去チャンバとして構成され、工作物からフォトレジストとクラスト層を除去するのに用いられる。例示的な除去チャンバ404Bは、図1においてリアクタ100として記載されている。適切な湿式除去チャンバもまたAppliedMaterials社から入手可能である。他の製造業者によって製造されるものを含む他の適切な注入チャンバが同様に用いられてもよいことは企図されることである。
[0045]処理チャンバ404Cは、除去の後、工作物をアニールするために用いられるアニールチャンバである。用いることができる例示的アニールチャンバは、米国特許第7,018,941号に述べられるApplied Materials社から入手できる、Radiance(登録商標)の急速熱処理チャンバであり、この開示内容は本明細書に全体で援用されている。他の製造業者によって製造されるものを含む他の適切な注入チャンバが同様に用いることができることも企図されることである。
[0046]単一の処理ツール上に注入と、除去と、アニールのチャンバを準備することによって基板の処理能力を増強することができる。基板は、基板内にドーパントを最初に注入することによって処理される場合がある。次に、フォトレジストが注入された基板から除去される場合がある。最後に、除去された基板がアニールされる場合がある。
[0047]すべての三つの処理チャンバを同一クラスタツール装置400上に配置すると、処理能力を増強させ、費用を節約させることができる。処理ステップ間の真空を破壊しないことによって、真空が維持されるので、チャンバ動作間の運転休止期間を短縮させることができる。更に、注入チャンバに対して、注入ステップに必要な所要のドーパントの約30パーセントまでが、次の基板が処理のために到達している場合、注入チャンバにすでに存在することになる。使用されないドーパントが注入チャンバに残り、注入チャンバを少なくとも部分的に飽和させる。プロセスが始まるときにドーパントが注入チャンバにすでに存在していることによって、フォトレジストはより速く処理され、より少ないドーパントガスを供給することができる。
[0048]図5は図4の処理システム400或いは他の適切なシステムを用いて行うことができるプロセス500の流れ図である。プロセス500は、2006年12月8日に出願された米国特許出願第11/608,357号に記載されるような方法を用いて積層膜の層がチャンバ404A内で注入されるステップ502から始まる。ステップ504で、注入中に積層膜上にあるフォトレジスト層は、方法300或いは他の適切な方法を用いてチャンバ404B内で除去される。ステップ506で、除去された積層膜は米国特許第7,018,941号に記載されるようにアニールされる。
[0049]水素と、水蒸気と、フッ素と、酸素を用いることによって、その上に形成されるフォトレジストとクラスト層は、基板から効果的且つ効率的に除去することができる。注入チャンバと、アニールチャンバと除去チャンバの一つ以上のチャンバを単一つのクラスタツールに統合することによって、基板の処理能力を増強させるとともにコストを低減させることができる。
[0050]上記は本発明の実施形態に関するものであるが、本発明の他の多くの実施形態が本発明の基本的な範囲から逸脱することなく構成され、本発明の範囲は以下の特許請求範囲によって決定される。
100…リアクタ、102…プロセスチャンバ、104…基板ペデスタル、106…遠隔プラズマ源、108…コントローラ、110…第一部分、112…第二部分、114…真空ポンプ、116…側壁、118…リッド、120…ガス分配プレート、122…ガス混合容積、124…反応容積、126…基板、128…ガス充填ランプ、130…抵抗ヒータ、132…コンジット、134…ガス源、140…支援システム、142…遠隔プラズマチャンバ、144…ガスパネル、146…電源、148…RF発生器、150…同調アセンブリ、152…アプリケータ、160…接地基準、162…プラズマ、166…コンジット、170…開口、200…工作物、202…基板、204…フォトレジスト層、206…クラスト部分、208…積層膜、300…除去プロセス、400…処理システム、402…搬送チャンバ、404A−404C…処理チャンバ、406…ロボット、408…FOUP、410…ロードロックチャンバ、412…ファクトリインタフェース。

Claims (20)

  1. フォトレジスト除去方法であって:
    その上にフォトレジスト層を持つ基板を除去チャンバ内に位置決めするステップと;
    水素ガスと、フッ素ガスと酸素ガスの少なくとも一つとから遠隔プラズマ源内にプラズマを形成させるステップと;
    該遠隔プラズマ源からのプラズマと水蒸気を該チャンバに導入するステップと;
    該基板から該フォトレジストを除去する(stripping)ステップと;
    を含む、前記方法。
  2. 該フォトレジスト層が、除去前に注入プロセスにさらされる、請求項1に記載の方法。
  3. 除去された該基板をアニールするステップ;
    を更に含む、請求項1に記載の方法。
  4. 該フォトレジストを持つ該基板を注入チャンバ内に配置し、該基板と該フォトレジスト層の間に配置された層にイオンを注入し、該フォトレジスト上にクラストを形成させるステップと;
    該基板を該注入チャンバから搬送させるステップと;
    該基板を該除去チャンバからアニールチャンバに搬送させるステップと;
    該基板をアニールするステップと;
    更に含む、請求項1に記載の方法。
  5. 該イオンが、ホウ素、リン、ヒ素、及びこれらの組み合わせからなる群より選ばれる、請求項4に記載の方法。
  6. 該クラスト層が、二つの炭素−炭素単結合によって一緒に結合される二つの芳香環を含む、請求項4に記載の方法。
  7. 除去する該ステップが、該フォトレジストを二原子の酸素、二酸化炭素、水、及び二原子の(diatomic)水素に変換する工程を含む、請求項1に記載の方法。
  8. 該除去するステップが、該基板をRF電流でバイアスさせる工程を含む、請求項1に記載の方法。
  9. フォトレジスト除去方法であって:
    基板を処理チャンバに配置するステップであって、該基板がその上にフォトレジスト層を持つ、前記ステップと;
    一つ以上のイオンを該フォトレジストと該基板の間に配置された層に注入するステップであって、注入する該ステップが、該フォトレジスト層の少なくとも一部からクラスト層を形成させる、前記ステップと;
    遠隔プラズマ源内にプラズマを点火させるとともに該クラスト層を該プラズマにさらすステップと;
    該クラスト層を水蒸気にさらすステップと;
    該クラスト層と該フォトレジスト層を取り除く(removing)ステップと;
    を含む、前記方法。
  10. 該クラスト層が、二つの炭素−炭素単結合によって一緒に結合される二つの芳香環を含む、請求項9に記載の方法。
  11. 注入された該イオンが、ホウ素を含み、該プラズマが、該遠隔プラズマ源に水素ガスを流し込むことにより点火される、請求項9に記載の方法。
  12. 該水蒸気の流量が、約80sccm〜約100sccmである、請求項11に記載の方法。
  13. 該水蒸気の流量が、約2800sccm〜約3000sccmである、請求項11に記載の方法。
  14. 注入された該イオンが、ホウ素を含み、該プラズマが、該遠隔プラズマ源に四フッ化炭素と酸素を流し込むことによって点火される、請求項9に記載の方法。
  15. 該四フッ化炭素の流量が、約225sccm〜約275sccmであり、該酸素の流量が、約4900sccm〜約5100sccmであり、該水蒸気の流量が、約325sccm〜約375sccmである、請求項14に記載の方法。
  16. 該イオンが、ホウ素、リン、ヒ素、及びこれらの組み合わせからなる群より選ばれる、請求項9に記載の方法。
  17. 除去する該ステップが、該フォトレジストを二原子の酸素と、二酸化炭素と、水と、二原子の水素に変換する工程を含む、請求項9に記載の方法。
  18. 該基板をアニールするステップを更に含む、請求項9に記載の方法。
  19. 処理システムであって:
    搬送チャンバと;
    該搬送チャンバと結合された注入チャンバと;
    該搬送チャンバと結合された除去チャンバと;
    該搬送チャンバと結合されたアニールチャンバと;
    該搬送チャンバと結合されたファクトリインタフェースと;
    該ファクトリインタフェースに結合された一つ以上のFOUPと;
    を含む、前記システム。
  20. 該除去チャンバが、それに結合される遠隔プラズマ源を備える、請求項19に記載のシステム。
JP2009540518A 2006-12-11 2007-12-10 乾燥フォトレジスト除去プロセスと装置 Pending JP2010512650A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US86955406P 2006-12-11 2006-12-11
PCT/US2007/087008 WO2008073906A2 (en) 2006-12-11 2007-12-10 Dry photoresist stripping process and apparatus

Publications (2)

Publication Number Publication Date
JP2010512650A true JP2010512650A (ja) 2010-04-22
JP2010512650A5 JP2010512650A5 (ja) 2010-12-02

Family

ID=39512438

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009540518A Pending JP2010512650A (ja) 2006-12-11 2007-12-10 乾燥フォトレジスト除去プロセスと装置

Country Status (6)

Country Link
US (1) US20080153306A1 (ja)
JP (1) JP2010512650A (ja)
KR (1) KR20090094368A (ja)
CN (1) CN101542693A (ja)
TW (1) TW200834265A (ja)
WO (1) WO2008073906A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014507073A (ja) * 2011-02-22 2014-03-20 アプライド マテリアルズ インコーポレイテッド 遠隔励起式のフッ素および水蒸気エッチング

Families Citing this family (148)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7758763B2 (en) * 2006-10-31 2010-07-20 Applied Materials, Inc. Plasma for resist removal and facet control of underlying features
JP5508701B2 (ja) * 2008-08-28 2014-06-04 岩谷産業株式会社 半導体処理装置及び処理方法
US8043434B2 (en) 2008-10-23 2011-10-25 Lam Research Corporation Method and apparatus for removing photoresist
US8273259B1 (en) * 2009-01-17 2012-09-25 Novellus Systems, Inc. Ashing method
WO2011008436A2 (en) * 2009-07-13 2011-01-20 Applied Materials, Inc. Method for removing implanted photo resist from hard disk drive substrates
US8673162B2 (en) * 2009-12-10 2014-03-18 Applied Materials, Inc. Methods for substrate surface planarization during magnetic patterning by plasma immersion ion implantation
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
CN101898391B (zh) * 2010-08-19 2012-05-02 英利能源(中国)有限公司 硅块脱胶系统和脱胶方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20120285621A1 (en) * 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9520301B2 (en) 2014-10-21 2016-12-13 Samsung Electronics Co., Ltd. Etching method using plasma, and method of fabricating semiconductor device including the etching method
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN109698126A (zh) * 2018-12-24 2019-04-30 上海华力集成电路制造有限公司 改善硅针孔缺陷的方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0249425A (ja) * 1987-08-28 1990-02-19 Toshiba Corp 有機化合物膜の除去方法及び除去装置
JPH02114525A (ja) * 1988-10-24 1990-04-26 Toshiba Corp 有機化合物膜の除去方法及び除去装置
JPH04171918A (ja) * 1990-11-06 1992-06-19 Fujitsu Ltd 半導体装置の製造方法
JPH04211114A (ja) * 1990-03-05 1992-08-03 Nec Corp フォトレジスト除去装置
JPH05217957A (ja) * 1991-12-11 1993-08-27 Toshiba Corp 有機化合物膜の除去方法
JPH08186098A (ja) * 1994-12-27 1996-07-16 Ryoden Semiconductor Syst Eng Kk 感光性樹脂の除去方法および除去装置
JPH08306670A (ja) * 1995-05-09 1996-11-22 Sony Corp プラズマアッシング装置
JPH09503103A (ja) * 1994-02-03 1997-03-25 アプライド マテリアルズ インコーポレイテッド 半導体基板のストリッピング、パッシベーション及び腐食の抑制
JP2003133290A (ja) * 2001-10-26 2003-05-09 Seiko Epson Corp レジスト剥離装置、レジスト剥離方法、半導体装置の製造方法
JP2005150460A (ja) * 2003-11-17 2005-06-09 Shimada Phys & Chem Ind Co Ltd レジスト除去装置およびレジスト除去方法
JP2006156486A (ja) * 2004-11-25 2006-06-15 Tokyo Electron Ltd 基板処理方法および半導体装置の製造方法
JP2006261676A (ja) * 2006-03-17 2006-09-28 Matsushita Electric Ind Co Ltd 半導体装置の製造方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6267090A (ja) * 1985-09-19 1987-03-26 Shin Etsu Chem Co Ltd 4−クロロメチルフエニルメチルジクロロシラン
EP0940846A1 (en) * 1998-03-06 1999-09-08 Interuniversitair Micro-Elektronica Centrum Vzw Method for stripping ion implanted photoresist layer
US6767698B2 (en) * 1999-09-29 2004-07-27 Tokyo Electron Limited High speed stripping for damaged photoresist
US6805139B1 (en) * 1999-10-20 2004-10-19 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6576564B2 (en) * 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6848455B1 (en) * 2002-04-22 2005-02-01 Novellus Systems, Inc. Method and apparatus for removing photoresist and post-etch residue from semiconductor substrates by in-situ generation of oxidizing species
US6597964B1 (en) * 2002-05-08 2003-07-22 Taiwan Semiconductor Manufacturing Co., Ltd Thermocoupled lift pin system for etching chamber
US20070051471A1 (en) * 2002-10-04 2007-03-08 Applied Materials, Inc. Methods and apparatus for stripping
US20050158667A1 (en) * 2004-01-20 2005-07-21 Applied Materials, Inc. Solvent free photoresist strip and residue removal processing for post etching of low-k films
US20060128160A1 (en) * 2004-12-10 2006-06-15 Yoo Woo S Photoresist strip using solvent vapor

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0249425A (ja) * 1987-08-28 1990-02-19 Toshiba Corp 有機化合物膜の除去方法及び除去装置
JPH02114525A (ja) * 1988-10-24 1990-04-26 Toshiba Corp 有機化合物膜の除去方法及び除去装置
JPH04211114A (ja) * 1990-03-05 1992-08-03 Nec Corp フォトレジスト除去装置
JPH04171918A (ja) * 1990-11-06 1992-06-19 Fujitsu Ltd 半導体装置の製造方法
JPH05217957A (ja) * 1991-12-11 1993-08-27 Toshiba Corp 有機化合物膜の除去方法
JPH09503103A (ja) * 1994-02-03 1997-03-25 アプライド マテリアルズ インコーポレイテッド 半導体基板のストリッピング、パッシベーション及び腐食の抑制
JPH08186098A (ja) * 1994-12-27 1996-07-16 Ryoden Semiconductor Syst Eng Kk 感光性樹脂の除去方法および除去装置
JPH08306670A (ja) * 1995-05-09 1996-11-22 Sony Corp プラズマアッシング装置
JP2003133290A (ja) * 2001-10-26 2003-05-09 Seiko Epson Corp レジスト剥離装置、レジスト剥離方法、半導体装置の製造方法
JP2005150460A (ja) * 2003-11-17 2005-06-09 Shimada Phys & Chem Ind Co Ltd レジスト除去装置およびレジスト除去方法
JP2006156486A (ja) * 2004-11-25 2006-06-15 Tokyo Electron Ltd 基板処理方法および半導体装置の製造方法
JP2006261676A (ja) * 2006-03-17 2006-09-28 Matsushita Electric Ind Co Ltd 半導体装置の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014507073A (ja) * 2011-02-22 2014-03-20 アプライド マテリアルズ インコーポレイテッド 遠隔励起式のフッ素および水蒸気エッチング

Also Published As

Publication number Publication date
WO2008073906A3 (en) 2008-09-12
CN101542693A (zh) 2009-09-23
US20080153306A1 (en) 2008-06-26
KR20090094368A (ko) 2009-09-04
TW200834265A (en) 2008-08-16
WO2008073906A2 (en) 2008-06-19

Similar Documents

Publication Publication Date Title
JP2010512650A (ja) 乾燥フォトレジスト除去プロセスと装置
KR101884262B1 (ko) 실리콘 막들에 대한 선택적인 식각
CN102569136B (zh) 清洁基板表面的方法和设备
TWI559363B (zh) 極低矽損失高劑量植入剝離
TWI518773B (zh) 在高劑量植入剝除前保護矽之增強式鈍化製程
KR20160084314A (ko) 이방성 텅스텐 에칭을 위한 방법 및 장치
US20100216312A1 (en) Resist removing method, semiconductor manufacturing method, and resist removing apparatus
TWI423323B (zh) 光阻剝離室及蝕刻基材上光阻之方法
TW200834662A (en) Wet photoresist stripping process and apparatus
US20060201911A1 (en) Methods of etching photoresist on substrates
CN101903981A (zh) 从基板移除表面掺杂
JPH0786146A (ja) レジストマスクの除去方法
KR20140069027A (ko) 이중 패터닝 식각 프로세스
US10256076B2 (en) Substrate processing apparatus and methods
TW201611120A (zh) 用以提升光阻剝除性能及改質有機膜的過氧化物蒸氣處理
TW201818465A (zh) 被處理體之處理方法
EP1497856A1 (en) Method for ashing
CN109923660B (zh) 高压退火及降低湿蚀刻速率
JP6920309B2 (ja) エッチングハードウェアに対する水素プラズマベース洗浄処理
TW202217039A (zh) 電漿沉積的膜中之氫管控
JPH01200628A (ja) ドライエッチング方法
TWI837338B (zh) 基板處理方法及基板處理裝置
TW202235677A (zh) 電漿腔室中之灰分比回復方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101018

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20101018

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120510

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120515

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120814

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120821

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120925

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130129