TW201611120A - 用以提升光阻剝除性能及改質有機膜的過氧化物蒸氣處理 - Google Patents

用以提升光阻剝除性能及改質有機膜的過氧化物蒸氣處理 Download PDF

Info

Publication number
TW201611120A
TW201611120A TW104117919A TW104117919A TW201611120A TW 201611120 A TW201611120 A TW 201611120A TW 104117919 A TW104117919 A TW 104117919A TW 104117919 A TW104117919 A TW 104117919A TW 201611120 A TW201611120 A TW 201611120A
Authority
TW
Taiwan
Prior art keywords
photoresist
organic film
plasma
substrate
hydroxyl
Prior art date
Application number
TW104117919A
Other languages
English (en)
Other versions
TWI686866B (zh
Inventor
巴猶 阿特馬查 希由斯沃洛
布萊德利 喬恩 雅各布斯
伊凡 貝里
大衛 瓊
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201611120A publication Critical patent/TW201611120A/zh
Application granted granted Critical
Publication of TWI686866B publication Critical patent/TWI686866B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)

Abstract

在將有機膜自基板移除前以產生羥基的化合物處理有機膜(如光阻)之方法及裝置被提出。處理包含於一無電漿之環境中暴露於一或更多的過氧化氫蒸氣及水蒸氣。在一些實施例中,如表面上的凝結作用之情況被抑制。方法包含處理高劑量離子植入之光阻及電漿摻雜後之光阻,伴隨著少量或無材料損失,且容許在處理後以溫和電漿移除光阻。

Description

用以提升光阻剝除性能及改質有機膜的過氧化物蒸氣處理
本發明係關於半導體處理技術,更具體而言,係關於用以提升光阻剝除性能及改質有機膜的過氧化物蒸氣處理之裝置及方法。
在許多半導體製程中,光阻被用於圖案化基板上之層。塗佈上光阻之基板表面被暴露於高能輻射之圖案,而部分光阻被移除以顯露下方的表面。該下方之表面可經受蝕刻製程、薄膜沉積、離子植入、或其他製程,而留下之光阻作為遮罩。在一或更多的此類操作之後,該留下之光阻被移除。該等操作亦可影響留下之光阻,引起移除之挑戰。舉例而言,在離子植入後之光阻移除係具挑戰性的。
此處提出在移除前,處理有機膜的方法。本揭露內容之一態樣包含一種藉由在無電漿之狀態下,將有機膜暴露於過氧化氫蒸氣以改質該有機膜之自基板移除該有機膜的方法,並且,在將有機膜暴露於該過氧化氫蒸氣後,將該有機膜暴露於電漿以移除該有機膜。在一些實施例中,該電漿可為實質上無氟。
在不同的實施例中,基板一般包含一或更多的暴露表面,在該等暴露表面上不具有有機膜。在一些實施例中,暴露於產生羥基的氣體導致一或更多的暴露表面實質上無材料損失。在一些實施例中,該有機膜為光阻。在一些實施例中,該暴露化學性地及/或物理性地改質該光阻。在一些實施例中,該光阻包含被外殼封住的主體光阻,該外殼具有不同於該主體光阻之特性。在一些實施例中,該光阻包含被外殼封住的主體光阻,該外殼較主體光阻更緻密。該光阻可為離子植入光阻。
本揭露內容另一個實施態樣包含一種移除有機膜之方法,其係藉由在防止一或更多的產生羥基的氣體之凝結作用下,將其上具有有機膜之基板暴露於該一或更多的產生羥基的氣體,以引起化學性地或物理性地改質該有機膜且因此處理該有機膜之羥基官能團,如此一來該暴露於移除該有機膜之前實施。產生羥基的氣體之實例包含過氧化氫蒸氣、或水蒸氣、及過氧化氫蒸氣與水蒸氣之混合物。
在不同的實施例中,在處理有機膜之後,將基板暴露於電漿以因此移除該有機膜。在一些實施例中,該電漿為實質上無氟。在一些實施例中,該電漿由形成氣體產生。
在其之上具有光阻之基板一般包含一或更多的暴露表面,該等暴露表面上不具有光阻。暴露於該等產生羥基的氣體可導致該等暴露表面實質上無材料損失。該方法更可包含將該一或更多的產生羥基的氣體暴露於如紫外線輻射之活化能量源。暴露於紫外線輻射可發生於外罩於基板之腔室中或該腔室之上游。
在不同的實施例中,該有機膜為光阻。在一些實施例中,該光阻包含被外殼封住的主體光阻,該外殼具有不同於該主體光阻之特性。在不同的實施例中,該光阻包含被外殼封住的主體光阻,該外殼較主體光阻更緻密。該光阻可為離子植入光阻。在一些實施例中,暴露於產生羥基的氣體化學性地及/或物理性地改質一或更多的主體光阻與外殼。
本揭露內容的另一個態樣包含一種處理半導體基板之裝置,該裝置包括含一噴淋頭及一基板支撐體之製程腔室、及具有至少一處理器及一記憶體之控制器,如此一來該至少一處理器及該記憶體彼此通訊連接,該至少一處理器至少可操作地與流量控制硬體連接,而該記憶體儲存機器可讀取之指令,該機器可讀取指令用以在引入電漿以移除光阻前,在防止一或更多的產生羥基的氣體之凝結作用下,引入一或更多的產生羥基的氣體,以因此處理該光阻。
本揭露內容的另一個態樣包含一種處理半導體基板之裝置,該裝置包括含一噴淋頭及一基板支撐體之製程的腔室、及具有至少一處理器及一記憶體之控制器,如此一來該至少一個處理器及該記憶體彼此通訊連接,該至少一處理器至少可操作地與流量控制硬體連接,而該記憶體儲存機器可讀取之指令,該機器可讀取指令用以在引入電漿來移除基板上之有機膜之前,將過氧化氫蒸氣引入以改質該有機膜。
該等及其他態樣參照圖示更進一步地描述如下。
在以下敘述中,提出多個具體的細節以提供對所呈現實施例之透徹了解。本揭露實施例無須這些具體細節中的某些或全部即可被實施。在其他實例中,眾所周知的程序操作無須被詳細描述以免不必要地混淆本揭露實施例。雖然本揭露實施例將結合具體實施例而描述,但應了解其並非意欲限制本揭露實施例。
在本申請案中,用語「工作部件」、「半導體晶圓」、「晶圓」、及「部分已製成之積體電路」將可替換地使用。熟習該技術者可了解該用語「部分已製成之積體電路」可指涉在積體電路製造多個階段之任何一個階段中的一矽晶圓。以下實施方式假設本揭露實施例實施於晶圓上。然而,本揭露實施例並不局限於此。該工作部件可為各種不同的形狀、尺寸、及材料。除了半導體晶圓外,其他可利用本揭露實施例之工作部件包括多種物件如顯示器、印刷電路板等等。儘管本揭露實施例主要討論光阻,本揭露實施例亦可應用於其他有機膜或含有機化合物之膜。實例包含被植入的含矽抗反射塗層(SiARC)、及聚合殘留物、或有機材料上之膜(如:旋轉塗佈碳(SOC, spin-on carbon))。
光阻係為使用於某些製程之感光性材料。膜之微影圖案化可包含某些或全部的以下步驟,每一個步驟設置有許多合適的工具:(1)使用旋轉塗佈或噴霧塗佈工具將光阻施加於基板上;(2)使用加熱板、或加熱爐、或紫外線固化工具將光阻固化;(3) 使用如晶片步進機之工具將光阻暴露於可見光或紫外線或X光下;(4)使用如濕式工作臺之工具將光阻顯影以選擇性地移除光阻並藉此將之圖案化;(5)藉由使用乾式或電漿輔助蝕刻工具將光阻圖案轉移至一下層的膜或基板;及(6)使用如射頻(RF,  radio frequency)或微波電漿光阻剝離器之工具將光阻移除。
於製程中該光阻可在工作部件(如:半導體晶圓)上形成圖案化塗層。在移除光阻前,如蝕刻、沉積、及離子植入之半導體製程可被實施於未被覆蓋之表面及保留的光阻上。 在實施一或更多的半導體製程後,該保留的光阻在剝除操作中被移除。
在離子植入期間,摻雜離子如:硼離子、二氟化硼離子、銦離子、鎵離子、鉈離子、磷離子、砷離子、銻離子、鉍離子、或鍺離子,朝工作部件目標加速。舉例而言,該等離子植入該工作部件之暴露區域以形成井區(源極/汲極),以及輕度摻雜汲極(LDD, lightly doped drain)及雙重擴散汲極(DDD, doubled diffused drain)區域。於高劑量離子植入(HDI, high-dose ion-implantation)及電漿摻雜期間,離子植入製程亦可使該等植入的物種注入光阻,耗盡表面之氫,並製造與下層的主體光阻有不同特質之上部外殼層。該光阻外殼相較於該下層之主體層,可為較緻密且較類似鑽石之碳化層。比起在該主體光阻中,該外殼層亦可具有較高密度的未飽和鍵。此兩種層具有不同之熱膨脹率及對剝除製程之不同的反應速率。於HDI中,該離子劑量可大於1 x 1015 離子/cm2 ,且能量可從1 keV 至大於 100 keV。此高劑量可產生在該外殼層及主體層之間具有所述差異之HDI後光阻。該外殼層之特質使得將其完全移除而不在晶圓表面留下殘留物具挑戰性。
圖1A-1D描繪半導體製造實例在離子植入及剝除操作前後的不同階段。圖1A顯示包含塗佈有主體的光阻103之暴露的矽表面102的半導體基板101。儘管圖1A實例中的基板101具有暴露的矽表面102,根據不同的實施例,該基板101可包含一或更多的沉積膜層(如:氧化物膜、矽化物接觸層、及/或多晶矽膜)或可為一裸矽基板,其包含例如一絕緣層覆矽型的基板。該沉積膜亦可包含例如氮化矽、二氧化矽、高介電材料/金屬閘極堆疊中發現之物質、氮化鈦、氮化鉭、及金屬。這些材料之任何一者可藉由主體的光阻103而暴露。起初,該光阻材料塗佈整個基板表面。該光阻接著被暴露於經由一遮罩產生之圖案化輻射下,並顯影以移除材料的一部份,如:顯示於圖1A介於保留之主體的光阻103之間的開孔104。
在光阻被圖案化後,基板接著被暴露於一離子植入製程。於離子植入製程期間,工作部件或晶圓之表面被植入摻雜離子。該製程可為例如一電漿浸沒離子植入(PIII, plasma-immersion ion implantation) 製程、一電漿摻雜製程、或一光束線製程。圖1B描繪在離子植入期間的基板,包含離子束流114及後方濺鍍流116。該後方濺鍍流116將基板材料(如砷、矽、二氧化矽、或氮化矽) 沉積在光阻103的側壁上。來自離子束流114的離子轟擊基板表面,包含暴露的矽表面102及光阻103。在該暴露的矽表面102產生一摻雜區109。轟擊之離子能量或強度決定摻雜區的深度或厚度。離子流之密度決定摻雜的程度。
圖1C顯示晶圓具有少量下層的材料濺鍍於光阻側壁上,其係由於高能量離子植入所致。此材料可包含某些植入物種、電漿或離子束中的其他材料、及植入之副產物。材料包含砷、矽、鋁、碳、氟、鈦,其他接觸材料如鈷、及氧的元素與化合物形式。該等實際物種取決於離子植入前基板的組成、光阻、及植入的物種。離子注入光阻表面並促成產生一外殼層105位於主體光阻103之頂端(105a)及側壁(105b)上,如圖1C所示。外殼105亦可能被耗盡氫。頂部的外殼105a及側邊的外殼105b在植入期間可被暴露於不同的化學物質之下,係由於離子植入光束流114之角度以及來自後方濺鍍流116之側壁沉積作用所致,且因此頂部的外殼105a及側邊的外殼105b可能具有不同的化學物。
外殼105可被碳化並包含高度交聯聚合鏈及有機化合物。在許多實施例中,外殼105比主體的光阻103更緻密。該相對密度取決於離子通量,而外殼層105的厚度則取決於離子能量。外殼與下層之主體光阻層之間的密度差異在HDI之後可能更高,且該外殼亦可能更厚。外殼105比起下方的主體的光阻103更難以剝除。該外殼層移除率可能較下層之主體的光阻103慢50%或75%。主體的光阻103可包含相對高程度之化學鍵結之氮及一些其原本的鑄溶劑(casting solvent)。
於升高的晶圓溫度下,如:高於150℃至高於200℃,主體的光阻103可排氣並相對於外殼層105膨脹。隨著下層之主體的光阻103在外殼層105之下積聚壓力,整個光阻可能接著「爆裂」。光阻爆裂為粒子及製程缺陷的一來源,係因殘留物特別難以自晶圓表面及腔室內部零件清除所致。因此,為了避免爆裂,外殼的光阻常於低溫下被剝除。然而該低溫處理降低電漿物種與外殼層105的反應性,導致較慢的光阻剝除製程及較低的處理量。
圖1D顯示經剝除後的基板101,該剝除無法完全移除光阻103’,包括外殼層105、及側壁濺射殘留物107。側壁濺射殘留物107可包含不會在習知剝除化學作用下形成揮發性化合物的粒子。這些粒子在經習知剝除操作後可保留。殘留物107亦可包含植入物種與習知剝除化學作用中使用之反應性的氧所形成的氧化物,如氧化硼及氧化砷。外殼層105的部分亦可能殘留在基板101上。在側壁上及光阻導孔底部角落處之外殼因幾何結構可能難以剝除。
習知HDI剝除(HDIS, HDI strip) 製程利用氧的化學作用,單原子氧電漿形成於製程腔室外且接著被引導至工作部件的表面。該反應性氧結合光阻以形成氣體副產物,其被真空泵浦去除。對於HDIS而言,其他的氣體可被用於與氧一起移除植入之摻雜物。HDIS首要的考量可包含剝除率、殘留物的量、暴露的及下層的膜層之膜損失。
在剝除後,表面應為無殘留或實質上無殘留物以確保高良率及消除額外殘留物之去除處理。然而,如圖1D所示,殘留物通常於習知之HDIS後在基板表面上被發現,係由於外殼不完整的移除、在高能量植入期間的濺射、及/或光阻中植入之原子的氧化作用所致。針對具有較厚外殼之光阻而言,外殼層及主體光阻層之間的不同之處更為明顯。位於側壁及角落處之較厚的外殼係更難以剝除。因此,設計以移除厚外殼之剝除製程亦易於移除較多的含矽基板。
除了藉由使剝除作用通過名義上用以移除全部光阻的點來移除殘留物之外,按常規而言,殘留物可藉由過度剝除被移除以滿足光阻均勻度及幾何結構。若光阻在晶圓的某些區域被完全移除但其他區域並非如此,剝除製程之延續將使其他物質由已經剝除之區域中移除,通常為矽及二氧化矽。因此,過度剝除偶爾會移除一些下層的功能性元件結構,因而招致高度的材料損失。材料損失係為一光阻厚度、外殼厚度、及過度剝除百分率之函數。用以移除較厚光阻之較長且較具侵略性的剝除作用亦可移除較多的矽。或者,含氟電漿通常用於減緩殘留物的產生。然而,氟的使用可能導致顯著的材料損失,其對於先進技術節點而言通常係不樂見的。
然而,在先進技術節點中(如:45 nm 及其後節點),由於在某些如邏輯元件之應用上任何材料損失皆可能使得該元件失效,過度剝除製程可能無法使用。因此,完全剝除一被植入之光阻而不留下殘留物同時保留周圍材料的完整性係相當具挑戰性。在元件層,甚至來自電晶體源極/汲極區域非常小的矽損失都可能對元件的效能及良率有不利的影響,特別是針對於先進技術節點所製造的超淺層接面元件。此外,許多習知剝除技術可能導致外殼剝離或剝落,在基板表面上的其他部分留下外殼的粒子,該等粒子即使並非不可能,亦難以去除。在習知的方法中使用一較不具侵略性、溫和的無氟電漿以移除光阻係在晶圓表面留下殘留物。本說明書提供之方法可完全移除被植入之光阻而不留下殘留物,同時不引起周圍材料之損失。
在一態樣中,該等方法包含在移除光阻前藉由將光阻暴露於產生羥基的氣體而處理光阻。產生羥基的氣體為可反應或分解使羥基官能團釋出之氣體或氣體混合物。一般而言,儘管非必要,產生羥基的氣體包含至少一羥基官能團。處理期間之反應性物種可為離子物種,或其他高能量分子物種。在許多實施例中,該等反應性物種係為羥基自由基。
該處理方法允許之後的光阻移除操作可使用較溫和的剝除化學物,如此一來,該剝除作用導致極低的材料損失同時仍產生無殘留物之表面。舉例而言,一基板可在使用一溫和的無氟電漿移除前被暴露於過氧化氫(H2 O2 , hydrogen peroxide)蒸氣下。本說明書敘述之處理方法在光阻移除之後可造成大致上無殘留物的基板及少量的或無材料損失。在許多實施例中,該處理方法在無電漿的狀態下實施。本揭露實施例適合針對具有非常小的特徵或臨界尺寸之晶圓,如:小於或等於100 nm、小於或等於65 nm、或小於或等於45 nm。本揭露實施例尤其可適合先進邏輯元件之非常淺的接面,以及經歷產線前端(FEOL, front end of the line)離子植入的晶圓,特別是高劑量離子植入。
在一些實施例中,一產生羥基的蒸氣化合物處理可改質外殼及下層的主體光阻二者。在某些情況下,水(H2 O)蒸氣可被加入產生羥基的化合物中以增進該等改質處理,而在其他情況下,該產生羥基的蒸氣化合物可被單獨使用。不受特定理論之限制,水的存在可幫助提升羥基官能團的濃度。在不同的實施例中,水蒸氣被加入過氧化氫中。舉例而言,該被植入之光阻可被暴露於H2 O2 /H2 O蒸氣中,其可導致化學性及/或物理性的改質以增進之後被植入光阻之剝除作用,最終導致明顯提升殘留物移除。在某些實施例中,過氧化氫蒸氣被單獨使用。
除了在高劑量植入之後,該等已描述之方法及裝置亦可有效地使用於在中劑量或低劑量植入之後處理光阻。儘管特定的摻雜離子如硼、砷、及磷已詳述,該等已描述之方法及裝置可被有效地使用於處理被注入其他摻質的光阻,如氮、氧、碳、鍺、及鋁。再者,該等已描述之方法及裝置亦可對於移除非摻雜之光阻具有功效。
其他處理程序可用於改質被植入之光阻,例如臭氧/水(DIO3 , ozone/water)製程。然而,在習知的DIO3 製程中,在一系列化學反應與臭氧發生之前,水蒸氣在晶圓表面上凝結為液相,最終產生幫助移除光阻之活性物種。水在晶圓表面上之凝結作用由於多種理由可能並非合適的。由於光阻表面為疏水性,將水自晶圓表面除潤(dewetting)易於導致不均勻的光阻去除。晶圓表面上之該液態水膜之厚度可被控制以提高反應物種及光阻表面間的反應速率,但該種控制可能使用複雜的硬體裝置,其提升執行剝除之費用。相較之下,本說明書揭露之不同的實施例可排除使氣體轉變為液體之相轉變,將用於實施該處理程序的硬體裝置及程序操作之複雜性顯著地減至最小。與習知的DIO3 製程不同,本揭露實施例可為產生羥基之化合物在處理程序期間可存在於氣相中之情況。因此,實施本揭露實施例所造成之移除均勻度可被改善。
圖2係為一製程流程圖,其描述用以實施根據本實施例的方法之多種操作。在操作步驟202中,具有圖案化光阻之基板被設置於處理腔室中。在許多實施例中,該基板為半導體基板,如矽基板。在不同的實施例中,該基板包含被蝕刻材料之暴露層。該等材料之實例包含氮化矽、矽、二氧化矽、高介電材料/金屬閘極堆疊中之物質、氮化鈦、氮化鉭、及金屬。
在不同實施例中,該具有圖案化光阻之基板已經歷一或更多的半導體製程如蝕刻、沉積、及離子植入。該圖案化光阻可包含一外殼及一主體光阻兩者。在許多實施例中,一外殼在光阻的上表面與側壁(選擇性地)形成。 在不同的實施例中,該位於頂部及側壁之外殼在化學物質及/或厚度方面為非均勻。該外殼之厚度及化學物質可取決於操作步驟202前實施於基板上之操作。在不同的實施例中,該外殼厚度介於約200 Å至約1500 Å間,或介於約200 Å 至約600 Å間。在不同的實施例中,該主體光阻厚度介於約60 nm至約1000 nm間,本揭露實施例可被實施於任何厚度的光阻(包含具任何厚度之外殼的光阻)。
接著,在操作步驟204中,一或更多的產生羥基的化合物被引入腔室,如此一來該基板暴露於該等產生羥基的化合物中。在一些實施例中,於操作步驟204中,一或更多的含羥基的化合物被引入腔室。在不同的實施例中。該產生羥基的化合物係為過氧化氫(H2 O2 )。在許多實施例中,該產生羥基的化合物係於氣相中(亦被稱為蒸氣相)。一般而言,氣相化合物並不處於電漿中。在某些實施例中,其可藉由如紫外線或微波輻射之能量源來激發。產生羥基的化合物之實例可包含過氧化物、水、臭氧、含醇類之化合物(如乙醇和異丙醇)、及任何上述之組合。在一些實施例中,一或更多的產生羥基的化合物包含過氧化物與水之混合物。
該一或更多的產生羥基的化合物可為預混合、部分混合、或不混合。個別氣體或蒸氣源在被引入腔室前可流入一混合充氣室中。在其他實施例中,一或更多的產生羥基的化合物可個別進入腔室中。
在一些實施例中,該產生羥基的化合物在無電漿的狀態下被引入。在不同的實施例中,該羥基官能團藉由使用過氧化物產生器來產生。在一些實施例中,然而,該產生羥基的化合物可在暴露於基板前或在暴露於基板期間視需要而活化。活化作用可提升羥基官能團或羥基自由基的濃度。該或該等產生羥基的化合物可藉一活化能量源而活化。舉例而言,該產生羥基的化合物可在其引入腔室之上游處被遠端活化,或其可於處理的腔室中被活化。在不同的實施例中,該活化作用以紫外線輻射進行。在一些實施例中,該產生羥基的化合物使用水蒸氣的光解或藉由熱產生而被活化。
雖然該產生羥基的化合物在許多實施例中係處於無電漿的狀態時,但在一些實施例中,其可使用RF電漿來實施活化作用。舉例而言,電漿可用於產生氫和NO2 ,其接著可互相反應以形成OH。該反應可以下列一般性化學反應來表示:
在某些實施例中,自電漿產生之羥基自由基可被引入處理的腔室,伴隨著羥基自由基被活化或由另一個來源產生。然而應注意,儘管受電漿活化之化合物可被用於產生羥基自由基,受電漿活化之化合物可損害基板並引起基板的氧化作用,因此須謹慎避免此類損害。
在一些實施例中,在以OH官能團處理後用於光阻移除的電漿係自一形成氣體(含4% H2 的N2 混合物)中產生。低濃度的產生氧自由基之氣體(如:O2 或CO2 )可被使用。低濃度的氧自由基可被添加以提升光阻的移除率。應注意須謹慎以確保氧自由基濃度不會過高以致引起材料損失。
在步驟204期間,基板溫度應在一個使凝結作用最小化且足夠低以避免對基板的損害的程度。該溫度可依據產生羥基的化合物之壓力及處理的腔室之體積而調整。基板溫度例如可介於約20℃與約250℃之間,或約20℃與約150℃之間。
該基板可在產生羥基的化合物中暴露一段足以處理光阻的時間。暴露時間取決於一些因子,如光阻的量及厚度、處理化學物、溫度、產生羥基的化合物之濃度。舉例而言,在不同的實施例中,基板在腔室內暴露於產生羥基的化合物達一段約10秒至約10分鐘之間的時間。
產生羥基的化合物可以氣相形式被引入。不受特定的理論所侷限,一般認為以氣相形式引入產生羥基的化合物對於避免與凝結作用相關之問題(如:基板上之不均勻性、及反應性物種於整個液膜上的傳輸限制)可為有益的。若使用液態之產生羥基的化合物,壓力及溫度兩者皆可被調整,如此一來該製程實質上於液相中操作。在一些實施例中,基板上有少量的凝結作用,其對於處理光阻而言可能為有利的。在一些將基板暴露於防止一或更多的產生羥基的氣體凝結的狀態之實施例中, 某些少量的凝結作用發生在基板表面上。在其他實施例中,不存在凝結作用。
產生羥基的化合物之濃度可為足以處理光阻而不發生凝結且不對基板造成損害的量。該濃度可取決於處理化學物、基板尺寸、光阻的量及厚度、溫度、及處理的腔室之體積。該濃度可以如百萬分率(ppm, parts per million)或分壓來表示。引入腔室之產生羥基的化合物之濃度可為如介於約225 ppm及約10000 ppm之間、或介於約550 ppm 及約10000 ppm、或介於約550 ppm 及約5000 ppm。引入腔室之產生羥基的化合物之分壓將隨著該腔室的總壓而變化。在一些實施例中,分壓可受限於裝置且使用前述產生羥基的化合物之濃度而相應調整。前述濃度可適用於大範圍之腔室總壓。
在一些實施例中,腔室的總壓可介於約0.6 Torr 及約760 Torr之間、或介於約0.6 Torr 及約3.5 Torr之間、或介於約10 Torr 及約760 Torr之間。在一些其中於與後續光阻剝除相同的腔室中實施處理之實施例中, 該腔室的壓力可介於約0.6 Torr 及約10 Torr之間。在一些實施例中,該腔室的總壓約為760 Torr。
在一實施例中,在腔室總壓為1 atm的情況下,過氧化氫蒸氣之分壓可介於約0.4 Torr及約7.6 Torr之間。在另一個實施例中,具760 Torr總壓的腔室中之水蒸氣的分壓約為0.2 Torr。
產生羥基的化合物可使用載氣來引入腔室。範例載氣包含氮氣(N2 )及任何其他合適的載氣。在一些實施例中,該產生羥基的化合物為連續流動。在一些實施例中,若兩個或更多產生羥基的化合物被引入腔室,其可一起流動或以脈衝交替地輸入。舉例而言,在一些實施例中,可使過氧化物及水以脈衝交替地輸入腔室。
本說明書所描述之處理程序在光阻剝除製程中可具有多個優點。本說明書所描述之處理程序最主要的益處為材料損失之降低。該處理本身實質上不會引起周圍材料之材料損失,且由於使用較溫和的電漿,在後續的移除期間同樣地可降低或無材料損失。
與操作步驟204有關之前述處理的條件可為在處理期間不致使在基板表面上凝結之條件。該條件可為在處理程序期間不致使周圍材料氧化之條件。在一些實施例中,該等處理條件為防止或抑制一或更多的產生羥基的氣體在基板表面之凝結作用之條件。舉例而言,該處理可於一壓力下實施,該壓力係足以提供足夠之產生羥基的氣體來處理基板,但防止氣體在表面上的凝結作用。同樣地,該處理可實施於使一或更多的產生羥基的氣體在處理中自始至終保持氣相以防止基板表面上之凝結作用的溫度及壓力下。在一些實施例中,在基板表面上有少量的凝結作用。其他可考量之條件包含腔室及基板的溫度、一或更多的產生羥基的氣體之化學物、處理期間的其他化學物之存在。
防止冷凝作用之條件可藉由分析與一或更多的產生羥基的氣體相關之相圖並選擇防止冷凝作用之溫度及壓力來決定。該溫度及壓力亦可藉由評估一或更多的產生羥基的化合物之水性混合物的重量百分濃度來決定。舉例而言,若該處理包含流動過氧化氫和水,該分壓或蒸氣壓及溫度可取決於適合防止凝結為液相並將其維持存在於氣相中的過氧化氫水溶液的重量百分濃度。可被用於決定處理條件之過氧化氫及水的混合物之平衡曲線及相圖可在Craig W. Jones 的 Applications of Hydrogen Peroxide and Derivatives ch. 1 (1999)文獻中找到。
不受特定理論侷限,一般認為該處理程序對於外殼光阻及主體光阻之至少一者或兩者皆進行改質,舉例而言,該處理在化學上及/或物理上改質外殼或主體光阻,或兩者皆改質。舉例而言,產生自一或更多的產生羥基的化合物之羥基官能團可與出現在光阻中的有機官能團(如:烯類、烷類、酚類)發生化學上的反應,導致一系列的化學反應。這些化學反應最終可導致聚合物鏈之分解或斷裂,其可在後續電漿暴露期間使外殼層更容易被移除。在整個化學及物理的外殼改質程序期間產生孔隙或缺陷亦似乎為合理的,其可接著促進羥基官能團至下層的主體光阻之傳輸。羥基自由基亦可接著藉由使如前述之相似的化學及物理轉變發生在主體光阻上來改質主體光阻。總體而言,將被植入之光阻暴露至羥基官能團可藉由一溫和的程序使該被植入之光阻隨後被剝除以產生無殘留物的表面。舉例而言,代替使用具侵略性的含氟電漿以剝除被植入的光阻,該處理步驟可使用一溫和的非含氟電漿使樣品被剝除且仍為無殘留物。結合該處理及後續非含氟電漿可顯著防止材料損失。該處理亦可提升後續電漿化學物在最終移除被植入的光阻方面之反應性。
因該暴露處理所致之被植入光阻之改質亦可能提升光阻剝除速率,並導致處理量的上升。舉例而言,外殼之物理改質(如孔隙或通道的產生及聚合物鏈之斷裂)可在後續剝除製程中提升外殼和下層主體光阻兩者之移除率。因此,移除被植入的光阻之整體處理量上升。
為了更進一步地保護材料損失,處理步驟可在無電漿且在相對較低的溫度(如在低於約200 ℃的溫度下)情況下實施。這些條件有助於抑制被暴露的周圍材料之氧化作用,因而滿足針對在先進節點中之半導體製程的超低氧化及損失的需求。
因此,本說明書描述之處理方法可在低溫下使用溫和的電漿產生改良之無或低殘留物的光阻移除,其可增進基板的處理量。該處理方法可適用於經歷高劑量離子植入(包含光束線、電漿摻雜、及其他)的光阻之移除。不同的光阻移除程序之實例於本說明書中提出,但在所揭露實施例中之處理方法可適用於在藉由任何光阻方法移除前處理任何類型的光阻。
光阻移除程序視需要可實施於操作步驟204中的處理後。一溫和的無氟電漿,或一含氟電漿可被用於在操作步驟204後移除光阻。在不同的實施例中,一氫氣/氮氣(H2 /N2 )電漿可被引入腔室以移除光阻。另一個光阻移除方法實例可包含將被處理之光阻暴露至由含氫之氣體所產生的電漿。在一些實施例中,可使用一具有低濃度之氟的含氟電漿。在一些實施例中,該氣體亦可包含一弱氧化劑及一含氟氣體。在一些實施例中,該氣體亦可包含一弱氧化劑及非含氟氣體。
弱氧化劑之實例包含碳氧化物,如:二氧化碳(CO2 )、一氧化碳(CO);氮氧化物,如:一氧化二氮(N2 O)、一氧化氮(NO)、二氧化氮(NO2 );及硫氧化物,如:一氧化硫(SO)、二氧化硫(SO2 )。其他的弱氧化劑之實例包含任何含氧之碳氫化合物(CxHyOz)及水。在某些實施例中,該弱氧化劑為含碳化合物。在特定實施例中,因為二氧化碳便宜、安全、且有效而作為弱氧化劑使用。
含氟氣體可為三氟化氮(NF3)、六氟化硫(SF6 )、六氟乙烷(C2 F6 )、四氟化碳(CF4 )、三氟甲烷(CHF3 )、二氟甲烷(CH2 F2 )、八氟丙烷(C3 F8 )、八氟環丁烷(C4 F8 )、八氟-1-丁烯(C4 F8 )、八氟-2-丁烯(C4 F8 )、八氟異丁烯(C4 F8 )、氟氣(F2 )、及其他此類氣體。在一些實施例中,該含氟氣體為三氟化氮、六氟化硫、氟氣、或氟化氫蒸氣。在一些實施例中,該含氟化合物為非含碳化合物。在一些實施例中,三氟化氮作為該含氟氣體來使用。在一些實施例中,任何容易轉變為氟化氫蒸氣之含氟氣體可被使用。在一些實施例中,氧基化學物或其他合適的剝除化學物可被用於移除光阻。
熟習該技術者將可確認出現在電漿中的實際物種可為自氫氣、弱氧化劑、及處理氣體中衍生的不同離子、自由基、及分子之混合物。當電漿與有機光阻及其他殘留物發生反應並分解之,應注意其他物種可於選擇性之剝除製程期間出現在反應的腔室中,如小分子碳氫化合物、二氧化碳、水蒸氣、及其他揮發性化合物。熟習該技術者亦將可知悉引入電漿的初始氣體通常與在剝除期間存在於電漿中的氣體及接觸工作部件表面的氣體不同。
不同類型的電漿源可被用於選擇性之光阻剝除,包含射頻、直流電、及基於微波之電漿源。在一些實施例中,使用下游的射頻電漿源。一般而言,用於300 mm晶圓的射頻電漿功率之範圍係介於約300瓦特至約10千瓦特之間。在一些實施例中,射頻電漿功率係介於約2000瓦特及5000瓦特之間,如:3500瓦特。 裝置
圖3為依據特定實施例之裝置300的實例之示意圖。裝置300具有由噴淋頭組件305隔開的可選擇性之電漿源301及製程的腔室303。電漿源301連接氣體進氣口311。噴淋頭309形成噴淋頭組件305之底部。惰性氣體進氣口313可位於電漿源301之下游及晶圓323與噴淋頭309之上游。在製程的腔室303之內部,帶有光阻/乾式蝕刻副產物物質或有機膜的晶圓323置放在基板支撐體(或台)317上。基板支撐體317可裝設有可依需求在平台上加熱或冷卻晶圓323的溫度控制機械裝置。在一些實施例中,基板支撐體317亦可被配置以施加一偏壓至晶圓323上。經由真空泵浦及導管319,反應之腔室303達到低壓。
在操作中,一氣體經由氣體進氣口311被引至電漿源301。該被引至電漿源的氣體包含化學活性物種,其將在電漿源中被離子化以形成電漿。氣體進氣口311可為任何類型的氣體進氣口,且可包含多個孔或噴口。電漿源301係為產生引至該來源之氣體的活性物種以形成電漿之處。在圖3中,射頻電漿源以感應線圈315來顯示,其被激發以形成電漿。惰性氣體經由位於噴淋頭之上游及電漿源之下游的氣體進氣口313被引入。該惰性氣體與電漿混合在一起。氣體進氣口313可為任何類型之氣體進氣口,且可包含多個孔或噴口以使惰性氣體與電漿之混合最佳化。噴淋頭309引導電漿/惰性氣體混合物通過噴淋頭孔洞321進入製程的腔室303。噴淋頭孔洞321可為任何使製程的腔室303內之電漿/氣體混合物的均勻性最大化的數量與排列。可為電性接地或具有外加電壓之噴淋頭組件305可捕捉或釋放某些離子且因而改變流入製程之腔室303的氣體組成:即該氣體將包含一提高的中性物種之比例。如所述,晶圓323可為溫控的和/或可被施加射頻偏壓。該電漿/惰性氣體混合物將光阻/蝕刻副產物物質自晶圓移除。
在一些實施例中,該裝置不包含噴淋頭組件305及噴淋頭309。在這些實施例中,惰性氣體進氣口313將化合物直接引入製程的腔室,在此處該化合物選擇性地與位於晶圓323上游的電漿混合。在許多實施例中,該裝置不包含電漿源301且該化合物通過氣體進氣口311或313被直接引入腔室。在使用電漿之實施例中,可使用不同的電漿源301及感應線圈315之配置結構及幾何結構。舉例而言,感應線圈315可以交錯的型態纏繞電漿源301。在另一個實例中,電漿源301可成形如一半球形而非圓柱形。
該製程的腔室可為任何亦可用於實施後續選擇性之剝除操作之合適的反應腔室,如圖3中所描繪之。在許多實施例中,該製程的腔室可為多個站之裝置的其中一站或可純粹地為一個單一的腔室裝置。本說明書中描述之處理方法亦可於一承載室中實施,或一針對光阻剝除前之處理特別設計的腔室。該腔室亦可包含同時處理不同晶圓的多個站。該製程的腔室可為與植入、蝕刻、或其他光阻媒介之製程發生之處相同的腔室。在其他實施例中,為了剝除而準備一分離的腔室。在一些實施例中,該處理實施於與先前實施蝕刻、沉積、或離子植入製程之相同的腔室中。在一些實施例中,該處理實施於與先前實施蝕刻、沉積、或離子植入製程之處分離的腔室中。
單一站剝除裝置可被用於實施本揭露之實施例。在一些實施例中,該單一站剝除裝置可包含一或更多的腔室,且在光阻移除前該處理可實施於一腔室。在一些實施例中,該處理實施於與剝除時相同的腔室。在一些實施例中,剝除實施於一不同於實施處理之處的腔室中。
在並行的製程中,兩個或更多個站可被用於實施處理及/或剝除。或者,晶圓可被指示以使該等處理操作接續實施於兩個或更多個站。合適的電漿裝置包含由加州費利蒙的蘭姆研究公司所提供(Lam Research Corp. of Fremont, CA)之Gamma 2100, 2130 交錯感應耦合電漿(I2 CP, Interlaced Inductively Coupled Plasma)、G400、及 GxT 。
該製程的腔室可包含一或更多的處理站,剝除操作實施於該一或更多的處理站上。在特定實施例中,該一或更多的處理站包含一預熱站、至少一個剝除站、及一過灰化(over-etch)站。基板支撐體被配置以於處理期間支撐晶圓。該基板支撐體亦可於處理期間將熱能傳遞至晶圓及自晶圓傳遞熱能以視需求調整晶圓溫度。在特定實施例中,晶圓被支撐於許多最小接觸點上且不實體接觸晶圓支撐體之表面平面。一轉軸提取晶圓並將其自一站傳送至下一站。
產生羥基的化合物可在腔室上游產生及/或活化。舉例而言,過氧化物及水蒸氣可於異地(ex situ)被活化以產生OH官能團,其後續被傳輸至製程的腔室。該產生羥基的化合物傳輸系統可被併入製程的腔室之控制器中,其被程序化以在操作中控制製程條件。該控制器可包含一或更多的記憶元件及一或更多的處理器。處理器可包含一中央處理器或電腦、類比及/或數位輸入/輸出連接裝置、步進馬達控制板等等。
該產生羥基的化合物可在腔室中使用電漿來活化。舉例而言,氫氣及二氧化氮可隨著電漿被引入腔室以在現地(in situ)產生OH自由基。
多站剝除裝置可被利用於實施本說明書中描述之光阻或有機膜或殘留物的剝除製程。圖4為顯示包含站1、2、3、4、5、及6之此類裝置俯視圖的簡化示意圖。在一些實施例中,於站1、2、3、4、5、及6其中一者中之光阻剝除操作前,該處理實施於承載室中(如承載室401)。一機械承載片(未顯示)可首先將晶圓自承載室401移動至站1。腔室中之一晶圓傳送元件(一般為機械手臂單元)可在腔室內的膜組或站之間移動晶圓。晶圓經由腔室或承載室401進入裝置之站1、依序傳送至各站以於該站進行處理操作、且在製程完成之後從站6經由腔室或承載室402離開。
在不同的實施例中,系統之控制器450被利用於在操作期間控制製程條件。控制器450通常可包含一或更多的記憶元件及一或更多的處理器。處理器可包含一中央處理器或電腦、類比及/或數位輸入/輸出連接裝置、步進馬達控制板等等。
控制器450可控制沉積裝置上所有的作用。該系統之控制器450可執行系統控制軟體,包含用以控制時間、流率、氣體或蒸氣之混合物、腔室壓力、腔室溫度、晶圓溫度、RF功率位準(若可適用)、晶圓卡盤或台座之位置、及其他特定製程之參數的指令集。儲存於與控制器450聯繫之記憶元件上的其他電腦程式可被利用於一些實施例中。或者,可將控制邏輯硬碼化於控制器中。特殊應用積體電路、可編程邏輯元件(如:場可程式化閘陣列(FPGAs, field-programmable gate arrays))及諸如此類之元件可被用於此等用途。在下列討論中,無論「軟體」或「編碼」用於何種情況,功能上相當之硬碼化邏輯皆可用於該狀況。
使用者介面可與控制器450聯繫。該使用者介面可包含一顯示螢幕、裝置及/或製程情況之圖形化軟體顯示、以及使用者輸入裝置(如指向裝置、鍵盤、觸控螢幕、麥克風等等)。
系統控制邏輯可以任何合適之方式被配置。一般而言,該邏輯可被設計或配置在硬體及/或軟體中。可將控制驅動電路之指令硬碼化或設置為軟體。該指令可藉由「程式設計」被設置。此類程式設計據了解包含任何形式的邏輯,其包含數位訊號處理器中硬碼化的邏輯、特殊應用積體電路、及其他作為硬體來執行之具有特定演算法的元件。程式設計據了解亦包含可於一般用途處理器上執行之軟體或韌體指令。系統控制軟體可以任何合適的電腦可讀取程式設計語言來編寫。
用於控制一或更多的產生羥基的化合物流、及載氣流、及其他依製程順序之製程的電腦程式碼可以任何習知的電腦可讀取程式設計語言來編寫:例如彙編語言、C、C++、Pascal、Fortran、或其他。編譯的目標碼或指令碼藉由處理器來執行,以實施在程式中辨識之工作。亦如所指示,程式碼可被硬碼化。
控制器參數與製程條件相關,例如化合物蒸氣組成及流率、溫度、壓力、冷卻氣體壓力、基板溫度、及腔室室壁的溫度。該等參數以配方的形式提供給使用者且可藉由使用者介面輸入。
監控製程的信號可藉由系統的控制器450之類比及/或數位輸入連接裝置來提供。該控制製程之信號於沉積裝置400之類比及/或數位輸出連接裝置上輸出。
系統軟體可以多種不同的方式來設計或配置。舉例而言,不同腔室元件之副程式或控制物件可被寫入以控制根據本揭露實施例執行處理程序所必需的腔室元件之操作。作為此目的之用的程式或程式區段之實例包含基板定位碼、製程氣體控制碼、壓力控制碼、及加熱器控制碼。
基板定位程式可包含用以控制腔室元件之程式碼,該腔室元件用於承載基板至台座或卡盤上且控制基板和腔室其他部分(如氣體進氣口及/或目標物)之間的間距。製程氣體控制程式可包含控制氣體組成及流率且視需要可在處理前流動氣體進入腔室以穩定腔室內的壓力之編碼。壓力控制程式可包含藉由調節如腔室之排氣系統中的節流閥來控制腔室中的壓力之編碼。加熱器控制程式可包含控制送至用於加熱基板的加熱單元之電流之編碼。或者,該加熱器控制程式可控制傳熱氣體(如:氦氣)至晶圓卡盤之傳輸。
可於沉積期間被監測之腔室感測器之實例包含質流控制器、如壓力計之壓力感測器、及設置在台座或卡盤上之熱電偶。適當地程式設計之反饋及控制演算法可與來自該等感測器之資料一起使用,以維持期望的製程條件。
前述內容描述所揭露之實施例施行在單一或多個腔室的半導體處理工具中。本說明書描述之裝置及製程可結合微影圖案化工具或製程使用,例如半導體元件、顯示器、發光二極體、太陽光電板、及諸如此類元件之生產或製造。通常,儘管非必要,此類工具/程序將於一常見的製造設備中一起使用或實施。 實驗 實驗1
進行一實驗以測定在移除前利用H2 O2 /H2 O蒸氣來處理圖案化之高劑量離子植入(HDI,  high-dose ion-implanted)的光阻相較於在移除前未處理光阻之成效。在製程的腔室中,在具有或不具有H2 O2 /H2 O處理的情況下,利用氫氣/氮氣(H2 /N2 )電漿來處理圖案化之HDI光阻晶圓。該H2 O2 /H2 O處理伴隨著1 大氣壓的總壓而實施。
圖5A-5C為晶圓之掃描式電子顯微鏡(SEM, scanning electron microscope)的影像。圖5A顯示未經處理的晶圓501、圖5B顯示在未經處理的情況下,使用H2 /N2 電漿剝除之晶圓、及圖5C顯示經過H2 O2 /H2 O蒸氣處理之後接著H2 /N2 電漿剝除之晶圓。在圖5B中,可看見僅經過H2 /N2 電漿剝除後之顯著殘留物502,其顯示利用非含氟電漿來移除與HDI剝除(HDIS, HDI strip)相關聯之外殼的難度。相較之下,如圖5C顯示,在H2 /N2 電漿之前實施H2 O2 /H2 O處理步驟被證明可消除殘留物503。此發現強調該H2 O2 /H2 O蒸氣處理步驟提升被植入之光阻的移除效率。
通常對於HDIS而言,為了不留下殘留物,一般將具侵略性之含氟電漿用於剝除被植入之光阻。然而,在電漿中的氟原子快速地蝕刻矽、氮化矽、及二氧化矽,此導致高材料損失。藉由H2 O2 /H2 O蒸氣處理步驟之導入,然而,非含氟電漿可被用於剝除該被植入之光阻而不留下剩餘的殘留物。如圖5C中所見,非含氟電漿(氫氣/氮氣)被用於移除受H2 O2 /H2 O蒸氣處理之光阻以產生無殘留物的表面。與使用含氟電漿時相較,以上述的方式可抑制整體的材料損失。 實驗2
進行一實驗以測定在移除前利用過氧化氫蒸氣處理電漿摻雜後之光阻之成效。過氧化氫處理步驟在顯著提升殘留物表現方面之有效性亦在其他應用中觀察到:電漿摻雜後光阻剝除。以4500 ppm的過氧化氫蒸氣在1 大氣壓下處理圖案化之電漿摻雜光阻樣本,且接著使其承受使用不含氟的電漿之溫和的電漿剝除製程,並將其與未經處理之樣本比較。
在兩個例子中,該樣本經電漿處理以移除被植入的光阻。分析晶圓之SEM影像,當與未經處理之樣本比較時,經過氧化氫處理之樣本清楚地顯示顯著的殘留物改善。H2 O2 /H2 O蒸氣處理於不同應用中(如光束線及電漿摻雜後光阻剝除)在提升殘留物表現方面之有效性說明本揭露實施例之廣泛的應用性。 實驗3
進行一實驗以測定在光阻移除前利用過氧化氫蒸氣來處理之成效(相較於水蒸氣)。在以H2 /N2 電漿移除光阻前,在腔室中於總壓1大氣壓下,被植入之光阻樣本以2,700 ppm的H2 O2 及200,000 ppm的H2 O處理。另一個被植入之光阻樣本在暴露於H2 /N2 電漿前,僅以200,000 ppm的H2 O處理。在電漿暴露後被植入的光阻之移除量藉由橢圓偏光儀來量測。結果顯示於下表1。表1. 使用過氧化物與未使用過氧化物之光阻移除
如所示,以H2 O2 /H2 O蒸氣處理大幅提升於後續電漿剝除製程期間被植入之光阻的移除作用。此發現強調該H2 O2 /H2 O蒸氣處理程序在改善與剝除製程相關聯之殘留物表現方面潛在地優於H2 O蒸氣暴露。該H2 O2 /H2 O處理顯示在被植入的光阻之移除方面較大的提升。 實驗4
進行一實驗以將經過氧化氫處理之光阻、經H2 O2 /H2 O處理之光阻、經H2 O處理之光阻移除率,與未經處理之光阻的移除率進行比較。載氣N2 用於所有晶圓。三個高劑量植入之光阻樣本僅以不同濃度(於濃度550 ppm、4574 ppm、及100,000 ppm)之H2 O2 處理。一HDI光阻樣本以2,700 ppm的H2 O2 及200,000 ppm的H2 O處理。一HDI光阻樣本以200,000 ppm的H2 O處理。每一個晶圓後續使用氧氣(O2 , oxygen)及形成氣體(FG, forming gas) (含4% H2 的N2 混合物)之電漿來進行剝除。作為控制組,相同的高劑量植入光阻樣本以氮氣(N2 )處理並接著使用O2 /FG 電漿來剝除。每一個樣本之被植入的光阻之移除量藉由橢圓偏光儀來量測。將被植入的光阻之移除量繪製如圖6。
圖6顯示,相較於以N2 處理之控制組樣本,僅經H2 O2 及經H2 O2 /H2 O蒸氣處理之樣本造成被植入的光阻之高移除率。
不受特定理論所侷限,一般認為在電漿製程前實施H2 O2 /H2 O蒸氣處理在殘留物表現方面之改善可歸功於將H2 O2 /H2 O蒸氣引入被植入的光阻中之改質。該等改質可在後續電漿處理步驟期間促進及/或提升被植入的光阻之移除率。當相較於N2 控制組及僅經H2 O處理之樣本,H2 O2 /H2 O蒸氣之組合亦可提升被植入的光阻之移除。該僅經H2 O處理之樣本與N2 控制組相較之下亦展現較高的移除率。當相較於N2 控制組樣本,所有經處理之樣本顯示在被植入的光阻之移除率方面之提升。 實驗5
進行一實驗以評估經處理之光阻相對於未經處理之光阻的化學組成。將被植入之光阻樣本暴露於僅H2 O2 蒸氣或H2 O2 及H2 O蒸氣之混合物,並接著將該等樣本以傅立葉轉換紅外線光譜儀(FTIR, Fourier transform infrared spectroscopy)分析。該結果之FTIR數據描繪於圖7。如圖7A中所示,未經處理之光阻的數據以虛線顯示,而經H2 O2 處理之光阻的數據以實線來描繪,在圖7B中,未經處理之光阻的數據以虛線描繪,而經H2 O2 /H2 O處理之光阻的數據以實線描繪。
相對於未經處理之被植入的光阻樣本(控制組),經H2 O2 處理及經H2 O2 /H2 O處理之光阻樣本兩者皆顯示在1715 cm-1 處羰基峰強度之提升,此情況顯示:不受特定理論所侷限,該H2 O2 之暴露化學性地改質被植入之光阻。該提升的羰基成分可表示聚合物鏈已藉由H2 O2 之暴露而氧化。該化學改質可因此有利地影響後續電漿剝除製程的能力以移除被植入之光阻。
除了被植入之光阻的化學改質以外,一般認為H2 O2 /H2 O蒸氣亦物理上地改質被植入之光阻。此類物理改質可包含外殼層中之聚合物鏈的斷裂(以製造具有較小的分子量之鏈片段)及通道或孔隙的產生。該兩現象皆在後續電漿處理步驟期間提升被植入之光阻的移除率,其因此加強殘留物的表現及處理量。 實驗6
進行一實驗以測定處理對於材料損失之成效。在基板暴露於H2/N2電漿剝除之前將其於不同條件下以H2 O2 /H2 O進行處理。使用之基板為低壓化學氣相沉積(LPCVD, low pressure chemical vapor deposition) –氮化矽(Si3 N4 )、熱氧化二氧化矽(SiO2 )、及伴隨著自然產生之原始氧化物的裸矽。與每一種基板的類型相對應之移除量(即:材料損失) 於H2 /N2 電漿剝除製程結束時量測。移除量對應於因H2 O2 /H2 O處理步驟及H2 /N2 電漿剝除二者所致之材料損失。將與不經H2 O2 /H2 O處理之基板對應之控制組樣本僅暴露於H2 /N2 電漿(省略該處理步驟)。因此,與控制樣本相關聯之材料損失僅歸因於該H2 /N2 電漿。任何與該控制組之數值不同的顯著差異必定為歸因於H2 O2 /H2 O處理步驟之損失。在本實驗中,基板以落於其中已在被植入之晶圓上觀察到殘留物改善之製程範圍內之條件加以處理。
圖8A-8C描繪不同處理條件下的移除量之柱狀圖。控制組樣本與未經H2 O2 /H2 O處理之基板相符,且在150℃下僅暴露於H2 /N2 電漿5分鐘。負值的移除量代表在處理後於基板上沉積或生成。
在圖8A中,基板以2700 ppm H2 O2 及200,000 ppm H2O處理。處理步驟期間該H2 O2 及H2 O的濃度保持固定,而其溫度變化於75℃與250℃之間。因H2 O2 /H2 O處理所致之氮化矽(Si3 N4 )的損失被發現為可忽略之約0.7 Å的厚度, 且不隨著溫度而變化。控制組顯示矽在150℃被H2 /N2 電漿氧化5分鐘,導致約5 Å的氧化物層生成量。該氧化物層中的生成量意味著矽被消耗並與氧原子反應以形成二氧化矽(SiO2 )。經H2 O2 /H2 O處理之矽樣本亦顯示一與僅以H2 /N2 電漿剝除於150℃下程序處理5分鐘之控制組樣本相當之氧化物生成量,其表示處理步驟並不招致額外的矽損失。經處理之熱氧化物樣本顯示小於2 Å之輕微氧化物沉積,而經電漿處理之控制組顯示可忽略之氧化物移除。該輕微之沉積可歸因於出現在晶圓表面上之表面雜質所引起的量測誤差,及/或與量測如此小的氧化物膜厚度之改變相關聯的固有度量衡學上之不確定性。
對於圖8B中之基板,該基板經2,700 ppm H2 O2 處理。對於圖8C中之基板,該基板在125℃的固定溫度下經不同條件之H2 O2 (550 ppm、2700 ppm、100,000 ppm)處理。該等基板接著在150℃下暴露於H2 /N2 電漿5分鐘。在這些情況下,因H2 O2 處理所致之氮化矽(Si3 N4 )損失亦為可忽略的(小於1 Å)。應注意圖8B及圖8C中,以125℃的2,700 ppm H2 O2 進行之處理下,氮化矽(Si3 N4 )損失可被量測為0。一般而言,矽氧化被量測以與控制樣本比較,其表示H2 O2 處理並不導致矽損失。經處理之熱氧化物樣本顯示可能歸因於量測誤差之輕微的沉積或損失。應注意圖8C中,經125℃下550 ppm H2 O2 處理的樣本之熱氧化物損失被量測為0。整體而言,對於上述全部三種基板而言,該處理該招致可忽略之材料損失。 結論
雖然為了理解之明確性前述實施例已有較為詳細之描述,顯而易見地,特定的改變及改質可在隨附之申請專利範圍之範疇內實施。應注意本實施例之實施該製程的方法、系統、及裝置存在許多替代方式。因此,本實施例被認為是說明性的而非限制性的,且本實施例並不受本說明書中提出的細節所侷限。
101‧‧‧基板
102‧‧‧暴露的矽表面
103‧‧‧光阻
103’‧‧‧無法完全移除之光阻
104‧‧‧開孔
105‧‧‧外殼/外殼層
105a‧‧‧頂部的外殼
105b‧‧‧側邊的外殼
107‧‧‧殘留物
109‧‧‧摻雜區
202‧‧‧ 將具有圖案化有機膜或光阻之基板設置於腔室
204‧‧‧將產生羥基的化合物引至腔室
300‧‧‧具特定實施例之裝置
301‧‧‧電漿源
303‧‧‧腔室
305‧‧‧噴淋頭組件
309‧‧‧噴淋頭
311‧‧‧氣體進氣口
313‧‧‧惰性氣體進氣口
315‧‧‧感應線圈
317‧‧‧基板支撐體
319‧‧‧導管
321‧‧‧噴淋頭孔洞
323‧‧‧晶圓
401‧‧‧承載室
402‧‧‧承載室
450‧‧‧控制器
501‧‧‧未經程序處理的晶圓
502‧‧‧僅經過H2/N2電漿剝除後之殘留物
503‧‧‧經過H2O2/H2O蒸氣處理之後接著H2/N2電漿剝除後之殘留物
圖1A–1D係為半導體元件製程在離子植入及剝除操作前後之各種階段的示意圖。
圖2係為一顯示依照不同實施例之方法的相關操作之程序流程圖。
圖3係為適用於實施本揭露實施例之製程的腔室之一實例示意圖。
圖4係為一適用於實施本揭露實施例之工具的一實例示意圖。
圖5A及5B描繪基板上的光阻之掃描式電子顯微鏡(SEM, scanning electron microscope)影像。
圖5C描繪依照不同實施例之基板上的光阻之SEM影像。
圖6係為一顯示依照本揭露實施例之實驗結果的圖表。
圖7A及圖7B係為依照不同實施例之光阻的傅立葉轉換紅外線光譜儀之光譜。
圖8A、8B、及8C為顯示實施本揭露實施例所得到之實驗結果的圖表。

Claims (20)

  1. 一種自基板移除有機膜的方法,包含: 在無電漿之狀態下,將該有機膜暴露於過氧化氫蒸氣以改質該有機膜;及 在將該有機膜暴露於過氧化氫蒸氣後,將該有機膜暴露於電漿以移除該有機膜。
  2. 如申請專利範圍第1項之自基板移除有機膜的方法,其中該電漿為實質上無氟。
  3. 如申請專利範圍第1項之自基板移除有機膜的方法,其中該基板更包含一或更多的暴露表面,該等暴露表面上不具有有機膜,且其中該暴露導致該一或更多的暴露表面實質上無材料損失。
  4. 如申請專利範圍第1-3項中任一項之自基板移除有機膜的方法,其中該暴露化學性地或物理性地改質該有機膜。
  5. 如申請專利範圍第1-3項中任一項之自基板移除有機膜的方法,其中該有機膜包括光阻,該光阻包含被外殼封住的主體光阻,且其中該外殼具有不同於該主體光阻之特性。
  6. 如申請專利範圍第5項之自基板移除有機膜的方法,其中該光阻為一離子植入光阻。
  7. 一種移除半導體基板上之有機膜的方法,包含: 在防止一或更多的產生羥基的氣體之凝結作用的狀態下,將其上具有有機膜之基板暴露於該一或更多的產生羥基的氣體,以產生化學性地或物理性地改質該有機膜且因此處理該有機膜之羥基官能團, 其中該暴露於移除該有機膜之前實施。
  8. 如申請專利範圍第7項之移除半導體基板上之有機膜的方法,其中該有機膜為光阻。
  9. 如申請專利範圍第7項之移除半導體基板上之有機膜的方法,其中該一或更多的產生羥基的氣體包含過氧化氫蒸氣。
  10. 如申請專利範圍第7項之移除半導體基板上之有機膜的方法,其中該一或更多的產生羥基的氣體包含水蒸氣。
  11. 如申請專利範圍第7項之移除半導體基板上之有機膜的方法,其中該一或更多的產生羥基的氣體包含過氧化氫蒸氣及水蒸氣。
  12. 如申請專利範圍第7-11項中任一項之移除半導體基板上之有機膜的方法,更包含,在處理該有機膜後,將該基板暴露於電漿以藉此移除該有機膜。
  13. 如申請專利範圍第12項之移除半導體基板上之有機膜的方法,其中該電漿為實質上無氟。
  14. 如申請專利範圍第12項之移除半導體基板上之有機膜的方法,其中該電漿由形成氣體產生。
  15. 如申請專利範圍第8項之移除半導體基板上之有機膜的方法,其中在其上具有光阻之該基板更包含一或更多的暴露表面,該等暴露表面上不具有光阻,且其中該暴露導致該一或更多的暴露表面實質上無材料損失。
  16. 如申請專利範圍第7-11項中任一項之移除半導體基板上之有機膜的方法,更包含將該一或更多的產生羥基的氣體暴露於紫外線輻射。
  17. 如申請專利範圍第16項之移除半導體基板上之有機膜的方法,其中該一或更多的產生羥基的氣體在外罩於該基板之腔室中被暴露於紫外線輻射。
  18. 如申請專利範圍第8項之移除半導體基板上之有機膜的方法,其中該光阻包括被外殼封住的主體光阻,且其中該外殼具有不同於該主體光阻之特性。
  19. 如申請專利範圍第8項之移除半導體基板上之有機膜的方法,其中該光阻為離子植入光阻。
  20. 一種處理半導體基板的裝置,該裝置包含: 一製程腔室,其包含一噴淋頭及一基板支撐體,及 一控制器,具有至少一處理器及一記憶體, 其中該至少一處理器及該記憶體彼此通訊連接, 該至少一處理器至少可操作地與一流量控制硬體連接,及 該記憶體儲存機器可讀取指令,該機器可讀取指令用以在引入電漿以移除一有機膜之前,將過氧化氫蒸氣引至該製程腔室,以改質該有機膜。
TW104117919A 2014-06-10 2015-06-03 用以提升光阻剝除性能及改質有機膜的過氧化物蒸氣處理 TWI686866B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/301,155 2014-06-10
US14/301,155 US9514954B2 (en) 2014-06-10 2014-06-10 Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films

Publications (2)

Publication Number Publication Date
TW201611120A true TW201611120A (zh) 2016-03-16
TWI686866B TWI686866B (zh) 2020-03-01

Family

ID=54770163

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104117919A TWI686866B (zh) 2014-06-10 2015-06-03 用以提升光阻剝除性能及改質有機膜的過氧化物蒸氣處理

Country Status (4)

Country Link
US (1) US9514954B2 (zh)
KR (1) KR20150141906A (zh)
SG (1) SG10201504321SA (zh)
TW (1) TWI686866B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117894719A (zh) * 2024-03-14 2024-04-16 合肥晶合集成电路股份有限公司 晶圆加热装置、套刻标记及装置控制方法

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US10490399B2 (en) * 2016-03-09 2019-11-26 Tokyo Electron Limited Systems and methodologies for vapor phase hydroxyl radical processing of substrates
US9741585B1 (en) * 2016-04-12 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Reactive radical treatment for polymer removal and workpiece cleaning
US11289323B2 (en) * 2017-12-15 2022-03-29 Beijing E-Town Semiconductor Co, , Ltd. Processing of semiconductors using vaporized solvents
US11721541B2 (en) * 2021-03-03 2023-08-08 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement formation
WO2024074929A1 (en) * 2022-10-03 2024-04-11 Rasirc, Inc. Hydrogen peroxide plasma etch of ashable hard mask

Family Cites Families (215)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4201579A (en) 1978-06-05 1980-05-06 Motorola, Inc. Method for removing photoresist by hydrogen plasma
US4357203A (en) 1981-12-30 1982-11-02 Rca Corporation Plasma etching of polyimide
US4699689A (en) 1985-05-17 1987-10-13 Emergent Technologies Corporation Method and apparatus for dry processing of substrates
US5158644A (en) 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
US5292393A (en) 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
JPH0777211B2 (ja) 1987-08-19 1995-08-16 富士通株式会社 アッシング方法
JPH0770524B2 (ja) 1987-08-19 1995-07-31 富士通株式会社 半導体装置の製造方法
JPH01200628A (ja) 1988-02-05 1989-08-11 Toshiba Corp ドライエッチング方法
US4961820A (en) 1988-06-09 1990-10-09 Fujitsu Limited Ashing method for removing an organic film on a substance of a semiconductor device under fabrication
US5354386A (en) 1989-03-24 1994-10-11 National Semiconductor Corporation Method for plasma etching tapered and stepped vias
US5122225A (en) 1990-11-21 1992-06-16 Texas Instruments Incorporated Selective etch method
JPH05275326A (ja) 1992-03-30 1993-10-22 Sumitomo Metal Ind Ltd レジストのアッシング方法
US5716494A (en) 1992-06-22 1998-02-10 Matsushita Electric Industrial Co., Ltd. Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
JPH06208972A (ja) 1993-01-12 1994-07-26 Matsushita Electric Ind Co Ltd プラズマ処理方法
US5522932A (en) 1993-05-14 1996-06-04 Applied Materials, Inc. Corrosion-resistant apparatus
EP0664347A3 (en) 1994-01-25 1997-05-14 Applied Materials Inc Plant for the deposition of a uniform layer of a material on a substrate.
US5744049A (en) 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
US5900351A (en) 1995-01-17 1999-05-04 International Business Machines Corporation Method for stripping photoresist
JP2956524B2 (ja) 1995-04-24 1999-10-04 日本電気株式会社 エッチング方法
US5817406A (en) 1995-07-14 1998-10-06 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and brazing material connection
US5633073A (en) 1995-07-14 1997-05-27 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and eutectic connection
JPH0936099A (ja) 1995-07-19 1997-02-07 Toshiba Corp ドライエッチング方法
JP3585591B2 (ja) 1995-07-29 2004-11-04 株式会社半導体エネルギー研究所 エッチング装置及びエッチング方法
US6045618A (en) 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6187072B1 (en) 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US6193802B1 (en) 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6194628B1 (en) 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
US5968324A (en) 1995-12-05 1999-10-19 Applied Materials, Inc. Method and apparatus for depositing antireflective coating
US5707485A (en) 1995-12-20 1998-01-13 Micron Technology, Inc. Method and apparatus for facilitating removal of material from the backside of wafers via a plasma etch
JPH09205130A (ja) 1996-01-17 1997-08-05 Applied Materials Inc ウェハ支持装置
US6013574A (en) 1996-01-30 2000-01-11 Advanced Micro Devices, Inc. Method of forming low resistance contact structures in vias arranged between two levels of interconnect lines
US5651860A (en) * 1996-03-06 1997-07-29 Micron Technology, Inc. Ion-implanted resist removal method
US5660682A (en) 1996-03-14 1997-08-26 Lsi Logic Corporation Plasma clean with hydrogen gas
US5814155A (en) 1996-06-26 1998-09-29 Vlsi Technology, Inc. Plasma ashing enhancement
US6083852A (en) 1997-05-07 2000-07-04 Applied Materials, Inc. Method for applying films using reduced deposition rates
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US6127262A (en) 1996-06-28 2000-10-03 Applied Materials, Inc. Method and apparatus for depositing an etch stop layer
US6129091A (en) 1996-10-04 2000-10-10 Taiwan Semiconductor Manfacturing Company Method for cleaning silicon wafers with deep trenches
US6562544B1 (en) 1996-11-04 2003-05-13 Applied Materials, Inc. Method and apparatus for improving accuracy in photolithographic processing of substrates
US5911834A (en) 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5830775A (en) 1996-11-26 1998-11-03 Sharp Microelectronics Technology, Inc. Raised silicided source/drain electrode formation with reduced substrate silicon consumption
US5811358A (en) 1997-01-03 1998-09-22 Mosel Vitelic Inc. Low temperature dry process for stripping photoresist after high dose ion implantation
US6039834A (en) 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6077764A (en) 1997-04-21 2000-06-20 Applied Materials, Inc. Process for depositing high deposition rate halogen-doped silicon oxide layer
US6306564B1 (en) 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US6177023B1 (en) 1997-07-11 2001-01-23 Applied Komatsu Technology, Inc. Method and apparatus for electrostatically maintaining substrate flatness
JP3317209B2 (ja) 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
JPH1187307A (ja) 1997-09-05 1999-03-30 Sony Corp レジストの除去方法及びその除去装置
US5908672A (en) 1997-10-15 1999-06-01 Applied Materials, Inc. Method and apparatus for depositing a planarized passivation layer
US6797188B1 (en) 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
US6536449B1 (en) 1997-11-17 2003-03-25 Mattson Technology Inc. Downstream surface cleaning process
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
EP0940846A1 (en) 1998-03-06 1999-09-08 Interuniversitair Micro-Elektronica Centrum Vzw Method for stripping ion implanted photoresist layer
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US5980770A (en) 1998-04-16 1999-11-09 Siemens Aktiengesellschaft Removal of post-RIE polymer on Al/Cu metal line
US6432830B1 (en) 1998-05-15 2002-08-13 Applied Materials, Inc. Semiconductor fabrication process
US6086952A (en) 1998-06-15 2000-07-11 Applied Materials, Inc. Chemical vapor deposition of a copolymer of p-xylylene and a multivinyl silicon/oxygen comonomer
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6277733B1 (en) 1998-10-05 2001-08-21 Texas Instruments Incorporated Oxygen-free, dry plasma process for polymer removal
US6342446B1 (en) 1998-10-06 2002-01-29 Texas Instruments Incorporated Plasma process for organic residue removal from copper
US6171945B1 (en) 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6107184A (en) 1998-12-09 2000-08-22 Applied Materials, Inc. Nano-porous copolymer films having low dielectric constants
US6121091A (en) 1999-01-19 2000-09-19 Taiwan Semiconductor Manufacturing Company Reduction of a hot carrier effect phenomena via use of transient enhanced diffusion processes
JP3728165B2 (ja) 1999-01-28 2005-12-21 キヤノン株式会社 イオン注入されたホトレジストの残渣の処理方法及び半導体装置の製造方法
US6417080B1 (en) 1999-01-28 2002-07-09 Canon Kabushiki Kaisha Method of processing residue of ion implanted photoresist, and method of producing semiconductor device
US6130166A (en) * 1999-02-01 2000-10-10 Vlsi Technology, Inc. Alternative plasma chemistry for enhanced photoresist removal
US6242350B1 (en) 1999-03-18 2001-06-05 Taiwan Semiconductor Manufacturing Company Post gate etch cleaning process for self-aligned gate mosfets
US6204192B1 (en) 1999-03-29 2001-03-20 Lsi Logic Corporation Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures
US6709715B1 (en) 1999-06-17 2004-03-23 Applied Materials Inc. Plasma enhanced chemical vapor deposition of copolymer of parylene N and comonomers with various double bonds
US6030901A (en) 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials
US6177347B1 (en) 1999-07-02 2001-01-23 Taiwan Semiconductor Manufacturing Company In-situ cleaning process for Cu metallization
US6281135B1 (en) 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6492186B1 (en) 1999-08-05 2002-12-10 Eaton Corporation Method for detecting an endpoint for an oxygen free plasma process
US6313042B1 (en) 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US6767698B2 (en) 1999-09-29 2004-07-27 Tokyo Electron Limited High speed stripping for damaged photoresist
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US20050022839A1 (en) 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
JP4221847B2 (ja) 1999-10-25 2009-02-12 パナソニック電工株式会社 プラズマ処理装置及びプラズマ点灯方法
JP3366301B2 (ja) 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
US6352938B2 (en) 1999-12-09 2002-03-05 United Microelectronics Corp. Method of removing photoresist and reducing native oxide in dual damascene copper process
US6365516B1 (en) 2000-01-14 2002-04-02 Advanced Micro Devices, Inc. Advanced cobalt silicidation with in-situ hydrogen plasma clean
JP2001308078A (ja) 2000-02-15 2001-11-02 Canon Inc 有機物除去方法、半導体装置の製造方法及び有機物除去装置並びにシステム
US20010027023A1 (en) 2000-02-15 2001-10-04 Shigenori Ishihara Organic substance removing methods, methods of producing semiconductor device, and organic substance removing apparatuses
US6184134B1 (en) 2000-02-18 2001-02-06 Infineon Technologies North America Corp. Dry process for cleaning residues/polymers after metal etch
US6667244B1 (en) 2000-03-24 2003-12-23 Gerald M. Cox Method for etching sidewall polymer and other residues from the surface of semiconductor devices
US6409932B2 (en) 2000-04-03 2002-06-25 Matrix Integrated Systems, Inc. Method and apparatus for increased workpiece throughput
JP4470274B2 (ja) 2000-04-26 2010-06-02 東京エレクトロン株式会社 熱処理装置
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6426304B1 (en) 2000-06-30 2002-07-30 Lam Research Corporation Post etch photoresist strip with hydrogen for organosilicate glass low-κ etch applications
US20020185226A1 (en) 2000-08-10 2002-12-12 Lea Leslie Michael Plasma processing apparatus
DE10051380C2 (de) 2000-10-17 2002-11-28 Advanced Micro Devices Inc Verfahren zur Herstellung eines Halbleiterbauteils unter Anwendung eines Schrumpfprozesses eines Strukturmerkmals
US6569257B1 (en) 2000-11-09 2003-05-27 Applied Materials Inc. Method for cleaning a process chamber
US6692903B2 (en) 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
US6733594B2 (en) 2000-12-21 2004-05-11 Lam Research Corporation Method and apparatus for reducing He backside faults during wafer processing
US6524936B2 (en) * 2000-12-22 2003-02-25 Axcelis Technologies, Inc. Process for removal of photoresist after post ion implantation
US6479391B2 (en) 2000-12-22 2002-11-12 Intel Corporation Method for making a dual damascene interconnect using a multilayer hard mask
US20020127853A1 (en) 2000-12-29 2002-09-12 Hubacek Jerome S. Electrode for plasma processes and method for manufacture and use thereof
US6319842B1 (en) 2001-01-02 2001-11-20 Novellus Systems Incorporated Method of cleansing vias in semiconductor wafer having metal conductive layer
US6589879B2 (en) 2001-01-18 2003-07-08 Applied Materials, Inc. Nitride open etch process based on trifluoromethane and sulfur hexafluoride
US6777344B2 (en) 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
WO2002065532A1 (fr) 2001-02-15 2002-08-22 Tokyo Electron Limited Procede de traitement de piece et dispositif de traitement
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US6723654B2 (en) 2001-03-30 2004-04-20 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for in-situ descum/hot bake/dry etch photoresist/polyimide layer
US6951823B2 (en) 2001-05-14 2005-10-04 Axcelis Technologies, Inc. Plasma ashing process
US6834656B2 (en) 2001-05-23 2004-12-28 Axcelis Technology, Inc. Plasma process for removing polymer and residues from substrates
US6875702B2 (en) 2001-06-11 2005-04-05 Lsi Logic Corporation Plasma treatment system
US6632735B2 (en) 2001-08-07 2003-10-14 Applied Materials, Inc. Method of depositing low dielectric constant carbon doped silicon oxide
US20030036284A1 (en) 2001-08-16 2003-02-20 Yu-Ren Chou Method for removing the photoresist layer of ion-implanting process
US6872652B2 (en) 2001-08-28 2005-03-29 Infineon Technologies Ag Method of cleaning an inter-level dielectric interconnect
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
JP4838464B2 (ja) 2001-09-26 2011-12-14 東京エレクトロン株式会社 処理方法
JP5038567B2 (ja) 2001-09-26 2012-10-03 東京エレクトロン株式会社 エッチング方法
US6680164B2 (en) 2001-11-30 2004-01-20 Applied Materials Inc. Solvent free photoresist strip and residue removal processing for post etching of low-k films
JP4326746B2 (ja) 2002-01-07 2009-09-09 東京エレクトロン株式会社 プラズマ処理方法
US6720132B2 (en) 2002-01-08 2004-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Bi-layer photoresist dry development and reactive ion etch method
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US6848455B1 (en) 2002-04-22 2005-02-01 Novellus Systems, Inc. Method and apparatus for removing photoresist and post-etch residue from semiconductor substrates by in-situ generation of oxidizing species
US7074298B2 (en) 2002-05-17 2006-07-11 Applied Materials High density plasma CVD chamber
US6656832B1 (en) 2002-07-25 2003-12-02 Taiwan Semiconductor Manufacturing Co., Ltd Plasma treatment method for fabricating microelectronic fabrication having formed therein conductor layer with enhanced electrical properties
JP4434950B2 (ja) 2002-08-22 2010-03-17 ダイキン工業株式会社 剥離液
US6900135B2 (en) 2002-08-27 2005-05-31 Applied Materials, Inc. Buffer station for wafer backside cleaning and inspection
US6777173B2 (en) 2002-08-30 2004-08-17 Lam Research Corporation H2O vapor as a processing gas for crust, resist, and residue removal for post ion implant resist strip
US6693043B1 (en) 2002-09-20 2004-02-17 Novellus Systems, Inc. Method for removing photoresist from low-k films in a downstream plasma system
US6837967B1 (en) 2002-11-06 2005-01-04 Lsi Logic Corporation Method and apparatus for cleaning deposited films from the edge of a wafer
US6787452B2 (en) 2002-11-08 2004-09-07 Chartered Semiconductor Manufacturing Ltd. Use of amorphous carbon as a removable ARC material for dual damascene fabrication
KR100476136B1 (ko) 2002-12-02 2005-03-10 주식회사 셈테크놀러지 대기압 플라즈마를 이용한 표면처리장치
US6780782B1 (en) 2003-02-04 2004-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Bi-level resist structure and fabrication method for contact holes on semiconductor substrates
US20040195208A1 (en) 2003-02-15 2004-10-07 Pavel Elizabeth G. Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal
EP1623452B1 (en) 2003-05-07 2006-11-22 Axcelis Technologies Inc. Wide temperature range chuck system
US20040237997A1 (en) 2003-05-27 2004-12-02 Applied Materials, Inc. ; Method for removal of residue from a substrate
KR100542031B1 (ko) 2003-05-30 2006-01-11 피에스케이 주식회사 반도체 제조공정에서의 포토레지스트 제거방법
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US7256134B2 (en) 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US7799685B2 (en) 2003-10-13 2010-09-21 Mattson Technology, Inc. System and method for removal of photoresist in transistor fabrication for integrated circuit manufacturing
US6924239B2 (en) 2003-10-14 2005-08-02 Texas Instruments Incorporated Method for removal of hydrocarbon contamination on gate oxide prior to non-thermal nitridation using “spike” radical oxidation
US20050106888A1 (en) 2003-11-14 2005-05-19 Taiwan Semiconductor Manufacturing Co. Method of in-situ damage removal - post O2 dry process
WO2005072211A2 (en) 2004-01-20 2005-08-11 Mattson Technology, Inc. System and method for removal of photoresist and residues following contact etch with a stop layer present
US20050158667A1 (en) 2004-01-20 2005-07-21 Applied Materials, Inc. Solvent free photoresist strip and residue removal processing for post etching of low-k films
JP2005268312A (ja) 2004-03-16 2005-09-29 Semiconductor Leading Edge Technologies Inc レジスト除去方法及びそれを用いて製造した半導体装置
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
WO2005104203A1 (ja) 2004-03-31 2005-11-03 Fujitsu Limited 基板処理装置および半導体装置の製造方法
US7628864B2 (en) 2004-04-28 2009-12-08 Tokyo Electron Limited Substrate cleaning apparatus and method
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7632756B2 (en) 2004-08-26 2009-12-15 Applied Materials, Inc. Semiconductor processing using energized hydrogen gas and in combination with wet cleaning
JP2006073612A (ja) 2004-08-31 2006-03-16 Rohm Co Ltd レジスト除去方法
US7597816B2 (en) 2004-09-03 2009-10-06 Lam Research Corporation Wafer bevel polymer removal
US20060051965A1 (en) 2004-09-07 2006-03-09 Lam Research Corporation Methods of etching photoresist on substrates
US7169623B2 (en) 2004-09-09 2007-01-30 Tegal Corporation System and method for processing a wafer including stop-on-aluminum processing
US20060102197A1 (en) 2004-11-16 2006-05-18 Kang-Lie Chiang Post-etch treatment to remove residues
US7202176B1 (en) 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
KR100607777B1 (ko) 2004-12-27 2006-08-01 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
DE102004063036A1 (de) 2004-12-28 2006-07-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Ausbilden von Kontaktflecken
US7601272B2 (en) 2005-01-08 2009-10-13 Applied Materials, Inc. Method and apparatus for integrating metrology with etch processing
US7344993B2 (en) 2005-01-11 2008-03-18 Tokyo Electron Limited, Inc. Low-pressure removal of photoresist and etch residue
US7268071B2 (en) 2005-01-12 2007-09-11 Sony Corporation Dual damascene interconnections having low K layer with reduced damage arising from photoresist stripping
US7432172B2 (en) 2005-01-21 2008-10-07 Tokyo Electron Limited Plasma etching method
JP2006203035A (ja) 2005-01-21 2006-08-03 Tokyo Electron Ltd プラズマエッチング方法
JP2006221772A (ja) 2005-02-14 2006-08-24 Fuji Photo Film Co Ltd ディスク状情報媒体の製造方法
US7198677B2 (en) 2005-03-09 2007-04-03 Wafermasters, Inc. Low temperature wafer backside cleaning
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
JP2006351594A (ja) 2005-06-13 2006-12-28 Toshiba Ceramics Co Ltd 半導体ウェーハの電気特性の測定方法
JP2007019367A (ja) 2005-07-11 2007-01-25 Ricoh Co Ltd 半導体装置の製造方法
JP5011852B2 (ja) 2005-07-20 2012-08-29 富士通セミコンダクター株式会社 電子デバイスの製造方法
US7411298B2 (en) 2005-08-17 2008-08-12 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.) Source/drain electrodes, thin-film transistor substrates, manufacture methods thereof, and display devices
US7468326B2 (en) 2005-08-24 2008-12-23 United Microelectronics Corp. Method of cleaning a wafer
KR101221560B1 (ko) * 2005-09-02 2013-01-14 주식회사 동진쎄미켐 변성된 포토레지스트 제거를 위한 반도체 소자용 박리액조성물
US7465680B2 (en) 2005-09-07 2008-12-16 Applied Materials, Inc. Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2
US7909960B2 (en) 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
JP2007109744A (ja) 2005-10-11 2007-04-26 Tokuyama Corp 基板洗浄液
KR100742279B1 (ko) 2005-12-22 2007-07-24 삼성전자주식회사 반도체 소자의 제조 장치 및 방법
KR20070069802A (ko) 2005-12-28 2007-07-03 엘지.필립스 엘시디 주식회사 평판표시소자의 제조장치 및 그를 이용한 기판파손방지방법
US7432209B2 (en) 2006-03-22 2008-10-07 Applied Materials, Inc. Plasma dielectric etch process including in-situ backside polymer removal for low-dielectric constant material
US8034176B2 (en) 2006-03-28 2011-10-11 Tokyo Electron Limited Gas distribution system for a post-etch treatment system
US7851369B2 (en) 2006-06-05 2010-12-14 Lam Research Corporation Hardmask trim method
US8124516B2 (en) 2006-08-21 2012-02-28 Lam Research Corporation Trilayer resist organic layer etch
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US7655571B2 (en) 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US7595005B2 (en) 2006-12-11 2009-09-29 Tokyo Electron Limited Method and apparatus for ashing a substrate using carbon dioxide
DE102006062035B4 (de) 2006-12-29 2013-02-07 Advanced Micro Devices, Inc. Verfahren zum Entfernen von Lackmaterial nach einer Implantation mit hoher Dosis in einem Halbleiterbauelement
US8083963B2 (en) 2007-02-08 2011-12-27 Applied Materials, Inc. Removal of process residues on the backside of a substrate
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
JP5332052B2 (ja) * 2007-06-01 2013-11-06 シャープ株式会社 レジスト除去方法、半導体製造方法、及びレジスト除去装置
KR101440282B1 (ko) 2007-07-11 2014-09-17 주성엔지니어링(주) 플라즈마 세정 방법
US20090061623A1 (en) 2007-09-05 2009-03-05 United Microelectronics Corp. Method of forming electrical connection structure
JP5192214B2 (ja) 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
KR101659095B1 (ko) 2008-02-08 2016-09-22 램 리써치 코포레이션 측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기
JP5102653B2 (ja) 2008-02-29 2012-12-19 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
US20090277871A1 (en) 2008-03-05 2009-11-12 Axcelis Technologies, Inc. Plasma mediated ashing processes that include formation of a protective layer before and/or during the plasma mediated ashing process
TWI472882B (zh) 2008-05-06 2015-02-11 Novellus Systems Inc 光阻剝離方法及設備
US8791001B2 (en) 2008-09-08 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. N2 based plasma treatment and ash for HK metal gate protection
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US20100130017A1 (en) 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
US20120024314A1 (en) 2010-07-27 2012-02-02 Axcelis Technologies, Inc. Plasma mediated ashing processes
US8268722B2 (en) 2009-06-03 2012-09-18 Novellus Systems, Inc. Interfacial capping layers for interconnects
WO2011008436A2 (en) * 2009-07-13 2011-01-20 Applied Materials, Inc. Method for removing implanted photo resist from hard disk drive substrates
TWI634642B (zh) 2009-08-07 2018-09-01 半導體能源研究所股份有限公司 半導體裝置和其製造方法
JP5770740B2 (ja) 2009-12-11 2015-08-26 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 高ドーズインプラントストリップの前に行われる、シリコンを保護するためのパッシベーションプロセスの改善方法およびそのための装置
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US8415212B2 (en) 2010-03-11 2013-04-09 Freescale Semiconductor, Inc. Method of enhancing photoresist adhesion to rare earth oxides
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117894719A (zh) * 2024-03-14 2024-04-16 合肥晶合集成电路股份有限公司 晶圆加热装置、套刻标记及装置控制方法
CN117894719B (zh) * 2024-03-14 2024-06-07 合肥晶合集成电路股份有限公司 晶圆加热装置、套刻标记及装置控制方法

Also Published As

Publication number Publication date
TWI686866B (zh) 2020-03-01
KR20150141906A (ko) 2015-12-21
US9514954B2 (en) 2016-12-06
US20150357202A1 (en) 2015-12-10
SG10201504321SA (en) 2016-01-28

Similar Documents

Publication Publication Date Title
TWI686866B (zh) 用以提升光阻剝除性能及改質有機膜的過氧化物蒸氣處理
JP5888652B2 (ja) 方法、装置および製造方法
US9941108B2 (en) High dose implantation strip (HDIS) in H2 base chemistry
JP5770740B2 (ja) 高ドーズインプラントストリップの前に行われる、シリコンを保護するためのパッシベーションプロセスの改善方法およびそのための装置
JP6598420B2 (ja) 改良されたデバイスインテグリティのためのフォトレジスト剥離プロセス
US20080153306A1 (en) Dry photoresist stripping process and apparatus
TWI587390B (zh) 用以蝕刻有機硬遮罩之方法
TWI497235B (zh) 於基於氫氣之化學物中的高劑量植入剝離法
US6955177B1 (en) Methods for post polysilicon etch photoresist and polymer removal with minimal gate oxide loss
JP2004134627A (ja) 有機物層の除去方法