TWI587390B - 用以蝕刻有機硬遮罩之方法 - Google Patents
用以蝕刻有機硬遮罩之方法 Download PDFInfo
- Publication number
- TWI587390B TWI587390B TW102104640A TW102104640A TWI587390B TW I587390 B TWI587390 B TW I587390B TW 102104640 A TW102104640 A TW 102104640A TW 102104640 A TW102104640 A TW 102104640A TW I587390 B TWI587390 B TW I587390B
- Authority
- TW
- Taiwan
- Prior art keywords
- dielectric
- hard mask
- organic hard
- low
- etching
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims description 78
- 238000005530 etching Methods 0.000 title claims description 35
- 229920002120 photoresistant polymer Polymers 0.000 claims description 59
- 239000000758 substrate Substances 0.000 claims description 45
- 239000007789 gas Substances 0.000 claims description 33
- 229910052799 carbon Inorganic materials 0.000 claims description 27
- 239000000203 mixture Substances 0.000 claims description 24
- 238000012545 processing Methods 0.000 claims description 24
- 239000003989 dielectric material Substances 0.000 claims description 21
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 20
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 13
- 239000001257 hydrogen Substances 0.000 claims description 13
- 229910052739 hydrogen Inorganic materials 0.000 claims description 13
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 12
- 229910003481 amorphous carbon Inorganic materials 0.000 claims description 12
- 230000001590 oxidative effect Effects 0.000 claims description 9
- 238000006243 chemical reaction Methods 0.000 claims description 7
- 239000004065 semiconductor Substances 0.000 claims description 7
- 229910052757 nitrogen Inorganic materials 0.000 claims description 6
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 claims description 4
- 239000000126 substance Substances 0.000 claims description 4
- 230000007704 transition Effects 0.000 claims description 4
- 238000000059 patterning Methods 0.000 claims description 2
- 239000010410 layer Substances 0.000 description 124
- 235000012431 wafers Nutrition 0.000 description 57
- 230000008569 process Effects 0.000 description 30
- 239000000463 material Substances 0.000 description 21
- 239000006117 anti-reflective coating Substances 0.000 description 19
- 238000001459 lithography Methods 0.000 description 10
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 7
- 239000001301 oxygen Substances 0.000 description 7
- 229910052760 oxygen Inorganic materials 0.000 description 7
- 238000012546 transfer Methods 0.000 description 7
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 6
- 238000004519 manufacturing process Methods 0.000 description 6
- 238000004380 ashing Methods 0.000 description 5
- 238000000151 deposition Methods 0.000 description 5
- 238000011161 development Methods 0.000 description 5
- 238000010438 heat treatment Methods 0.000 description 5
- 238000001020 plasma etching Methods 0.000 description 5
- 229910052814 silicon oxide Inorganic materials 0.000 description 5
- 238000004140 cleaning Methods 0.000 description 4
- 229910052751 metal Inorganic materials 0.000 description 4
- 239000002184 metal Substances 0.000 description 4
- 238000009832 plasma treatment Methods 0.000 description 4
- 230000008901 benefit Effects 0.000 description 3
- 229910002092 carbon dioxide Inorganic materials 0.000 description 3
- 238000005229 chemical vapour deposition Methods 0.000 description 3
- 230000008021 deposition Effects 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 230000009977 dual effect Effects 0.000 description 3
- 230000006698 induction Effects 0.000 description 3
- 238000004528 spin coating Methods 0.000 description 3
- 235000014653 Carica parviflora Nutrition 0.000 description 2
- 241000243321 Cnidaria Species 0.000 description 2
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 2
- 125000003277 amino group Chemical group 0.000 description 2
- 239000001569 carbon dioxide Substances 0.000 description 2
- 229910002091 carbon monoxide Inorganic materials 0.000 description 2
- 229910000420 cerium oxide Inorganic materials 0.000 description 2
- 230000007547 defect Effects 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- 150000002500 ions Chemical class 0.000 description 2
- BMMGVYCKOGBVEV-UHFFFAOYSA-N oxo(oxoceriooxy)cerium Chemical compound [Ce]=O.O=[Ce]=O BMMGVYCKOGBVEV-UHFFFAOYSA-N 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 239000011148 porous material Substances 0.000 description 2
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 1
- 238000003848 UV Light-Curing Methods 0.000 description 1
- 150000007513 acids Chemical class 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 238000010790 dilution Methods 0.000 description 1
- 239000012895 dilution Substances 0.000 description 1
- 238000010494 dissociation reaction Methods 0.000 description 1
- 230000005593 dissociations Effects 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 238000009616 inductively coupled plasma Methods 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 239000012044 organic layer Substances 0.000 description 1
- 239000007800 oxidant agent Substances 0.000 description 1
- 230000000607 poisoning effect Effects 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 229910052707 ruthenium Inorganic materials 0.000 description 1
- 230000008054 signal transmission Effects 0.000 description 1
- 239000002904 solvent Substances 0.000 description 1
- 241000894007 species Species 0.000 description 1
- 239000007921 spray Substances 0.000 description 1
- 238000005507 spraying Methods 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 239000007858 starting material Substances 0.000 description 1
- 125000003396 thiol group Chemical group [H]S* 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Drying Of Semiconductors (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
Description
本發明關於用於半導體微影製造系統之清潔處理,以及特別關於一種用於蝕刻如非晶碳或旋塗碳之有機硬遮罩,或從低介電常數膜移除如非晶碳或旋塗碳之有機硬遮罩的方法。
積體電路(ICs)係透過微影處理製造於半導體晶圓基板上。微影處理讓具有期望電路或其部份之遮罩圖案可透過選定波長之放射能轉移至基板上之光阻膜。此等受吸收之空間影像段,其能量超出光阻材料之感光成分裡化學鍵之臨界能量,而在光阻裡產生潛影。由潛影所標記的光阻材料之體積係於顯影處理期間移除(在正光阻的情況下)或在顯影後存留(在負光阻的情況下),以在光阻膜中產生三維圖案。在後續處理中,所形成之光阻膜圖案係用以做為蝕刻遮罩,以從光阻層內之圖案化開口區域移除其下方之基板。
鑲嵌處理技術常用於積體電路之製造,且包含在介電質層裡的凹槽和介層窗形成鑲嵌金屬導體。硬遮罩層裡的開口係用於蝕刻介電質層之期望部份以形成凹槽和介層窗。硬遮罩層之開口的形成係藉由蝕刻穿過形成於覆蓋其上之光阻層的開口。鑲嵌過程中之硬遮罩可由有機層製成,例如a碳(a-carbon)或子位碳(alpha-carbon)。
由248nm進展到193nm波長顯影技術已增加了遮罩整合之複雜度,往往需要將一個多疊層之堆疊沉積於待蝕刻層上。其中一個範例為三層式堆疊:其上可進行傳統光阻之旋塗及處理的SiON抗反射塗佈(ARC,anti-reflective coating)層覆蓋於非晶碳硬遮罩層上。光阻顯影後,氟乾式蝕刻將圖案轉移至SiON層。光阻之移除與基於氧之蝕刻處理同時進
行,以將硬遮罩層中的a碳從SiON層中的開口移除。介電質蝕刻處理接著將圖案由a碳硬遮罩轉移至下方之用於雙鑲嵌法的介電質層。蝕刻介電質層後,必須在晶圓處理流程後端之形成Cu或其他金屬內連線前,移除a-碳硬遮罩層。
Sudijono等人之美國專利第6,787,452號揭露一種在光阻圖案化處理過程中控制關鍵尺寸的方法,該方法可應用於在雙鑲嵌之結構中形成介層窗及凹槽。非晶碳ARC係藉由電漿加強式化學氣相沉積法(PECVD)沉積於基板上。該子位碳層提供相對於氧之高蝕刻選擇比,且被揭露為可由使用氧氣之電漿灰化步驟進行移除。Ye等人之美國專利第6,458,516號教示一種使用基於氫/氮的電漿移除聚合有機遮罩層的方法。
低介電常數(低-k)材料,亦即具有通常低於約2.7至3.0之介電常數者,已使用在鑲嵌處理中作為在導電內連線之間的金屬間及/或層間介電質,用以減少因電容效應所導致的信號傳輸延遲。介電質材料的介電常數越低,介電質的電容就越低且積體電路之RC延遲亦較低。通常低k介電質為具有少量結合碳之氧化矽基材料,結合碳通常被稱為碳摻雜氧化物(CDO,carbon doped oxide)。CDO的範例之一為來自位於San Jose,California之Novellus Systems的CORAL牌碳摻雜氧化物。吾人已發現高度氧化之狀態通常不適合使用低k材料。當暴露至O2電漿時,氧氣從低k材料中清除或移除碳。在許多此等如CDOs之材料中,碳的存在有助於提供低介電常數。因此,就氧將碳從這些材料中移除的程度來說,氧有效地增加介電常數。隨著用於製造積體電路的製程走向尺寸小型化,且需使用具有越來越低之介電常數的介電質材料,吾人已發現傳統的剝除電漿條件已不適合。
因此,吾人需於本技藝中發展出一種替代處理,以有效地移除如非晶碳之有機硬遮罩層,且不會移除過量之低k介電質材料或大幅改變低k介電質材料之屬性。
根據本發明之一實施態樣,提供一種在微影處理中,從晶圓基板蝕刻及/或移除有機硬遮罩之改善的方法。
根據本發明之另一實施態樣,提供一種移除有機硬遮罩而不破壞下方介電質層的方法。
根據本發明之另一實施態樣,提供一種移除有機硬遮罩而不破壞下方低k介電質層的方法。
根據本發明之另一實施態樣,提供一種移除有機硬遮罩而不影響蝕刻入下方低k介電質層之關鍵尺寸特徵部的方法。
熟悉本技藝者將從本發明之揭露看出上述及其它實施態樣可於本發明中達成。本發明提出一種蝕刻或移除有機硬遮罩(如非晶碳有機硬遮罩)的方法,該方法包含提供一個其上具有待移除之有機硬遮罩的基板;將包含氫氣和氧化氣體之混合物的可離子化氣體引入至基板及有機硬遮罩上;以及施加能量至混合物以產生混合物之電漿。該方法更包含使有機硬遮罩與電漿接觸,其中基板與有機硬遮罩之溫度超過200℃,以移除至少部份之有機硬遮罩並在不實質破壞其下方基板的情況下暴露基板。
較佳地,有機硬遮罩完全自下方基板移除。
在另一實施態樣中,本發明提出一種在微影處理中,移除位於低介電常數膜上之有機硬遮罩的方法,該方法包含提供一個其上具有待移除之有機硬遮罩的介電質膜,介電質膜具有不大於約4.0之介電常數;以及使有機硬遮罩與包含氫氣及氧化氣體之離子化混合物的電漿接觸,其中介電質膜與有機硬遮罩之溫度超過200℃,以移除有機硬遮罩而不實質地影響下方介電質膜。
在進一步的實施態樣中,本發明提出一種在微影處理中,蝕刻或移除位於低介電常數膜上之有機硬遮罩的方法,該方法包含提供一個其上具有待移除之有機硬遮罩的介電質膜,該介電質膜具有不大於約4.0之介電常數;將包含氫氣和氧化氣體之混合物的可離子化氣體引入至有機硬遮罩上;以及施加能量至混合物以產生混合物之電漿。該方法進一步包含使有機硬遮罩與電漿接觸,其中介電質膜與有機硬遮罩之溫度超過200℃,以移除有機硬遮罩而不實質地破壞下方基板。
有機硬遮罩可為化學氣相沉積之非晶碳且基板可為介電質膜,例如介電質值小於約3.0之介電質膜,例如碳摻雜氧化物介電質膜。
有機硬遮罩可為非晶碳,且介電質膜可具有不大於約2.8之
介電常數。
氧化氣體可由二氧化碳之來源加以提供。氣體混合物較佳為實質上不含氮。
在其它實施例中,本發明包含一種具有介電質層的晶圓,該介電質層包含複數介電質材料,包括位於覆蓋介電質下方之主體低k介電質,該覆蓋介電質具有比主體低k介電質更高的k值。在一些實施例中,主體低k介電質和覆蓋介電質皆為低k介電質。在其它實施例中,主體低k介電質為低k介電質且覆蓋介電質並非低k介電質。
在其它實施例中,複數介電質材料可包含分散的主體低k介電質及覆蓋介電質層,或者複數介電質材料可在主體低k介電質材料和覆蓋介電質材料之間具有連續的漸變轉變。
20‧‧‧晶圓
22‧‧‧蝕刻停止層
24‧‧‧低k介電質層
24a‧‧‧覆蓋介電質
24b‧‧‧主體低k介電質
25‧‧‧晶圓表面
26‧‧‧有機硬遮罩層
28‧‧‧介電質ARC層
30‧‧‧抗反射塗佈層
32‧‧‧光阻層
34‧‧‧開口
36‧‧‧壁
38‧‧‧凹槽
36'‧‧‧腐蝕之側壁
600‧‧‧電漿設備
601‧‧‧暴露腔室
603‧‧‧晶圓
605‧‧‧平台
609‧‧‧入口
611‧‧‧電漿生成部
613‧‧‧感應線圈
615‧‧‧電源
617‧‧‧噴淋頭組件
730‧‧‧剝除單元工具
731‧‧‧負載站
733‧‧‧剝除站
735‧‧‧剝除站
737‧‧‧剝除站
739‧‧‧剝除站
741‧‧‧剝除站
743‧‧‧機械手臂
本發明可藉由參照以下之詳細說明並結合隨附圖示予以詳細描述,其中:圖1和圖1A為沉積於待蝕刻之低k介電質上的晶圓基板上方的有機硬遮罩、光阻及其他層之橫剖面前視圖。
圖2和2A分別為低k介電質上的光阻、有機硬遮罩及其他層被蝕刻後,圖1和圖1A之晶圓基板的橫剖面前視圖。
圖3和圖3A分別為被蝕刻之有機硬遮罩層上之複數層被移除後,圖2和圖2A之晶圓基板的橫剖面前視圖。
圖4和圖4A分別為穿過有機硬遮罩層而蝕刻低k介電質後,圖3和圖3A之晶圓基板的橫剖面前視圖。
圖5和圖5A分別為有機硬遮罩層經本發明之高溫電漿方法移除,而未破壞低k介電質後,圖3和圖3A之晶圓基板的橫剖面前視圖。
圖6為適合用於實施本發明之裝置的示意圖。
圖7為呈現出適合用於實施本發明之多處理站剝除工具的簡單方塊圖。
現將參照圖式描述本發明之較佳實施例,其中相似的數字表示本發明之相似特徵。
本發明係關於移除用於形成可灰化硬遮罩(ashable hardmasks,AHM)之有機硬遮罩材料,如非晶碳硬遮罩,也就是俗稱之a碳或子位碳,或旋塗硬遮罩。此等硬遮罩可藉由化學氣相沉積(CVD)、旋塗,或其他技術形成於基板上。AHM材料一般主要是由碳組成,例如約50-80之重量百分比,其餘則是氫且可能有微量氮。用於形成此等膜的起始材料範例包含CH4和C2H2,或更普遍的CxHy,其中x=2到4且y=2到10。
雖然本發明之方法可用於有效率且有效地將有機硬遮罩材料自低k介電質膜移除,但本方法並不僅限於低k介電質膜,或甚至不限於介電質。本發明亦不僅限於任何特定類別之低k介電質。舉例來說,本發明可有效地使用k值小於4.0的介電質(亦稱為第一代低k介電質)、k值小於約2.8的介電質(第二代低k介電質)以及k值小於約2.0的介電質(超低k介電質)。低k介電質可為多孔或無孔(後者有時亦稱為密集低k介電質)。一般而言,密集低k介電質為具有不大於2.8之k值者,且低k多孔介電質係為具有不大於2.2之k值者。可使用具有任何適當組成物的低k介電質,包含摻雜氟及/或碳之氧化矽基介電質。亦可使用非氧化矽基介電質,如聚合材料。可使用任何適當的處理以沉積低k介電質,包含旋塗式沉積及CVD沉積技術。在形成多孔介電質時,可使用任何適當之方法。典型的方法包含共沉積矽基主鏈和有機成孔劑,以及接著移除成孔劑成份,留下多孔介電質膜。其他方法包含溶膠-凝膠技術。合適低k膜之特定範例為Dow Chemicals,Inc以SiLK之商標販售之碳基旋塗式膜,以及Novellus Systems,Inc.以CORAL之商標販售之CVD沉積多孔膜。
有機硬遮罩之蝕刻及移除係較佳地藉由活性電漿蝕刻進行。一般而言,反應性電漿蝕刻係於電漿反應器中原地進行,其中處理腔室藉由對處理腔室內之電容耦合電極施加RF能量,促進反應氣體之激化及/或解離。電漿通常產生高反應性物種,高反應性物種與處理腔室內不要的沉積材料起反應並蝕刻掉該材料。本發明可使用微波(MW)產生之電漿、感應耦合電漿(inductively coupled plasma,ICP)或在一個平行板反應性離子蝕刻(reactive ion etch,RIE)反應器裡。
可用於實施本發明之電漿反應器設備包含用以在處理腔室內產生真空之真空泵。本發明之設備亦包含處理氣體入口組件,例如與處理腔室內之氣體分配面板或噴淋頭連接的入口導管耦合之加壓氣體鋼瓶。半導體晶圓基板或其他工件置於可將偏壓施加至基板上之托架或平台上。RF或其他電源供應將電功率施加於氣體分配面板或噴淋頭與托架之間,以激化處理氣體或氣體混合物以在介於面板和托架之間的圓柱反應區形成電漿。
用於本發明之可離子化處理氣體係較佳地為氫氣與含氧氣體或像是CO或CO2之氧化氣體的混合物。混合物較佳地包含約0.5~10體積百分比之氧化氣體。較佳地,待離子化的氣體混合物中不包含氮,以避免因使氮結合至膜中,而造成對下方任何CDO介電質層的破壞,吾人已知此將產生可與光阻相互作用的胺基,而造成所謂的光阻中毒效應。敏感的光阻,像是193nm的光阻,可與胺基反應,胺基會中和光阻中的酸性化合物並防止它們正確的顯影,以及在微影順序中的溶劑移除步驟中被移除,從而使殘餘之光阻留在晶圓的非期望區域。添加Ar或He可能會有一些好處,但此尚未用適於H2+CO2之電漿。在RIE蝕刻工具裡使用He或Ar可藉由濺射經常留在晶圓表面25上的蝕刻後聚合物或其他缺陷,而提高蝕刻率或有益於處理後期。因此,就留下乾淨的晶圓表面或具有較少次微米缺陷的表面而言,可能具有益處。
在電漿蝕刻期間將晶圓之溫度保持在約200℃以上、較佳地在250℃以上、更佳地介於約250-350℃之間十分重要。可於處理腔室內供應加熱元件以達此目的。在操作期間,電漿處理氣體從真空腔室的一側移動至另一側,此係由於可離子化處理氣體流入真空腔室之一側,而真空生成於其相對之一側所致。電漿處理氣體擴散遍及晶圓基板之表面,移除有機硬遮罩並將揮發性材料攜往真空泵組件。
如圖1所示,晶圓20包含蝕刻停止層22以及沉積其上之低k介電質層24。有機(例如非晶碳)硬遮罩層26係沉積於低k介電質層24上。光阻層32、選擇性之有機(或旋塗)抗反射塗佈(ARC)層30以及SiOC(將CO2與Si(CH3)4反應所產生)、SiON或Si3N4 ARC層之介電質ARC層28係位於有機硬遮罩層上。使光阻層暴露於元件圖案並且進行顯影,以
移除對應於該圖案之光阻材料體積。如圖2所示,接著利用剩餘光阻層32裡的開口34做為遮罩以從ARC層28、30及有機硬遮罩層26蝕刻對應之材料體積。
接著移除光阻層和ARC層以留下有機硬遮罩層以及受蝕刻的圖案開口34於層24上,如圖3所示。光阻層和殘餘物可藉由揭露於美國專利申請案第10/890,653, 11/011,273及11/128,930之處理進行移除,該等申請案之揭露內容係特別併入於此做為參考。通常晶圓需接受灰化處理以剝除並移除光阻層,例如藉由轉移至電漿反應器以及低k介電質膜之氫電漿剝除。將光阻及其他覆蓋層剝除後,接著利用有機硬遮罩層以藉由,例如,反應性離子蝕刻(RIE)蝕刻其下之低k介電質層,如圖4所示,使開口34朝下延伸至低k介電質層24中,以產生具有壁36的開口。
另一種更常見的方法是將具有如圖2所示之複數層的晶圓暴露於RIE蝕刻,而不移除層32、30、28。由於RIE蝕刻通常需要長時間進行,層32、30、28將會於蝕刻停止層22暴露出來前完全移除。其產生之結構如圖4所示。此係藉由將圖2所示之結構暴露於RIE蝕刻,因而無需使上述及圖3所示之將光阻/ARC之移除步驟分開進行,並導致圖4的結構。
接著使晶圓接受本發明之高溫電漿清洗方法,以移除有機硬遮罩層,留下未遭破壞、且易於在開口34中接收導電金屬的低k介電質層。電漿處理可在用於氫電漿灰化處理之相同反應器裡進行,但需要使用加熱元件以達到期望之反應溫度。接著,如圖5所示,介電質層24之表面25實質上不含a-碳或其他有機硬遮罩殘餘物,且介電質層內之受蝕刻介層窗或凹槽38的尺寸不受影響,亦不受到任何如由腐蝕之側壁36'所造成之破壞。
在Novellus Systems Iridia 200mm的蝕刻工具裡,包含位於低k介電質層上之有機硬遮罩層的晶圓係由加熱燈加熱至280℃的溫度。可將介於約1000-3000W之間(通常為約1800W)、2.45GHz之微波功率施加至H2/CO2的氣體混合物,該氣體混合物係以約為500-4000sccm(通常為約1800sccm)之速率流入壓力維持在750-4000mT之間(通常為1000mT)之腔室內。經過介於30至180秒、通常為約90秒的處理時間後,有機硬遮
罩層被移除,而沒有實質破壞低k介電質層。
在Novellus Systems之Gamma工具裡,包含位於低k介電質層上之有機硬遮罩層的晶圓係由電阻加熱平台加熱至280℃。可將介於500-3000W之間(一般為約2000W)、3.56MHz的RF功率施加至H2/CO2的氣體混合物,該氣體混合物係以約為5000-40000sccm(通常為約20000sccm)之速率流入壓力維持在750-4000mT之間(通常為1100mT)之腔室內。該工具包含從4至6個平台,且晶圓係於蝕刻處理期間於所有平台之間移動。在介於約20秒至180秒之間、通常約為90秒的總處理或電漿曝露時間後,有機硬遮罩層被移除,而沒有實質破壞低k介電質層。
在具有雙電源的Novellus Systems Iridia 300mm Sierra蝕刻工具裡,包含位於低k介電質層上之有機硬遮罩層的晶圓係加熱至280℃。可將介於約1000-3000W之間(通常為約1800W)、2.45GHz之微波功率施加至H2/CO2之氣體混合物,該氣體混合物係以約為500-4000sccm(通常為約1800sccm)之速率流入壓力維持在750-4000mT之間(通常為1000mT)之腔室內。支撐晶圓的平台係位於RF電漿反應腔室內,且與供應介於500-2000W之間(通常為1000W)的功率、3.56MHz之RF源耦合。在介於約30秒和180秒之間、通常約為90秒的處理時間後,有機硬遮罩層被移除,而沒有實質破壞低k介電質層。
可調整氣體流動速率、RF功率設定、暴露時間和其他參數以達到其他清洗作業所需要之期望結果。
因此,本發明提出一種在微影處理中蝕刻有機硬遮罩及/或將有機硬遮罩層從晶圓基板移除之改良方法,特別是將非晶碳從低k介電質層移除時之改良方法。本發明達成此有機硬遮罩之移除且不會破壞下方之低k介電質基板。
其它實施例
除了參照上述圖1~5所描述之實施例,本發明亦有其它實施例,係參照圖1A-5A、6和7於以下進行描述。
如圖1A所示,本發明之另一實施例包含具有沉積於蝕刻停止層22上之低k介電質層24的晶圓20。介電質層24包含複數介電質材料,其中包含位於覆蓋介電質24a之下的主體低k介電質24b,覆蓋介電質24a
比主體低k介電質24b具有更高的k值。在一些實施例中,主體低k介電質24b和覆蓋介電質24a皆為低k介電質。在其它實施例中,主體低k介電質24b為低k介電質且覆蓋介電質24a並非低k介電質。
在一些具體實施例中,主體低k介電質可為超低k(ULK)介電質,例如具有約2.2之k值者,且覆蓋介電質可為具有約2.9之k值的碳摻雜氧化物(carbon-doped oxide,CDO)。
在其他具體實施例中,主體低k介電質層可為具有約2.9之k值的CDO,且覆蓋層可為具有約4.0之k值的四乙基正矽酸鹽(TEOS)。
在其它實施例中,複數介電質材料可包含分散的主體低k介電質層及覆蓋介電質層,也就是分開而相鄰的介電質層。或者,複數介電質材料可在主體低k介電質材料和覆蓋介電質材料之間具有連續的漸變轉變。此漸變轉變可實質上均勻地從介電質層24的一側至另一側。其亦可為非均勻的,由一介電質轉變至另一介電質而僅越過介電質層24總厚度其中一部份,例如越過小於介電質24總厚度之50%、或小於25%、或小於10%、或小於5%之厚度。
將有機碳硬遮罩層26沉積於低k介電質層24上。光阻層32、選擇性的有機(或旋塗)抗反射塗佈(ARC)層30以及包含SiOC(將CO2與Si(CH3)4反應所產生)、SiON或Si3N4 ARC層之介電質ARC層28係位於有機硬遮罩層上。使光阻層暴露於一元件圖案並且進行顯影,以移除對應於該圖案之光阻材料體積。如圖2A所示,接著利用剩餘光阻層32裡的開口34做為遮罩以從複數ARC層28、30及有機硬遮罩層26蝕刻對應之材料體積。
接著移除光阻層和ARC層以留下有機硬遮罩層以及受蝕刻的圖案開口34於層24上,如圖3A所示,以暴露出位於硬遮罩層26下之介電質層24。光阻層和殘餘物可藉由揭露於美國專利申請案第10/890,653, 11/011,273及11/128,930之處理進行移除,該等申請案之揭露內容係特別併入於此做為參考。通常晶圓需接受灰化處理以剝除並移除光阻層,例如藉由轉移至電漿反應器以及低k介電質膜之氫電漿剝除。將光阻及其他覆蓋層剝除後,接著利用有機硬遮罩層26以藉由,例如,反應性離子蝕刻(RIE)蝕刻下方之低k介電質層24(24a及24b),如圖4A所示,其中使開口34
朝下延伸至低k介電質層24中,以產生具有壁36之開口,進一步暴露介電質層24。
另一種更常見的方法是將具有如圖2A所示之複數層的晶圓暴露於RIE蝕刻,而不移除層32、30、28。由於RIE蝕刻通常需要長時間進行,層32、30、28將會於蝕刻停止層22暴露之前完全移除。其產生之結構如圖4A所示。此係藉由將圖2A所示之結構暴露於RIE蝕刻,因而無需使上述及圖3A所示之將光阻/ARC之移除步驟分開進行,並導致圖4A的結構。
接著使晶圓接受本發明之高溫電漿清洗方法,以移除有機硬遮罩,留下未遭破壞、且易於在開口34中接收導電金屬的低k介電質層。吾人應特別注意,於移除硬遮罩期間所暴露的低k介電質24a及/或24b並未受到該移除處理之破壞。電漿處理可在用於氫電漿灰化處理之相同反應器裡進行,但需要使用加熱元件以達到期望之反應溫度。接著,如圖5A所示,介電質層24之表面25實質上沒有a-碳或其他有機硬遮罩殘餘物,且介電質層內之受蝕刻介層窗或凹槽38的尺寸不受影響,亦不受到任何由腐蝕側壁36'所造成之破壞。
設備
任何合適的電漿反應腔室設備均可用以實施本發明,包含上述之Gamma和Iridia工具。進一步於此方面之合適的範例為Novellus GammaTM 2130工具,其係配置為下游電漿設定。圖6為呈現適合於晶圓上實施本發明之下游電漿設備600之實施態樣的示意圖。設備600具有由噴淋頭組件617所分隔之電漿生成部611及暴露腔室601。在暴露腔室601中,晶圓603係置於平台(或台)605上。平台605配有加熱/冷卻元件。在一些實施例中,平台605亦配置以施加偏壓至晶圓603。在暴露腔室601中,低壓係藉由導管607並藉由真空泵而達成。氣態氫(具有或不具有稀釋/載體氣體)及二氧化碳(或其他弱氧化劑)之來源提供氣體流經過入口609進入設備的電漿生成部611。電漿生成部611部分由連接至電源615的感應線圈613所包圍。在操作過程中,將氣體混合物引導至電漿生成部611,提供能量至感應線圈613並在電漿生成部611中產生電漿。具有外加電壓的噴淋頭組件617,終止部份離子的流動並允許中性物種流動至暴露腔室601
中。如前所述,晶圓603可為受溫度控制及/或可施加RF偏壓。
在一些實施例中,本發明之設備係為剝除單元,專門用於將光阻自晶圓剝除。整體而言,此剝除單元工具將具有數個晶圓處理站俾能同時處理數個晶圓。圖7為呈現可根據本發明使用之多處理站晶圓剝除單元工具730的簡單俯視方塊圖。剝除單元工具730具有5個剝除站733、735、737、739、和741及一個負載站731。剝除單元工具730係配置為使每個剝除站可處理一個晶圓,因此所有剝除站可暴露於一共同之真空。每個剝除站733、735、737、739、和741具有其各自之RF功率供應。負載站731通常配置為具有與之附著的負載鎖定站,以可在不破壞真空的情況下,將晶圓置入剝除單元工具730中。負載站731亦通常配置具有加熱燈以預熱晶圓,再將晶圓轉移至剝除站和進行光阻剝除。剝除站741通常配置具有與之附著的負載鎖定站,以可在不破壞真空的情況下,將晶圓自剝除單元工具730中輸出。機械手臂743於各站之間傳輸晶圓。
在典型的生產模式中,晶圓係以批次模式處理。批次模式處理可增加晶圓生產量,因此常用於製造作業。在批次模式下,每個晶圓係轉移至每個站731、733、735、737、739、和741,並於其中進行處理。例如,典型的批次模式處理將如下列方式進行:首先晶圓係裝載至負載站731並於該處藉由加熱燈預熱。接著,機械手臂743將晶圓傳送至剝除站733,晶圓於該處進行足夠時間的電漿處理,以剝除約1/5的光阻。機械手臂743接著將晶圓傳送至剝除站735,晶圓於該處進行足夠時間的電漿處理以剝除另外1/5的剩除光阻。持續此順序俾使晶圓在剝除站737,739和741進行處理。在剝除站741時,大部份之光阻應被移除且晶圓係自剝除單元工具卸載。
其他適合用以實施本發明之工具包含Novellus Systems公司的GxTTM和G400TM光阻剝除工具、Lam Research的2300的FlexTM蝕刻工具、Tokyo Electron Limited的TeliusTM蝕刻工具、或Applied Materials的ProducerTM蝕刻工具。
吾人一般應理解,前述之設備/處理可與微影圖案化工具或處理結合使用,以製造或加工半導體裝置、顯示器、LEDs、光電板等物。雖非必然,但通常此等工具/處理將在共同的製造設施裡一起使用或進
行。薄膜之微影圖案化通常包含下列之部分或全部步驟,每一步驟需要一些可能的工具方可進行:(1)使用旋塗或噴灑工具,將光阻施加於基板,亦即基板;(2)使用熱板或熱爐或UV固化工具固化光阻;(3)使用如晶圓步進機之工具,將光阻暴露於可見光或紫外線或X光;(4)使光阻顯影以使用如溼檯之工具選擇性地移除光阻並從而將光阻圖案化;(5)使用乾式或電漿輔助蝕刻工具將光阻圖案轉移到下方膜或基板;(6)使用如RF或微波電漿光阻剝除機之工具移除光阻。
本發明之另一個實施態樣為配置以達成本文所述之方法的設備。合適的設備包含用於完成處理作業之硬體以及系統控制器,其係具有用以根據本發明控制處理作業的指令。合適的電漿反應室設備,如Gamma和Iridia之工具或其它上述之工具可以此方式運用。系統控制器通常包含配置為執行指令的一個或更多個處理器及一個或更多個記憶體裝置,俾使該設備可執行根據本發明之方法。包含用以根據本發明控制處理作業的機器可讀取媒體可耦合至系統控制器。
本發明雖已結合具體較佳實施方式加以特別描述,熟悉本技藝者將顯而易見,仍有許多根據前述描述之替換、修改及變化。因此欲使以下隨附請求項包含任何落於本發明之真正範疇內及精神的此替換、修改及變化。
20‧‧‧晶圓
22‧‧‧蝕刻停止層
24‧‧‧低k介電質層
24a‧‧‧覆蓋介電質
24b‧‧‧主體低k介電質
26‧‧‧有機硬遮罩層
34‧‧‧開口
36‧‧‧壁
Claims (18)
- 一種蝕刻或移除有機硬遮罩的方法,包括:提供一包含暴露的低k介電質之半導體晶圓基板,其中該基板包含一位於覆蓋介電質下方之主體低k介電質,該覆蓋介電質具有較該主體低k介電質更高之k值,且該基板具有位於其上之待移除的有機硬遮罩;將包含氫氣和氧化氣體之混合物的可離子化氣體引入至該基板和該有機硬遮罩上;施加能量至該混合物以產生該混合物之電漿;以及使該有機硬遮罩與該電漿接觸,其中該基板及該有機硬遮罩之溫度超過200℃,以移除至少部份之該有機硬遮罩而不破壞下方之該基板表面或該暴露的低k介電質。
- 如申請專利範圍第1項之蝕刻或移除有機硬遮罩的方法,其中該有機硬遮罩包含化學氣相沉積之非晶碳。
- 如申請專利範圍第1項之蝕刻或移除有機硬遮罩的方法,其中該有機硬遮罩包含旋塗式碳膜。
- 如申請專利範圍第1項之蝕刻或移除有機硬遮罩的方法,其中該主體低k介電質及該覆蓋介電質皆為低k介電質。
- 如申請專利範圍第1項之蝕刻或移除有機硬遮罩的方法,其中該主體低k介電質為一低k介電質且該覆蓋介電質並非低k介電質。
- 如申請專利範圍第1項之蝕刻或移除有機硬遮罩的方法,其中該低k介電質具有不大於約3之介電常數。
- 如申請專利範圍第1項之蝕刻或移除有機硬遮罩的方法,其中該低k介電質具有不大於約2.8之介電常數。
- 如申請專利範圍第1項之蝕刻或移除有機硬遮罩的方法,其中該低k介電質具有不大於約2.2之介電常數。
- 如申請專利範圍第1項之蝕刻或移除有機硬遮罩的方法,其中該主體低k介電質為具有約2.2之介電常數的超低k介電質(ULK,ultra-low-k)且該覆蓋介電質為具有約2.9之介電常數的碳摻雜氧化物(CDO,carbon doped oxide)。
- 如申請專利範圍第1項之蝕刻或移除有機硬遮罩的方法,其中該主體低k介電質為具有約2.9之介電常數的碳摻雜氧化物(CDO,carbon doped oxide)且該覆蓋介電質為具有約4.0之介電常數的四乙基正矽酸鹽(TEOS)。
- 如申請專利範圍第1項之蝕刻或移除有機硬遮罩的方法,其中該基板包含分散的主體低k介電質及覆蓋介電質層。
- 如申請專利範圍第1項之蝕刻或移除有機硬遮罩的方法,其中該基板包含一在該主體低k介電質和該覆蓋介電質之間的漸變轉變。
- 如申請專利範圍第1項之蝕刻或移除有機硬遮罩的方法,其中該氣體混合物不含氮氣。
- 如申請專利範圍第1項之蝕刻或移除有機硬遮罩的方法,其中該有機硬遮罩係完全自下方基板移除。
- 如申請專利範圍第1項之蝕刻或移除有機硬遮罩的方法,更包含:施加光阻至該基板;使該光阻暴露於光線;圖案化該光阻並將該圖案轉移至該基板;以及選擇性地將該光阻自該基板移除。
- 一種用於蝕刻或移除位於介電質上之有機硬遮罩的設備,該設備包含:(a)電漿反應腔室設備;以及(b)控制器,包含用以執行處理之程式指令,該處理之步驟包含:提供包含暴露的低k介電質的半導體晶圓基板,其中該基板包含位於覆蓋介電質下方之主體低k介電質,該覆蓋介電質具有較該主體低k介電質較高之k值,且該基板具有位於其上之待移除的有機硬遮罩;將包含氫氣和氧化氣體之混合物的可離子化氣體引入至該基板及該有機硬遮罩上;施加能量至該混合物以產生該混合物之電漿;以及使該有機硬遮罩與該電漿接觸,其中該基板及該有機硬遮罩之溫度超過200℃,以移除至少部份之該有機硬遮罩而不破壞下方之該基板表面或該暴露的低k介電質。
- 一種半導體晶圓處理系統,該系統包含: 如申請專利範圍第16項之用於蝕刻或移除位於介電質上之有機硬遮罩的該設備;以及步進機。
- 一種非暫時性電腦機器可讀取媒體,包含用以控制電漿反應腔室設備之複數程式指令,以執行根據申請專利範圍第1-15項其中任一者之蝕刻或移除有機硬遮罩的方法。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US13/372,363 US8664124B2 (en) | 2005-10-31 | 2012-02-13 | Method for etching organic hardmasks |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201349345A TW201349345A (zh) | 2013-12-01 |
TWI587390B true TWI587390B (zh) | 2017-06-11 |
Family
ID=48926954
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW102104640A TWI587390B (zh) | 2012-02-13 | 2013-02-06 | 用以蝕刻有機硬遮罩之方法 |
Country Status (4)
Country | Link |
---|---|
KR (1) | KR102083680B1 (zh) |
CN (1) | CN103247525B (zh) |
SG (1) | SG193093A1 (zh) |
TW (1) | TWI587390B (zh) |
Families Citing this family (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9040430B2 (en) * | 2013-06-27 | 2015-05-26 | Lam Research Corporation | Method of stripping organic mask with reduced damage to low-K film |
CN104445049B (zh) * | 2013-09-24 | 2016-08-03 | 中芯国际集成电路制造(上海)有限公司 | Mems器件形成方法 |
JP6495025B2 (ja) | 2014-01-31 | 2019-04-03 | ラム リサーチ コーポレーションLam Research Corporation | 真空統合ハードマスク処理および装置 |
JP6861802B2 (ja) * | 2016-09-14 | 2021-04-21 | マトソン テクノロジー インコーポレイテッドMattson Technology, Inc. | 高アスペクト比構造のためのストリッププロセス |
US10796912B2 (en) | 2017-05-16 | 2020-10-06 | Lam Research Corporation | Eliminating yield impact of stochastics in lithography |
CN107968094A (zh) * | 2017-11-21 | 2018-04-27 | 长江存储科技有限责任公司 | 一种用于3d nand闪存的台阶结构成形工艺 |
CN108550577A (zh) * | 2018-05-17 | 2018-09-18 | 长江存储科技有限责任公司 | 三维存储器以及三维存储器的制造方法 |
US11183398B2 (en) * | 2018-08-10 | 2021-11-23 | Tokyo Electron Limited | Ruthenium hard mask process |
KR20240104192A (ko) | 2018-11-14 | 2024-07-04 | 램 리써치 코포레이션 | 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들 |
WO2020223011A1 (en) | 2019-04-30 | 2020-11-05 | Lam Research Corporation | Atomic layer etch and selective deposition process for extreme ultraviolet lithography resist improvement |
TWI837391B (zh) | 2019-06-26 | 2024-04-01 | 美商蘭姆研究公司 | 利用鹵化物化學品的光阻顯影 |
JP7189375B2 (ja) | 2020-01-15 | 2022-12-13 | ラム リサーチ コーポレーション | フォトレジスト接着および線量低減のための下層 |
CN112133626B (zh) * | 2020-10-12 | 2023-06-06 | 成都海威华芯科技有限公司 | 一种金属硬掩膜的制作方法和晶圆 |
CN115394636B (zh) * | 2022-10-26 | 2023-01-03 | 广州粤芯半导体技术有限公司 | 半导体光刻方法、系统、设备和计算机可读存储介质 |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW200723447A (en) * | 2005-12-13 | 2007-06-16 | Taiwan Semiconductor Mfg Co Ltd | Partial-via-first dual-damascene process with tri-layer resist approach |
TW201133618A (en) * | 2009-07-29 | 2011-10-01 | Tokyo Electron Ltd | Low damage method for ashing a substrate using CO2/CO-based process |
Family Cites Families (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6541397B1 (en) * | 2002-03-29 | 2003-04-01 | Applied Materials, Inc. | Removable amorphous carbon CMP stop |
US7064078B2 (en) * | 2004-01-30 | 2006-06-20 | Applied Materials | Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme |
JP4879159B2 (ja) * | 2004-03-05 | 2012-02-22 | アプライド マテリアルズ インコーポレイテッド | アモルファス炭素膜堆積のためのcvdプロセス |
US7432210B2 (en) * | 2005-10-05 | 2008-10-07 | Applied Materials, Inc. | Process to open carbon based hardmask |
-
2013
- 2013-02-05 SG SG2013009741A patent/SG193093A1/en unknown
- 2013-02-06 TW TW102104640A patent/TWI587390B/zh not_active IP Right Cessation
- 2013-02-07 CN CN201310049856.3A patent/CN103247525B/zh not_active Expired - Fee Related
- 2013-02-12 KR KR1020130015077A patent/KR102083680B1/ko active IP Right Grant
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW200723447A (en) * | 2005-12-13 | 2007-06-16 | Taiwan Semiconductor Mfg Co Ltd | Partial-via-first dual-damascene process with tri-layer resist approach |
TW201133618A (en) * | 2009-07-29 | 2011-10-01 | Tokyo Electron Ltd | Low damage method for ashing a substrate using CO2/CO-based process |
Also Published As
Publication number | Publication date |
---|---|
SG193093A1 (en) | 2013-09-30 |
KR102083680B1 (ko) | 2020-03-02 |
CN103247525A (zh) | 2013-08-14 |
KR20130093038A (ko) | 2013-08-21 |
CN103247525B (zh) | 2017-11-17 |
TW201349345A (zh) | 2013-12-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI587390B (zh) | 用以蝕刻有機硬遮罩之方法 | |
US8664124B2 (en) | Method for etching organic hardmasks | |
US8569179B2 (en) | Method for etching organic hardmasks | |
TWI385728B (zh) | 受損介電材料之移除方法 | |
US7585777B1 (en) | Photoresist strip method for low-k dielectrics | |
US8591661B2 (en) | Low damage photoresist strip method for low-K dielectrics | |
US8129281B1 (en) | Plasma based photoresist removal system for cleaning post ash residue | |
JP6280030B2 (ja) | 多層マスクのパターン限界寸法及びインテグリティを制御するためのエッチングプロセス | |
KR101003475B1 (ko) | 포토레지스트 접착 및 재생 일관성을 개선하기 위한 수소처리 | |
TWI545646B (zh) | 臨界尺寸偏差降低之含矽抗反射塗布層之蝕刻方法 | |
KR101688231B1 (ko) | Co2/co계 처리를 이용하여 기판을 애싱하기 위한 저손상 방법 | |
US20030104320A1 (en) | Solvent free photoresist strip and residue removal processing for post etching of low-k films | |
CN115004110A (zh) | 用于图案化辐射光致抗蚀剂图案化的集成干燥工艺 | |
KR20120082432A (ko) | 기판에 실리콘 함유 아크층을 재작업하는 방법 | |
US7288483B1 (en) | Method and system for patterning a dielectric film | |
TWI497235B (zh) | 於基於氫氣之化學物中的高劑量植入剝離法 | |
TWI598958B (zh) | 微電子基板上之乾硬式遮罩的移除方法 | |
US20050158667A1 (en) | Solvent free photoresist strip and residue removal processing for post etching of low-k films | |
US7622390B2 (en) | Method for treating a dielectric film to reduce damage | |
CN118020031A (zh) | 含金属光致抗蚀剂的再加工 | |
JP2024514454A (ja) | 金属含有フォトレジストからの金属汚染の制御 | |
TW202431348A (zh) | 使用熱及電漿製程的乾式腔室清潔 | |
WO2024076679A1 (en) | Dry chamber clean using thermal and plasma processes |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
MM4A | Annulment or lapse of patent due to non-payment of fees |