TWI385728B - 受損介電材料之移除方法 - Google Patents

受損介電材料之移除方法 Download PDF

Info

Publication number
TWI385728B
TWI385728B TW096110561A TW96110561A TWI385728B TW I385728 B TWI385728 B TW I385728B TW 096110561 A TW096110561 A TW 096110561A TW 96110561 A TW96110561 A TW 96110561A TW I385728 B TWI385728 B TW I385728B
Authority
TW
Taiwan
Prior art keywords
dielectric film
processing
film
substrate
surface layer
Prior art date
Application number
TW096110561A
Other languages
English (en)
Other versions
TW200802603A (en
Inventor
Ian J Brown
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200802603A publication Critical patent/TW200802603A/zh
Application granted granted Critical
Publication of TWI385728B publication Critical patent/TWI385728B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C15/00Surface treatment of glass, not in the form of fibres or filaments, by etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C25/00Surface treatment of fibres or filaments made from glass, minerals or slags
    • C03C25/66Chemical treatment, e.g. leaching, acid or alkali treatment
    • C03C25/68Chemical treatment, e.g. leaching, acid or alkali treatment by etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Description

受損介電材料之移除方法
本發明係關於在介電膜上執行移除處理的方法,尤其是關於在蝕刻或灰化處理之後移除低介電常數(低k)膜上之受損層的方法。
對於精於半導體技藝者來說,眾所皆知互連線的延遲是改善積體電路(IC)速度及性能最主要的限制因素。最小化互連線延遲的其中一個方法是在生產IC時使用低介電常數(低k)材料來降低互連線的電容。此種低k材料已被證明在低溫處理也有效。因此,近幾年來,低k材料已發展出取代相對較高介電常數之絕緣材料,例如二氧化矽。更明確地說,低k薄膜乃使用在半導體裝置之金屬層之間的層間(inter-level)及層內(intra-level)介電層上。此外,為了更進一步的降低絕緣材料之介電常數,材料薄膜乃形成有孔,也就是多孔性低k介電膜。此種低k薄膜可利用類似於光阻應用之旋塗式介電(SOD)方法或是化學氣相沈積法(CVD)來沈積。因此,使用低k材料可容易的適用於現有之半導體生產處理。
雖然低k材料在製造半導體電路上大有可為,本發明者卻體認到這些薄膜仍須面臨許多挑戰。首先,低k薄膜易比傳統的介電層來得較不堅固,且在晶圓處理(例如圖案化介電層時常用之蝕刻以及電漿灰化處理)時容易受損。且,某些低k薄膜在受損時易於高度反應,尤其是圖案化之後,因此會讓該低k材料吸收水分以及/或與其他蒸氣以及/或處理之污染物作用,進而改變該介電層之電特性。
因此,本發明之一實施例係關於移除一用於電子裝置中之薄膜上的受損層。
本發明之另一實施例則是關於在蝕刻處理、灰化處理或濕式潔淨處理之後移除低介電常數(低k)膜上之受損層。
本發明之再另一實施例則是關於利用乾式非電漿蝕刻處理而在低k薄膜蝕刻處理或灰化處理之後移除低k薄膜上之受損層。
本發明之這些及/或其他實施例均可利用在基板上處理一介電膜的方法而達成。本方法包含將一上方具有介電膜之基板置放於一處理系統中,其中該介電膜之一表面層已暴露於一蝕刻電漿、一灰化電漿、一濕式潔淨化學品或是前述兩種或兩種以上之組合中。一乾式非電漿移除處理乃於該介電膜上進行以移除該表面層。該移除處理包含將介電膜上之表面層暴露至一含有HF以及選擇性的NH3 之處理氣體中以便化學性地改變該表面層,接著熱處理該已化學改變之表面以脫附該已化學改變之表面層。
本發明之另一實施態樣包含在形成於基板上之低k薄膜中形成一特徵部的方法。該方法包含將其上方具有該低k薄膜之基板放置於一處理室中,並在該低k薄膜上形成一遮罩圖案,該遮罩圖案具有一圖案特徵部,其對應於一欲形成於該低k薄膜中之低k特徵部。利用一乾式電漿蝕刻處理來蝕刻在該低k薄膜中之該低k特徵部,接著在該低k特徵部上進行一乾式非電漿移除處理,以移除已被該電漿蝕刻處理所損害或活化(至少其中之一)之該低k特徵部之一表面層。
在下列描述中,為了促進對本發明的完整瞭解,以及為了解說的目的,對於該處理系統的特定幾何以及裡面所使用的各種元件及處理的描述等特定的細節有特別設定但並非限制。然而,吾人應瞭解本發明亦可在與這些特定細節不同的其他實施例上施行。
在材料處理方法學中,圖案蝕刻包含將一光感材料薄層(例如光阻)應用在基板的一上表面,接著加以圖案化以提供一遮罩,用以在蝕刻時將此圖案移轉至下方薄膜。該光感材料的圖案化通常包含利用例如微影系統透過該光感材料之初縮遮罩(以及相關之光學元件)而暴露於一輻射源,接著利用顯影劑進行移除該光感材料中被照射到的區域(在正光阻的情況下),或是移除未被照射到的區域(在負光阻的情況下)。
此外,多層遮罩和硬性遮罩可用來蝕刻薄膜中的特徵部。例如,當利用硬性遮罩來蝕刻薄膜中的特徵部時,便可在薄膜之主要蝕刻步驟前利用一另外之蝕刻步驟而將光感層的遮罩圖案轉移至硬性遮罩處。硬性遮罩可例如自下列多種矽處理材料中選取,其包含例如二氧化矽(SiO2 )、氮化矽(Si3 N4 )以及碳。
現在請參照圖示,其中,在所有圖示裡,類似的參照號碼乃代表相同或相關的零件,圖1A~1G係根據本發明之一實施例來說明在介電膜中形成圖案的方法。本方法結合了本發明之各種實施態樣,包括使用硬性遮罩並對介電膜中之蝕刻圖案的外露表面進行處理,以移除因介電膜蝕刻或灰化處理而導致之介電膜上之受損層。然而,圖1A~1G中處理之態樣可與下面會討論到的另一種處理分開使用。此外,圖2係呈現根據一實施例來執行本方法的流程圖200。
如同圖1A、1B及圖2所顯示,在步驟210中,一介電膜130係形成於一基板140之一上表面上,該基板140可包含或也可不包含額外層。基板140可為半導體、金屬導體或是該介電膜可形成於其上之其他任何基板。該介電膜具有一小於SiO2 的介電常數值(大約4,例如熱二氧化矽的介電常數約在3.8~3.9之間)的標稱介電常數值。更明確來說,介電膜130可具有小於3.0的介電常數,或是介於1.6~2.7的介電常數。
介電膜130可利用化學氣相沈積法(CVD)或是Tokyo Electron Limited(TEL)市售之Clean Track ACT8以及ACT12 SOD包覆系統所提供之旋塗介電技術(SOD)來形成。Clean Track ACT8 SOD(200mm)以及ACT12(300mm)包覆系統提供SOD材料之包覆、烘烤以及硬化之工具。該Track系統可用於處理100mm、200mm、300mm或更大之基板尺寸。精於旋塗介電技術以及CVD介電技術之技藝者亦熟知於基板上形成介電薄膜的其他系統及方法。
此外,介電膜130可例如具有低介電常數(或低k)之介電薄膜的特徵。此介電膜130可包含有機、無機、或無機-有機混合材料或前述兩種或兩種以上的組合。且,介電膜130可為多孔性或非多孔性。例如,介電膜130可包含一利用CVD技術沈積之無機矽酸鹽類材料,例如氧化有機矽烷(或有機矽氧烷)。此種薄膜的例子可包含Applied Materials,Inc.市售之Black DiamondTM CVD有機矽酸鹽玻璃(OSG)薄膜或是Novellus Systems市售之CoralTM CVD薄膜。此外,多孔介電膜可例如包含單相材料,例如具有CH3 鍵之氧化矽類基質,該CH3 鍵會在硬化處理中破裂而產生小空隙(或孔)。另外,多孔介電膜亦可包含兩相材料,例如具有在硬化處理中會蒸發之有機材料(例如porogen)所構成的孔洞之氧化矽類基質。
或者,介電膜130可包含利用SOD技術沈積之無機矽酸鹽類材料,例如氫倍半矽氧烷(HSQ)或甲基倍半矽氧烷(MSQ)。此種薄膜的例子包含Dow Corning市售之FOx HSQ、Dow Corning市售之XLK多孔HSQ、以及JSR Microelectronics市售之JSR LKD-5109。再或者,介電膜130可包含利用SOD技術沈積之有機材料。此種薄膜的例子包含Dow Chemicals市售之SiLK-I、SiLK-J、SiLK-H、SiLK-D以及多孔SiLK半導體介電樹脂、FLARETM 以及Honeywell市售之奈米玻璃。
在圖1A~1G之實施例中,一旦介電膜130製備完成,硬性遮罩層120便在步驟220中形成於其一上表面處。硬性遮罩層120可例如包含氧化矽(SiOx)、氮化矽(SiyNz)以及碳,或是其中任一組合。
之後,在步驟230中,一圖案化之微影遮罩110便可形成於硬性遮罩120之一上表面處。圖案化微影遮罩110可包含一利用微影技術而形成於例如光阻之光感材料層中之微影圖案112。或者,圖案化遮罩110可包含一鑲嵌於其中之具有防反射包覆層(ARC)(例如底部ARC層(BARC)、犧牲DUOTM 層或是可調抗蝕刻ARC(TERA)層)的雙層遮罩或多層遮罩。
例如,該遮罩層(或多層),也就是硬性遮罩層120或圖案化微影遮罩層110可利用追蹤系統或CVD系統而形成。追蹤系統可用來處理248nm光阻、193nm光阻、157nm光阻、EUV光阻、(上部/底部)防反射包覆層(TARC/BARC)以及上部包覆層。舉例來說,該追蹤系統可包含Tokyo Electron Limited(TEL)市售之Clean Track ACT 8或ACT 12光阻包覆以及顯影系統。熟習旋塗電阻技術之技藝者亦熟知用以形成光阻薄膜於基板上的其他系統及方法。此外,例如,遮罩圖案可利用任何適用之傳統步進微影系統或是掃瞄微影系統來形成。
對於較小幾何形狀(也就是45nm、32nm以及更低)之進階微影術通常受限於下列情況:最佳化微影圖案112至微影層110之正確傳達、最小化微影層110的厚度以避免圖案破裂、最佳化微影層110的組成以及厚度以達成將圖案112正確的自微影層110傳達至下方層、以及最小化微影圖案112之側壁114的線緣粗糙度(LER)移轉至下方薄膜。
傳統上,光感層中之遮罩圖案乃利用電漿蝕刻處理來移轉至硬性遮罩層。由於電漿蝕刻之異向性,光感層中之圖案可高度精準地移轉至硬性遮罩層。然而,高精準度的移轉可能導致某些光感層圖案上的瑕疵,例如側壁條紋移轉至硬性遮罩層、最終移轉到介電特徵部本身。且,相對於光感層,電漿蝕刻對硬性遮罩之低選擇性可能需要一較厚之光感層來進行硬性遮罩蝕刻。
在另一使用硬性遮罩之傳統處理中,圖案化之光感層乃首先用來蝕刻硬性遮罩,接著,圖案化之光感層便和已圖案化之硬性遮罩一起使用,而將該圖案蝕刻入介電層。然後,該光感層以及/或其殘餘物則利用例如剝離、灰化或濕式潔淨處理等方法來加以去除。本發明者係體認到將已蝕刻之介電特徵部暴露於光感層移除處理將損害該介電特徵部且/或會變更該介電特徵部中之介電材料的特性。而此係為由蝕刻特徵部本身所造成之介電特徵部的損害以外的損害。
根據一實施例,如圖1C及1D所示,在步驟240中,微影圖案112可利用乾式非電漿蝕刻處理而移轉至硬性遮罩層120。比起傳統電漿蝕刻處理,乾式非電漿蝕刻處理對硬性遮罩層材料(相對於微影層材料)有較高的選擇性。此種較高選擇性便可使用相對較薄的光感層,如此可降低圖案破裂的可能性,並且可圖案化較纖細的特徵部。且,非電漿蝕刻處理包含用於處理控制之一自我限定特徵部。乾式非電漿蝕刻處理則包含一化學處理,用於當硬性遮罩層120之外露表面被含有HF或氨(NF3 )或這兩者的處理氣體化學處理時。暴露於HF及/或NH3 可移除例如氧化矽(或SiOx )的氧化材料,以及/或利用一經化學處理的材料來取代此種材料而耗盡氧化材料。隨著暴露至處理材料的進行,移除的速率降低以及/或該氧化材料出現化學變化,進而產生自我限定特徵部。在化學處理之後,乃進行脫附處理以便去除已化學變化之表面層。由於化學處理之自我限定特徵部的關係,因此希望交互執行非電漿蝕刻處理以及後續之脫附處理,進而可精準的控制移除處理。脫附處理係包含一熱處理,其中基板的溫度乃有效地提高至可容許該已化學變化之表面層揮發。使用乾式非電漿蝕刻處理可使形成於硬性遮罩層120中之硬性遮罩圖案122在側壁124上具有降低的LER。此優點可能是因為上述討論的自我限定特徵部以及/或是非電漿蝕刻的等向特性,使得儘管光感層之側壁中有瑕疵,但可提供平滑的橫向蝕刻。藉由使用較薄的微影層110以及在具有較纖細臨界尺寸(CD)之微影層110中形成圖案,乾式非電漿蝕刻之等向性可使硬性遮罩層120中之圖案臨界尺寸擴大至所需的臨界尺寸,同時亦提供例如對微影層110之側壁中之瑕疵的平滑化。
在非電漿蝕刻之化學處理中,處理氣體的每一組成均可一起導入(也就是混合),或是彼此分離(也就是說HF與NH3 分別獨立導入)。另外,處理氣體可包含一惰性氣體,例如鈍氣(也就是氬)。該惰性氣體可與HF或NH3 一起被導入,或者也可獨立於前述氣體組成份子而單獨導入。關於與NH3 一起導入的鈍氣以便控制對硬性遮罩層120的蝕刻的其他細節,均已描述於審查中之美國專利申請號10/812,347、發明名稱為「Process system and method for treating a substrate」中,所有內容均已包含於此以供參照。
此外,在進行化學處理的程序中,可選擇處理壓力來影響對硬性遮罩層120的蝕刻。處理壓力可介於約1 mTorr~100 Torr。且,在進行化學處理的程序中,可選擇基板溫度來影響對硬性遮罩層120的蝕刻。基板溫度可介於約10℃~200℃。關於對基板溫度的設定以便控制對硬性遮罩層120的蝕刻的其他細節,均已描述於審查中之美國專利申請號10/817,417、發明名稱為「Method and system for performing a chemical oxide removal process」中,其所有內容均已包含於此以供參照。
在熱處理程序中,該基板溫度可提高約50℃,或是需要的話可提高至100℃以上。此外,一惰性氣體可在對基板進行熱處理時導入。該惰性氣體可包含一鈍氣或氮。
或者,在步驟240中,圖案112也可利用乾式電漿蝕刻處理搭配乾式非電漿蝕刻處理而轉移至下方硬性遮罩層120。例如,乾式電漿蝕刻處理可在乾式非電漿蝕刻處理之前進行,其中乾式非電漿蝕刻處理乃用以移除側壁的不平滑處等等。也就是說,電漿蝕刻處理之異向性可將LER轉移至硬性遮罩,而等向之非電漿蝕刻就可用來移除以及/或使該LER平滑化。當電漿蝕刻例如氧化矽、二氧化矽等的氧化介電膜時,其電漿蝕刻氣體的組成通常包含例如至少C4 F8 、C5 F8 、C3 F6 、C4 F6 、CF4 等等其中之一之氟碳基化學物,或是例如至少CHF3 、CH2 F2 等等其中之一之氟碳氫基化學物以及至少一惰性氣體、氧氣或CO其中之一。
如上所述,本發明者乃體認到在蝕刻介電特徵部之後移除光感層的缺點。如圖1D所示,一旦硬性遮罩圖案122形成於硬性遮罩層120中,便可在步驟250時利用濕式或乾式剝離/灰化處理而在蝕刻介電層130之前先移除微影遮罩110。舉例來說,在蝕刻介電層130之前先移除微影遮罩110的其中一個優點是較為簡單,因為沒有介電層蝕刻處理帶來的硬化外殼。此外,例如在介電層蝕刻前先移除微影遮罩110可最小化介電層130暴露至濕式潔淨處理(當在濕式剝離處理時使用剝離化學品)或是灰化處理(當利用氧化電漿來移除光阻及蝕刻後之殘餘物)的機會。先進(多孔或非多孔)的介電材料當暴露於蝕刻、剝離以及/或灰化處理時可能受損,因此,事先移除光感層可最小化對介電層的損害。且,上述的優點在傳統電漿蝕刻硬性遮罩120時亦可展現。
在步驟260中,如圖1E所示,硬性遮罩圖案122乃利用乾式電漿蝕刻而轉移至介電層130。例如,當蝕刻例如氧化矽、二氧化矽等之氧化介電膜時,或是當蝕刻例如氧化有機矽烷之無機低k介電膜時,該蝕刻氣體組成通常包含例如至少C4 F8 、C5 F8 、C3 F6 、C4 F6 、CF4 等等其中之一之氟碳基化學物,或是例如至少CHF3 、CH2 F2 等等其中之一之氟碳氫基化學物以及至少一惰性氣體、氧氣或CO其中之一。此外,例如,當蝕刻有機低k介電膜時,該蝕刻氣體的組成通常包含含氮氣體以及含氫氣體兩者至少其中之一。如同前面所描述者,選擇性蝕刻介電膜的技術在精於介電蝕刻處理的人來說是眾所皆知的。
在蝕刻時,介電層130中之特徵部內的外露表面(例如側壁134)是會受損的或是會被活性化的。在蝕刻處理進行時(也就是說介電層的乾式蝕刻,或是在介電層蝕刻之後的灰化處理時的微影遮罩移除),這些表面所發生之損害或是活性化會導致水分的吸收或是污染物以及/或化學品的吸附。例如,多孔性低k介電膜在進行蝕刻處理時非常容易受損以及/或活性化。一般而言,最普遍之多孔性低k薄膜係以具有矽烷醇族(Si-OH)以及/或有機族的氧化矽為主。這些材料在蝕刻處理時,有可能部分因有機成分的耗盡而被活化或是受損。
在任一情況下,額外的矽烷醇族被暴露,很快地會吸收水分以及/或其他污染物。因此,具有外露低k介電層之裝置結構均不易處理並保持無污染物,尤其是在經過圖案化步驟之後。且,低k材料主體的活性化以及/或損害均可造成介電常數值(k值)的增加。吾人已觀察到被活性化或是受損的低k薄膜會顯現出k值增加一或更多個數值。
根據本發明之一實施例,在步驟270中,利用乾式非電漿蝕刻處理來移除受損之外露表面134(在例如蝕刻或灰化處理之後),如圖1F所示。如上所述,乾式非電漿蝕刻處理包含一用於處理控制之自我限定特徵部,其可最小化已移除之介電層130中特徵部132之側壁的數量。且,由於移除受損材料會導致特徵部132之臨界尺寸(CD)的增加,在一實施例中,原始的圖案(也就是微影圖案112)可選擇使用比介電層130中之特徵部132的設計CD較小的尺寸,以補償受損表面區域的後續移除。
該乾式非電漿蝕刻處理係包含一化學處理,其將介電層130之外露表面利用一包含HF或氨(NH3 )或其兩者組合的處理氣體進行化學處理。在該化學處理之後,再進行一脫附處理以便移除已化學改變之表面層。該脫附處理可包含一熱處理,其中基板的溫度乃經有效的提高至可讓已化學改變的表面層揮發。利用乾式非電漿蝕刻處理可使介電層130中之特徵部132具有受損較少的側壁134’。
在化學處理程序中,每一處理氣體的組成均可一起被導入(也就是混合),或是單獨分別導入(也就是HF與NH3 分別獨自被導入)。此外,處理氣體可包含一惰性氣體,例如鈍氣(也就是氬)。該惰性氣體可與HF或NH3 一起被導入,或者也可獨立於前述氣體組成份子而單獨導入。關於與NH3 一起導入的鈍氣以便控制對介電膜的表面層之化學改變的程度之其他細節,均已描述於審查中之美國專利申請號10/812,347、發明名稱為「Process system and method for treating a substrate」中,所有內容均已包含於此以供參照。
此外,在進行化學處理的程序中,可選擇處理壓力來影響對介電膜的表面層之化學改變的程度。處理壓力可介於約1 mTorr~100 Torr。且,在進行化學處理的程序中,可選擇基板溫度來影響對介電膜的表面層之化學改變的程度。基板溫度可介於約10℃~200℃。關於對基板溫度的設定以便控制對介電膜的表面層之化學改變的程度之其他細節,均已描述於審查中之美國專利申請號10/817,417、發明名稱為「Method and system for performing a chemical oxide removal process」中,其所有內容均已包含於此以供參照。
在熱處理程序中,該基板溫度可提高約50℃,或是需要的話可提高至100℃以上。此外,一惰性氣體可在對基板進行熱處理時導入。該惰性氣體可包含一鈍氣或氮。
如圖1G所示,在步驟280中,殘留之硬性遮罩層120可利用前述之任一乾式移除處理加以去除。或者,殘留之硬性遮罩層120也可在特徵部金屬化之後利用例如化學機械研磨(CMP)的平坦化處理予以移除。
根據一實施例,圖3A顯示一用以在基板上執行乾式非電漿移除處理之處理系統400。處理系統400乃包含一第一處理系統410以及一耦合至第一處理系統410之第二處理系統420。例如,第一處理系統410可包含一化學處理系統,而第二處理系統420則可包含一熱處理系統。或者,第二處理系統420也可包含一例如水清洗系統之基板清洗系統。
且,如圖3A所示,一傳送系統430可耦合至第一處理系統410,以便將基板傳送進出第一處理系統410及第二處理系統420,並且利用一多元件生產系統440來交換基板。第一處理系統410及第二處理系統420以及傳送系統430可例如包含一位於多元件生產系統440中之處理元件。例如,多元件生產系統440可容許基板在各個元件(包含例如蝕刻系統、沈積系統、塗佈系統、圖案化系統以及量測系統等等)之間來去。為了隔離第一及第二系統中所進行的處理,一隔離組件450可用來耦合每一系統。例如,隔離組件450可包含一提供熱隔絕之熱隔絕組件以及一提供真空隔絕之閘閥組件兩者至少其中之一。當然,處理系統410及420以及傳送系統430可以依照任意順序來放置。
或者,在另一實施例中,圖3B呈現一用於在基板上執行乾式非電漿移除處理的處理系統500。處理系統500乃包含一第一處理系統510以及一第二處理系統520。例如,第一處理系統510可包含一化學處理系統,而第二處理系統520則可包含一熱處理系統。或者,第二處理系統520也可包含一例如水清洗系統之基板清洗系統。
且,如圖3B所示,一傳送系統530可耦合至第一處理系統510,以便將基板傳送進出第一處理系統510,並且可耦合至第二處理系統520以便將基板傳送進出第二處理系統520。此外,傳送系統530可與一或多個基板匣(未顯示)交換基板。雖然圖3B中只顯示出兩個處理系統,其他處理系統(包含例如蝕刻系統、沈積系統、塗佈系統、圖案化系統以及量測系統等等的裝置)亦可接觸到傳送系統530。為了隔離第一及第二系統中所進行的處理,一隔離組件550可用來耦合每一系統。例如,隔離組件550可包含一提供熱隔絕之熱隔絕組件以及一提供真空隔絕之閘閥組件兩者至少其中之一。此外,例如傳送系統530可用來當作隔離組件550的一部份。
或者,在另一實施例中,圖3C呈現一用於在基板上執行乾式非電漿移除處理的處理系統600。處理系統600乃包含一第一處理系統610以及一第二處理系統620,其中第一處理系統610乃如圖所示般地垂直堆疊於第二處理系統620之上。例如,第一處理系統610可包含一化學處理系統,而第二處理系統620則可包含一熱處理系統。或者,第二處理系統620也可包含一例如水清洗系統之基板清洗系統。
且,如圖3C所示,一傳送系統630可耦合至第一處理系統610,以便將基板傳送進出第一處理系統610,並且可耦合至第二處理系統620以便將基板傳送進出第二處理系統620。此外,傳送系統630可與一或多個基板匣(未顯示)交換基板。雖然圖3C中只顯示出兩個處理系統,其他處理系統(包含例如蝕刻系統、沈積系統、塗佈系統、圖案化系統以及量測系統等等的裝置)亦可接觸到傳送系統630。為了隔離第一及第二系統中所進行的處理,一隔離組件650可用來耦合每一系統。例如,隔離組件650可包含一提供熱隔絕之熱隔絕組件以及一提供真空隔絕之閘閥組件兩者至少其中之一。此外,例如傳送系統630可用來當作隔離組件650的一部份。
如圖4所示,一化學處理系統710包含一溫控基板支架740,其設置為可實質熱隔絕於化學處理室711,且可支撐基板742;並包含一耦合於化學處理室711之真空泵系統750以排空化學處理室711;以及一氣體配送系統760,用於將處理氣體導入化學處理室711中之處理空間762。基板742可透過傳送開口794而被傳送進出化學處理室711。
此外,化學處理系統710包含一耦合至處理室溫控系統768之處理室溫控元件766。處理室溫控元件766可包含一加熱單元、一冷卻單元或兩者皆有。且,化學處理系統710更包含一耦合至氣體配送溫控系統769之氣體配送溫控元件767。氣體配送溫控元件767可包含一加熱單元、一冷卻單元或兩者皆有。
如圖4所示,化學處理系統710更包含一具有基板支架組件744之基板支架740。基本支架組件744可提供許多用以熱控制及處理基板742的功能。例如,基板支架740以及基板支架組件744可包含或不包含基板夾持系統(也就是電動式或機械式夾持系統)、加熱系統、冷卻系統以及用於改善基板742以及基板支架740之間熱傳導之基板背面氣體供應系統等等。
請再參照圖4,一控制器735可耦合至基板支架組件744、氣體配送系統760、真空泵系統750、處理室溫控系統768以及氣體配送溫控系統769。控制器735可包含一微處理器、記憶體以及一數位I/O埠,其可產生足夠的控制電壓以傳達並啟動對化學處理系統710之輸入,並可監控化學處理系統710之輸出。
關於化學處理系統710之更進一步的細節乃描述於美國專利號6,951,821A1之發明名稱為「Processing system and method for chemically treating a substrate」,其完整的內容收錄於此以供參照。
如圖5所示,一熱處理系統820更包含一溫控基板支架870,其設置於熱處理室821中而實質與熱處理室821熱隔絕,且可支撐基板842’;一真空泵系統880用以排空熱處理室821;以及包含一耦合至熱處理室821之基板升降組件890。升降組件890可將基板842”垂直平移於一支撐平面(實線)與基板支架870(虛線)或是介於其中之一傳送平面之間。熱處理室821可更包含一上組件884,用以在基板842’之熱處理時導入例如沖洗氣之處理氣體。基板842’(或842”)可透過傳送開口898而被傳送進出熱處理室821。
此外,熱處理系統820包含一耦合至處理室溫控系統881之處理室溫控元件883。處理室溫控元件883可包含一加熱單元、一冷卻單元或兩者皆有。且,熱處理系統820更包含一耦合至上組件溫控系統886之上組件溫控元件885。上組件溫控元件885可包含一加熱單元、一冷卻單元或兩者皆有。
如圖5所示,熱處理系統820更包含一具有基板支架溫控元件876以及一基板支架溫控系統878之基板支架870。基板支架溫控元件876可包含一例如阻抗加熱元件之加熱元件。且,例如,基板支架870可包含或不包含基板夾持系統(也就是電動式或機械式夾持系統)、一額外之加熱系統、冷卻系統以及用於改善基板842’以及基板支架870之間熱傳導之基板背面氣體供應系統等等。
請再參照圖5,一控制器875可耦合至上組件884、真空泵系統880、處理室溫控系統881、上組件溫控系統886、基板支架溫控系統878以及基板升降組件890。控制器875可包含一微處理器、記憶體以及一數位I/O埠,其可產生足夠的控制電壓以傳達並啟動對熱處理系統820之輸入,並可監控熱處理系統820之輸出。
關於熱處理系統820之更進一步的細節乃描述於美國專利申請號10/704,969之發明名稱為「Processing system and method for thermally treating a substrate」,其完整的內容收錄於此以供參照。
雖然前面僅詳細描述本發明之特定實施例,對於熟習本技藝者,在不顯著悖離本發明之新穎性教導以及優點之情形下,對於前述示範實施例之各種修改均屬可行。
110...微影遮罩層
112...圖案
114...側壁
120...硬性遮罩層
122...硬性遮罩圖案
124...側壁
130...介電膜
132...特徵部
134...側壁
134’...側壁
140...基板
400...處理系統
410...第一處理系統
420...第二處理系統
430...傳送系統
440...多元件生產系統
450...隔離組件
500...處理系統
510...第一處理系統
520...第二處理系統
530...傳送系統
550...隔離組件
600...處理系統
610...第一處理系統
620...第二處理系統
630...傳送系統
650...隔離組件
710...化學處理系統
711...化學處理室
735...控制器
740...溫控基板支架
742...基板
744...基板支架組件
750...真空泵系統
760...氣體配送系統
762...處理空間
766...處理室溫控元件
767...氣體配送溫控元件
768...處理室溫控系統
769...氣體配送溫控系統
794...傳送開口
820...熱處理系統
821...熱處理室
842’...基板
842”...基板
870...溫控基板支架
875...控制器
876...基板支架溫控元件
878...基板支架溫控系統
880...真空泵系統
881...處理室溫控系統
883...處理室溫控元件
884...上組件
885...上組件溫控元件
886...上組件溫控系統
890...基板升降組件
898...傳送開口
在所附圖示中:圖1A~1G說明當在一後段製程(BEOL)之互連線/內連線結構中形成溝渠或介層窗時,處理基板的示範性順序;圖2說明根據本發明之一實施例中之一處理基板的方法;圖3A~3C顯示一示意圖,說明根據本發明之另一實施例之一處理系統;圖4顯示本發明之另一實施例之一化學處理系統;以及圖5顯示本發明之另一實施例之一熱處理系統。
210...在基板上形成介電層
220...在介電層上形成硬性遮罩層
230...形成已圖案化之微影遮罩
240...將圖案轉移至硬性遮罩層
250...移除圖案化之微影遮罩
260...將圖案轉移至介電層
270...將介電層上受損之表面層移除
280...移除硬性遮罩層

Claims (23)

  1. 一種在基板上處理介電膜的方法,其步驟包含:a).移除一微影遮罩層,該微影遮罩層係用於將一遮罩圖案移轉至一形成於該基板上之下方硬遮罩層;b).在步驟a)之後,利用該下方硬遮罩層而在該介電膜中蝕刻一特徵部;c).將該具有利用該下方硬遮罩層而被蝕刻在該介電膜中之該特徵部之基板放置於一處理系統中,其中該介電膜之一表面層已暴露於一蝕刻電漿、一灰化電漿、一濕式潔淨化學品或是前述兩者或兩種以上的組合中,造成該介電膜之該表面層之損害或活化;d).在該介電膜上進行一乾式非電漿移除處理,以移除該受損或被活化的表面層,該移除處理包含下列步驟:i.將該介電膜上之該表面層暴露至一處理氣體,該處理氣體乃包含HF或NH3 或其兩者的組合以便化學性地改變該表面層;以及ii.熱處理該已化學改變之表面層以便脫附該已化學改變之表面層。
  2. 如申請專利範圍第1項之在基板上處理介電膜的方法,其中該暴露步驟包含暴露一介電膜之一表面層,該介電膜之介電常數係介於1.6~2.7之間。
  3. 如申請專利範圍第1項之在基板上處理介電膜的方法,其中該暴露步驟包含暴露一多孔性介電膜或一非多孔性介電膜或前述兩者組合之一表面層。
  4. 如申請專利範圍第1項之在基板上處理介電膜的方法,其中該暴露步驟包含暴露一具有單相材料或兩相材料或前述兩者組合之多孔性介電膜之一表面層。
  5. 如申請專利範圍第1項之在基板上處理介電膜的方法,其中該暴露步驟包含暴露一含有有機材料或無機材料或前述兩 者組合之薄膜之一表面層。
  6. 如申請專利範圍第5項之在基板上處理介電膜的方法,其中該暴露步驟包含暴露一含有無機-有機混合材料之薄膜之一表面層。
  7. 如申請專利範圍第5項之在基板上處理介電膜的方法,其中該暴露步驟包含暴露一含有氧化有機矽烷之薄膜之一表面層。
  8. 如申請專利範圍第5項之在基板上處理介電膜的方法,其中該暴露步驟包含暴露一含有氫倍半矽氧烷或甲基倍半矽氧烷或前述兩者之組合的薄膜之一表面層。
  9. 如申請專利範圍第5項之在基板上處理介電膜的方法,其中該暴露步驟包含暴露一含有矽酸鹽類材料之薄膜之一表面層。
  10. 如申請專利範圍第5項之在基板上處理介電膜的方法,其中該暴露步驟包含暴露一含有矽、碳以及氧之集合薄膜之一表面層。
  11. 如申請專利範圍第10項之在基板上處理介電膜的方法,其中該暴露步驟更包含暴露該更含有氫之集合薄膜之一表面層。
  12. 如申請專利範圍第1項之在基板上處理介電膜的方法,其中該暴露步驟係於一處理壓力介於約1 mtorr~100 torr之間中進行。
  13. 如申請專利範圍第1項之在基板上處理介電膜的方法,其中該暴露步驟係於該基板溫度介於約10℃~200℃之間中進行。
  14. 如申請專利範圍第1項之在基板上處理介電膜的方法,其中該暴露步驟包含將該基板暴露至一更包含一惰性氣體之處理氣體中。
  15. 如申請專利範圍第14項之在基板上處理介電膜的方法,其 中該暴露步驟包含將該基板暴露至一更包含一鈍氣之處理氣體中。
  16. 如申請專利範圍第1項之在基板上處理介電膜的方法,其中該熱處理步驟包含提高該基板的溫度約50℃以上。
  17. 如申請專利範圍第1項之在基板上處理介電膜的方法,其中該熱處理步驟包含提高該基板的溫度約100℃以上。
  18. 如申請專利範圍第17項之在基板上處理介電膜的方法,其中該熱處理步驟乃於導入一惰性氣體時進行。
  19. 如申請專利範圍第18項之在基板上處理介電膜的方法,其中該導入步驟包含導入一氮氣。
  20. 一種在基板上之低k薄膜中形成特徵部的方法,其步驟包含:a).將該具有該低k薄膜之基板放置於一處理室中;b).利用一微影遮罩層在該低k薄膜上形成一硬遮罩圖案,該硬遮罩圖案具有一圖案特徵部,其對應於一欲形成於該低k薄膜中之低k特徵部;c).移除該用於在該低k薄膜上形成該硬遮罩圖案之微影遮罩層;d).在步驟c)之後,利用一乾式電漿蝕刻處理來蝕刻在該低k薄膜中之該低k特徵部;及e).在該低k特徵部上進行一乾式非電漿移除處理,以移除已被該乾式電漿蝕刻處理所損害或活化(至少其中之一)之該低k特徵部之一表面層。
  21. 如申請專利範圍第20項之在基板上之低k薄膜中形成特徵部的方法,其中該形成步驟包含形成一遮罩圖案,該遮罩圖案具有比該低k特徵部之臨界尺寸較小之臨界尺寸,以補償該乾式電漿移除處理。
  22. 如申請專利範圍第21項之在基板上之低k薄膜中形成特徵部的方法,其中該低k特徵部至少包含一互連線介層窗或一 配線溝渠兩者其中之一或是前述兩者之組合。
  23. 如申請專利範圍第22項之在基板上之低k薄膜中形成特徵部的方法,其中該進行步驟包含進行一乾式非電漿移除處理,其步驟包含:a).將該低k特徵部上之一表面層暴露至一處理氣體,該處理氣體乃包含HF或NH3 或其兩者的組合以便化學性地改變該表面層;以及b).熱處理該已化學改變之表面層以便脫附該已化學改變之表面層。
TW096110561A 2006-03-28 2007-03-27 受損介電材料之移除方法 TWI385728B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/390,193 US7795148B2 (en) 2006-03-28 2006-03-28 Method for removing damaged dielectric material

Publications (2)

Publication Number Publication Date
TW200802603A TW200802603A (en) 2008-01-01
TWI385728B true TWI385728B (zh) 2013-02-11

Family

ID=38574058

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096110561A TWI385728B (zh) 2006-03-28 2007-03-27 受損介電材料之移除方法

Country Status (6)

Country Link
US (1) US7795148B2 (zh)
JP (1) JP5271255B2 (zh)
KR (1) KR101283837B1 (zh)
CN (1) CN101454876B (zh)
TW (1) TWI385728B (zh)
WO (1) WO2007126461A2 (zh)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4939864B2 (ja) * 2006-07-25 2012-05-30 東京エレクトロン株式会社 ガス供給装置、ガス供給方法、薄膜形成装置の洗浄方法、薄膜形成方法及び薄膜形成装置
US7786016B2 (en) * 2007-01-11 2010-08-31 Micron Technology, Inc. Methods of uniformly removing silicon oxide and a method of removing a sacrificial oxide
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US8382997B2 (en) * 2010-08-16 2013-02-26 Tokyo Electron Limited Method for high aspect ratio patterning in a spin-on layer
CN102420121B (zh) * 2011-05-26 2013-12-04 上海华力微电子有限公司 一种针对氟基等离子体刻蚀后的氮化钛薄膜的处理方法
CN102437037B (zh) * 2011-09-08 2014-06-04 上海华力微电子有限公司 一种有效减少水痕缺陷的方法
CN103094190B (zh) * 2011-11-01 2015-04-29 中芯国际集成电路制造(上海)有限公司 互连层中空气间隙的形成方法
US8809194B2 (en) 2012-03-07 2014-08-19 Tokyo Electron Limited Formation of SiOCl-containing layer on spacer sidewalls to prevent CD loss during spacer etch
US8592327B2 (en) 2012-03-07 2013-11-26 Tokyo Electron Limited Formation of SiOCl-containing layer on exposed low-k surfaces to reduce low-k damage
US8551877B2 (en) 2012-03-07 2013-10-08 Tokyo Electron Limited Sidewall and chamfer protection during hard mask removal for interconnect patterning
US8859430B2 (en) 2012-06-22 2014-10-14 Tokyo Electron Limited Sidewall protection of low-K material during etching and ashing
US8871639B2 (en) * 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
CN103646872A (zh) * 2013-11-26 2014-03-19 上海华力微电子有限公司 一种去胶设备
US9508561B2 (en) * 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
KR20170057329A (ko) 2014-09-12 2017-05-24 어플라이드 머티어리얼스, 인코포레이티드 반도체 프로세싱 장비 유출물의 처리를 위한 제어기
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
WO2016210299A1 (en) 2015-06-26 2016-12-29 Tokyo Electron Limited GAS PHASE ETCH WITH CONTROLLABLE ETCH SELECTIVITY OF Si-CONTAINING ARC OR SILICON OXYNITRIDE TO DIFFERENT FILMS OR MASKS
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
FR3041471B1 (fr) * 2015-09-18 2018-07-27 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de formation des espaceurs d'une grille d'un transistor
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
CN107845574B (zh) * 2017-10-31 2018-11-23 长鑫存储技术有限公司 半导体上刻蚀去除氧化物的方法
KR102642011B1 (ko) 2018-03-30 2024-02-27 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
US10982335B2 (en) * 2018-11-15 2021-04-20 Tokyo Electron Limited Wet atomic layer etching using self-limiting and solubility-limited reactions
CN110928142B (zh) * 2019-11-28 2023-08-29 北京遥测技术研究所 一种光刻厚胶与金属基底结合力的改善方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6071815A (en) * 1997-05-29 2000-06-06 International Business Machines Corporation Method of patterning sidewalls of a trench in integrated circuit manufacturing
US6627539B1 (en) * 1998-05-29 2003-09-30 Newport Fab, Llc Method of forming dual-damascene interconnect structures employing low-k dielectric materials

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5685951A (en) * 1996-02-15 1997-11-11 Micron Technology, Inc. Methods and etchants for etching oxides of silicon with low selectivity in a vapor phase system
JP3662472B2 (ja) * 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
US6451712B1 (en) * 2000-12-18 2002-09-17 International Business Machines Corporation Method for forming a porous dielectric material layer in a semiconductor device and device formed
US6541351B1 (en) * 2001-11-20 2003-04-01 International Business Machines Corporation Method for limiting divot formation in post shallow trench isolation processes
JP2003234402A (ja) * 2002-02-12 2003-08-22 Tokyo Electron Ltd 半導体製造方法及び半導体製造装置
US6858532B2 (en) * 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US7877161B2 (en) 2003-03-17 2011-01-25 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US6951821B2 (en) * 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
TWI220774B (en) * 2003-11-03 2004-09-01 Univ Nat Sun Yat Sen Method for patterning low dielectric constant film and method for manufacturing dual damascene structure
US20050227494A1 (en) * 2004-03-30 2005-10-13 Tokyo Electron Limited Processing system and method for treating a substrate
US20050218113A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for adjusting a chemical oxide removal process using partial pressure
US20050269291A1 (en) * 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
US7097779B2 (en) * 2004-07-06 2006-08-29 Tokyo Electron Limited Processing system and method for chemically treating a TERA layer
US7510972B2 (en) * 2005-02-14 2009-03-31 Tokyo Electron Limited Method of processing substrate, post-chemical mechanical polishing cleaning method, and method of and program for manufacturing electronic device
JP4860219B2 (ja) * 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
JP4515309B2 (ja) * 2005-03-31 2010-07-28 東京エレクトロン株式会社 エッチング方法
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7214626B2 (en) * 2005-08-24 2007-05-08 United Microelectronics Corp. Etching process for decreasing mask defect
US7288483B1 (en) * 2006-03-28 2007-10-30 Tokyo Electron Limited Method and system for patterning a dielectric film
US20070238301A1 (en) * 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
US7368393B2 (en) * 2006-04-20 2008-05-06 International Business Machines Corporation Chemical oxide removal of plasma damaged SiCOH low k dielectrics
US7718032B2 (en) * 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
US7786016B2 (en) * 2007-01-11 2010-08-31 Micron Technology, Inc. Methods of uniformly removing silicon oxide and a method of removing a sacrificial oxide
KR101330707B1 (ko) * 2007-07-19 2013-11-19 삼성전자주식회사 반도체 장치의 형성 방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6071815A (en) * 1997-05-29 2000-06-06 International Business Machines Corporation Method of patterning sidewalls of a trench in integrated circuit manufacturing
US6627539B1 (en) * 1998-05-29 2003-09-30 Newport Fab, Llc Method of forming dual-damascene interconnect structures employing low-k dielectric materials

Also Published As

Publication number Publication date
JP5271255B2 (ja) 2013-08-21
WO2007126461A2 (en) 2007-11-08
WO2007126461A3 (en) 2008-08-14
CN101454876B (zh) 2011-07-27
TW200802603A (en) 2008-01-01
US20070235411A1 (en) 2007-10-11
JP2009531857A (ja) 2009-09-03
CN101454876A (zh) 2009-06-10
KR20080109886A (ko) 2008-12-17
US7795148B2 (en) 2010-09-14
KR101283837B1 (ko) 2013-07-08

Similar Documents

Publication Publication Date Title
TWI385728B (zh) 受損介電材料之移除方法
US8202803B2 (en) Method to remove capping layer of insulation dielectric in interconnect structures
US7723237B2 (en) Method for selective removal of damaged multi-stack bilayer films
US8591661B2 (en) Low damage photoresist strip method for low-K dielectrics
US7300597B2 (en) Selective etch process of a sacrificial light absorbing material (SLAM) over a dielectric material
JP4842263B2 (ja) Tera層を化学処理するための処理システムおよび方法
US7541200B1 (en) Treatment of low k films with a silylating agent for damage repair
US7083991B2 (en) Method of in-situ treatment of low-k films with a silylating agent after exposure to oxidizing environments
US8058178B1 (en) Photoresist strip method for low-k dielectrics
KR100322545B1 (ko) 건식 세정 공정을 전 공정으로 이용하는 반도체 장치의콘택홀 채움 방법
KR101569938B1 (ko) 임계 치수 바이어스가 감소된 실리콘 함유 반사 방지 코팅층의 에칭 방법
US8664124B2 (en) Method for etching organic hardmasks
US7288483B1 (en) Method and system for patterning a dielectric film
US20060252256A1 (en) Method for removing post-etch residue from wafer surface
US20060264033A1 (en) Dual damascene patterning method
KR102083680B1 (ko) 유기 하드마스크들을 에칭하는 방법
US8252192B2 (en) Method of pattern etching a dielectric film while removing a mask layer
KR101688231B1 (ko) Co2/co계 처리를 이용하여 기판을 애싱하기 위한 저손상 방법
US6573196B1 (en) Method of depositing organosilicate layers
US20090246713A1 (en) Oxygen-containing plasma flash process for reduced micro-loading effect and cd bias

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees