KR102083680B1 - 유기 하드마스크들을 에칭하는 방법 - Google Patents

유기 하드마스크들을 에칭하는 방법 Download PDF

Info

Publication number
KR102083680B1
KR102083680B1 KR1020130015077A KR20130015077A KR102083680B1 KR 102083680 B1 KR102083680 B1 KR 102083680B1 KR 1020130015077 A KR1020130015077 A KR 1020130015077A KR 20130015077 A KR20130015077 A KR 20130015077A KR 102083680 B1 KR102083680 B1 KR 102083680B1
Authority
KR
South Korea
Prior art keywords
dielectric
low
substrate
organic
organic hardmask
Prior art date
Application number
KR1020130015077A
Other languages
English (en)
Other versions
KR20130093038A (ko
Inventor
웨슬리 피 그라프
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/372,363 external-priority patent/US8664124B2/en
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20130093038A publication Critical patent/KR20130093038A/ko
Application granted granted Critical
Publication of KR102083680B1 publication Critical patent/KR102083680B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Abstract

리소그래피 프로세스에서 저 유전율 필름 위에 있는 유기 하드마스크를 에칭 또는 제거하는 방법. 이 방법은, 그 위에 제거될 유기 하드마스크를 갖는 약 4.0 보다 크지 않은 유전율을 갖는 유전체 필름을 제공하는 단계, 수소 및 산화 가스의 혼합물을 포함하는 이온화 가능한 가스를 유기 하드마스크 위에 도입하는 단계, 및 혼합물에 에너지를 인가하여 혼합물의 플라즈마를 생성하는 단계를 포함한다. 방법은, 유기 하드마스크와 플라즈마를 접촉시켜 밑에 있는 기판을 실질적으로 손상시키지 않고 유기 하드마스크를 제거하는 단계를 더 포함하고, 유기 하드마스크는 200℃ 를 초과하는 온도에 있다.

Description

유기 하드마스크들을 에칭하는 방법{METHOD FOR ETCHING ORGANIC HARDMASKS}
본 발명은 반도체 리소그래피 제조 시스템에서 사용된 세정 프로세스, 및 특히 비정질 또는 스핀-온 탄소와 같은 유기 하드마스크를 에칭 또는 저 유전율 필름으로부터 제거하는 방법에 관한 것이다.
집적 회로들 (ICs) 은 리소그래피 프로세스에 의해 반도체 웨이퍼 기판들 상에 제조된다. 리소그래피 프로세스는 원하는 회로 또는 그 일부의 마스크 패턴이, 선택된 파장들의 복사 에너지를 통해 기판 상의 포토레지스트 필름으로 전사되는 것을 허용한다. 포토레지스트 재료의 광감응 성분에서 화학적 본드들의 문턱 에너지를 초과하는 에너지를 갖는, 흡수된 에어리얼 (aerial) 이미지의 이들 세그먼트들은 레지스트 내에 잠상 (latent image) 을 생성한다. 잠상은 (포지티브 포토레지스트의 경우) 현상 공정 동안 제거되거나 (네거티브 포토레지스트의 경우) 현상 후에 남아 있어서, 레지스트 필름 내에 3 차원 패턴을 생성하는 레지스트 재료의 볼륨을 표시한다. 후속의 프로세싱에서, 결과의 레지스트 필름 패턴은 레지스트 층 내에 패터닝된 개구들의 영역들로부터 밑에 있는 기판들을 제거하기 위한 에칭 마스크로서 사용된다.
다마신 (damascene) 프로세싱 기법들은 종종 집적 회로 제조에서 사용되고, 유전체 층의 트렌치들 및 비아들 내에 인레이드 (inlaid) 금속 도체들을 형성하는 것을 수반한다. 하드마스크 층의 개구들은 유전체 층의 원하는 부분들을 에칭하여 트렌치들 및 비아들을 형성하는데 사용된다. 하드마스크 층의 개구들은 위에 있는 레지스트 층에 형성된 개구들을 통해 에칭함으로써 형성된 그 자체들이다. 다마신 프로세스에서 하드마스크들은 유기 층, 예컨대 a-탄소 또는 알파-탄소로부터 만들어질 수 있다.
248nm 에서 193nm 파장 리소그래피로의 이동은, 종종 에칭될 층의 상단에 증착될 멀티층 스택을 필요로하는, 마스크 통합물 (integration) 의 복잡도를 증가시키고 있다. 이것의 예는, 그 위에 종래의 레지스트가 스핀 및 프로세싱될 수 있는 SiON 반사방지 코팅 (anti-reflective coating; ARC) 층에 의해 커버된 비정질 탄소 하드마스크 층의 3 개의 층 스택이다. 레지스트가 현상된 후에, 불소 건조 에칭은 이 패턴을 SiON 층으로 전사한다. 레지스트는 산소계 에칭 프로세스와 함께 박리되어 SiON 층에서의 개구들로부터 하드마스크 층의 a-탄소를 제거한다. 유전체 에칭 프로세스는 그 후, 패턴을 듀얼 다마신 접근에서 사용된 a-탄소 하드마스크로부터 밑에 있는 유전체 층 안으로 트랜스퍼한다. 유전체 층의 에칭 다음에, a-탄소 하드마스크 층은, 웨이퍼 프로세스 플로우 말미에서 Cu 또는 다른 금속 인터커넥터들을 형성하기 전에 제거되어야 한다.
Sudijono 등의 미국특허 제 6,787,452 호는 듀얼 다마신 구조에서 비아들 및 트렌치들을 형성하기 위해 적용될 수 있는 포토레지스트 패터닝 프로세스 동안 임계 치수를 제어하는 방법을 개시한다. 비정질 탄소 ARC 는 플라즈마 강화 화학적 기상 증착 (PECVD) 방법에 의해 기판 상에 증착된다. 알파-탄소 층은 산화물에 비해 높은 에칭 선택도를 제공하고, 산소를 사용하는 플라즈마 애싱 단계에 의해 쉽게 제거되는 것으로서 기술된다. Ye 등의 미국특허 제 6,458,516 호는 수소/질소계 플라즈마를 사용하여 폴리머, 유기 마스크 층을 제거하는 방법을 교시한다.
저 유전율 (low-k) 재료들, 즉 일반적으로 약 2.7 내지 3.0 미만의 유전율을 갖는 재료들이 용량성 효과들로 인해 신호 전파에서의 지연을 감소시키기 위해 이용된 도전성 인터커넥터들 사이의 금속간 (inter-metal) 및/또는 층간 (inter-layer ) 유전체들과 같은 다마신 프로세스에서 사용되고 있다. 유전체 재료의 유전율이 낮을수록 유전체의 커패시턴스가 낮아지고 집적 회로의 RC 지연이 낮아진다. 통상적으로, 로우-k 유전체들은 탄소 도핑된 산화물 (carbon doped oxide; CDO) 로도 통상적으로 지칭된, 소정량의 결합형 탄소를 갖는 실리콘 산화물계 재료들이다. CDO 의 예는 캘리포니아, 산호세의 Novellus Systems, Inc. 로부터의 CORAL 브랜드 탄소 도핑된 산화물들이다. 고 산화 (highly oxidizing) 컨디션들은 일반적으로 로우-k 재료들 상에서의 사용에 부적합하다. O2 플라즈마에 노출되는 경우, 산소는 로우-k 재료들로부터 탄소를 찾거나 제거한다. CDO 와 같은 많은 이들 재료들에서, 탄소의 존재는 저 유전율을 제공하는데 있어 중요하다. 따라서, 산소가 이들 재료들로부터 탄소를 제거한 결과, 유전율을 효과적으로 증가시킨다. 집적 회로들을 제조하는데 사용된 프로세스들이 점점 작아지는 치수들을 지향하고 점점 낮은 유전율을 갖는 유전체 재료들의 사용을 필요로 하기 때문에, 종래의 박리 플라즈마 컨디션들은 부적합하다는 것이 발견되었다.
결과적으로, 비정질 탄소와 같은 유기 하드마스크 층들을 효과적으로 제거하고 과도한 양의 로우-k 유전체 재료들을 제거하지 않는 또는 다르게는 로우-k 유전체 재료들의 특성들을 현저하게 변경하지 않는 대안의 프로세스의 개발에 대한 필요성이 당해 분야에서 존재한다.
본 발명의 일 양태에 따르면, 리소그래피 프로세스에서 유기 하드마스크를 에칭하고/하거나 웨이퍼 기판들로부터 제거하는 개선된 방법이 제공된다.
본 발명의 다른 양태에 따르면, 밑에 있는 (underlying) 유전체 층을 손상시키지 않고 유기 하드마스크를 제거하는 방법이 제공된다.
본 발명의 다른 양태에 따르면, 밑에 있는 로우-k 유전체 층을 손상시키지 않고 유기 하드마스크 층을 제거하는 방법이 제공된다.
본 발명의 다른 양태에 따르면, 밑에 있는 로우-k 유전체 층 안에 에칭된 임계 치수의 피처들에 영향을 미치지 않고 유기 하드마스크를 제거하는 방법이 제공된다.
본원의 개시물로부터 당업자에게 명백해질 상기 및 다른 양태들은, 그 위에 제거될 유기 하드마스크를 갖는 기판을 제공하는 단계, 기판 및 유기 하드마스크 위에 수소 및 산화 가스의 혼합물을 포함하는 이온화 가능한 가스를 도입하는 단계, 및 혼합물에 에너지를 인가하여 혼합물의 플라즈마를 생성하는 단계를 포함하는, 비정질 탄소 유기 하드마스크와 같은 유기 하드마크스를 에칭 또는 제거하는 방법에 관한 본 발명에서 달성된다. 이 방법은, 유기 하드마스크를 플라즈마와 접촉시켜 유기 하드마스크의 적어도 일부를 제거하는 단계 및 밑에 있는 기판을 실질적으로 손상시키지 않고 기판을 노출시키는 단계를 포함하고, 여기서 기판 및 유기 하드마스크는 200℃ 를 초과하는 온도에 있다.
바람직하게, 유기 하드마스크는 밑에 있는 기판으로부터 완전히 제거된다.
다른 양태에서, 본 발명은 그 위에 제거될 유기 하드마스크를 갖는 약 4.0 보다 크지 않은 유전율을 갖는 유전체 필름을 제공하는 단계, 및 유기 하드마스크를 수소 및 산화 가스의 이온화된 혼합물을 포함하는 플라즈마와 접촉시켜 밑에 있는 유전체 필름에 실질적으로 영향을 주지 않고 유기 하드마스크를 제거하는 단계를 포함하는, 리소그래피 프로세스에서 저 유전율 필름 위에 있는 유기 하드마스크를 제거하는 방법에 관한 것이고, 여기서 유전체 필름 및 유기 하드마스크는 200℃ 를 초과하는 온도에 있다.
추가의 양태에서, 본 발명은 그 위에 제거될 유기 하드마스크를 갖는 약 4.0 보다 크지 않은 유전율을 갖는 유전체 필름을 제공하는 단계, 유기 하드마스크 위에 수소 및 산화 가스의 혼합물을 포함하는 이온화 가능한 가스를 도입하는 단계, 및 혼합물에 에너지를 인가하여 혼합물의 플라즈마를 생성하는 단계를 포함하는, 리소그래피 프로세스에서 저 유전율 필름 위에 있는 유기 하드마스크를 에칭 또는 제거하는 방법에 관한 것이다. 이 방법은, 유기 하드마스크와 플라즈마를 접촉시켜 밑에 있는 기판을 실질적으로 손상시키지 않고 유기 하드마스크를 제거하는 단계를 더 포함하고, 여기서 유전체 필름 및 유기 하드마스크는 200℃ 를 초과하는 온도에 있다.
유기 하드마스크는 화학적 기상 증착된 비정질 탄소일 수도 있고, 기판은 약 3.0 미만의 유전체 값을 갖는 유전체 필름, 예를 들어 탄소 도핑된 산화물 유전체 필름과 같은 유전체 필름일 수도 있다.
유기 하드마스크는 비정질 탄소일 수도 있고, 유전체 필름은 약 2.8 보다 크지 않은 유전율을 가질 수도 있다.
산화 가스는 이산화탄소의 소스로부터 제공될 수도 있다. 가스 혼합물은 바람직하게 기본적으로 질소가 없다.
다른 실시형태들에서, 본 발명은 캡핑 유전체 밑에 있는 벌크 로우-k 유전체 를 포함하는 복수의 유전체 재료들을 포함하는 유전체 층을 갖는 웨이퍼를 포함하고, 캡핑 유전체는 벌크 로우-k 유전체보다 높은 k 값을 갖는다. 일부 실시형태들에서, 벌크 로우-k 유전체 및 캡핑 유전체 양자 모두는 로우-k 유전체들이다. 다른 실시형태들에서, 벌크 로우-k 유전체는 로우-k 유전체이고, 캡핑 유전체는 로우-k 유전체가 아니다.
또 다른 실시형태들에서, 복수의 유전체 재료들은 별개의 벌크 로우-k 유전체 층 및 캡핑 유전체 층을 포함할 수도 있고, 또는 복수의 유전체 재료들은 벌크 로우-k 유전체 재료와 캡핑 유전체 재료 사이의 연속적인, 등급형 천이 (graded transition) 를 가질 수도 있다.
본 발명은 첨부된 도면들과 함께 취해져 이어지는 상세한 설명을 참조하여 잘 이해될 수도 있다
도 1 및 도 1a 는 에칭될 로우-k 유전체 위의, 웨이퍼 기판 상에 성막된 유기 하드마스크들, 포토레지스트 및 다른 층들의 단면 측면도들이다.
도 2 및 도 2a 는 로우-k 유전체 위의 포토레지스트, 유기 하드마스크 및 다른 층들이 에칭된 후에, 도 1 및 도 1a 각각의 웨이퍼 기판의 단면 측면도들이다.
도 3 및 도 3a 는 에칭된 유기 하드마스크 위의 층들이 제거된 후에, 도 2 및 도 2a 각각의 웨이퍼 기판의 단면 측면도들이다.
도 4 및 도 4a 는 로우-k 유전체가 유기 하드마스크 층을 통해 에칭된 후에, 도 3 및 도 3a 각각의 웨이퍼 기판의 단면 측면도들이다.
도 5 및 도 5a 는 로우-k 유전체에 손상을 입히지 않고 본 발명의 고온 플라즈마 방법에 의해 유기 하드마스크 층이 제거된 후에, 도 3 및 도 3a 각각의 웨이퍼 기판의 단면 측면도들이다.
도 6 은 본 발명을 실시하는데 적합한 장치를 나타내는 개략도이다.
도 7 은 본 발명을 실시하는데 적합한 멀티-스테이션 박리 툴을 나타내는 단순한 블록도이다.
본 발명의 바람직한 실시형태들을 설명하는데 있어서, 본원에서는 도면들을 참조할 것이며 도면에서 유사한 참조 부호들은 본 발명의 유사한 피처들을 가리킨다.
본 발명은 AHM (ashable hardmask) 들, 예컨대 a-탄소 또는 알파-탄소로서 알려진 비정질 하드마스크들, 또는 스핀-온 하드마스크들을 형성하기 위해 사용된 유기 하드마스크 재료들의 제거에 관한 것이다. 이러한 하드마스크들은 화학적 기상 증착 (CVD), 스핀-온, 또는 다른 기법들에 의해 기판 상에 형성될 수도 있다. AHM 재료는 일반적으로, 예를 들어 약 50-80 중량퍼센트의 탄소로 주로 이루어지고, 나머지 (remainder) 는 수소 및 가능하게는 미량의 질소이다. 이러한 필름들을 형성하는데 사용된 시재료 (starting material) 들의 예들은 CH4 및 C2H2, 또는 보다 일반적으로 CxHy 를 포함하고, 여기서 x = 2 내지 4 이고 y = 2 내지 10 이다.
본 발명의 방법은 로우-k 유전체 필름들로부터 유기 하드마스크 재료들을 제거하기 위해 효율적으로 그리고 효과적으로 사용될 수도 있지만, 로우-k 유전체 필름들, 또는 심지어 유전체들에 한정되지는 않는다. 본 발명은 또한, 로우-k 유전체들의 임의의 특정 카테고리에 한정되지 않는다. 예를 들어, 본 발명은 4.0 미만의 k 값들을 갖는 유전체들 (또한, 제 1 세대 로우-k 유전체들로 알려짐), 약 2.8 미만의 k 값들을 갖는 유전체들 (제 2 세대 로우-k 유전체들) 및 약 2.0 미만의 k 값들을 갖는 유전체들 (울트라-로우-k 유전체들) 을 이용하여 효과적으로 사용될 수도 있다. 로우-k 유전체는 다공성 또는 비-다공성일 수도 있다 (후자는 가끔 밀집된 로우-k 유전체로도 지칭됨). 일반적으로, 밀집된 로우-k 유전체들은 2.8 보다 크지 않은 k 값들을 갖는 것들이고, 로우-k 다공성 유전체들은 2.2 보다 크지 않은 k 값들을 갖는 것들이다. 불소 및/또는 탄소로 도핑된 실리콘 산화물계 유전체들을 포함하는, 임의의 적합한 구성들의 로우-k 유전체들이 사용될 수도 있다. 비-실리콘 산화물계 유전체들, 예컨대 폴리머 재료들이 또한 사용될 수도 있다. 로우-k 유전체를 성막하기 위해 스핀-온 성막 및 CVD 증착 기법들을 포함하는, 임의의 적합한 프로세스가 사용될 수도 있다. 다공성 유전체들을 형성하는 경우, 임의의 적합한 방법이 사용될 수도 있다. 통상의 방법은 실리콘계 백본 (backbone) 을 공동-성막 (co-depositing) 하고 후속적으로 포로겐 (porogen) 성분을 제거하여, 다공성 유전체 필름을 남기는 것을 수반한다. 다른 방법들은 졸-겔 기법들을 포함한다. 적합한 로우-k 필름들의 특정 예들은 Dow Chemicals, Inc. 의 상표 SiLK 로 팔리는 탄소계 스핀-온 유형 필름들 및 Novellus Systems, Inc. 의 상표 CORAL 로 팔리는 CVD 증착된 다공성 필름들이 있다.
바람직하게, 유기 하드마스크는 반응성 플라즈마 에칭에 의해 에칭되어 제거된다. 일반적으로, 반응성 플라즈마 에칭은 플라즈마 리액터에서 인시츄로 수행되며, 여기서 프로세싱 챔버는 프로세싱 챔버에 배치된 용량성 결합된 전극들을 이용한 RF 에너지의 인가에 의해 반응성 가스들의 여기 및/또는 해리를 촉진한다. 플라즈마는 통상적으로, 프로세싱 챔버에 존재하는 원하지 않는 성막 재료와 반응하고 이 재료를 에칭하는 고 반응성 종들을 생성한다. 본 발명은 마이크로파 (MW), 유도 결합된 플라즈마 (ICP) 로 또는 평행판 반응성 이온 에칭 (RIE) 리액터에서 생성된 플라즈마들을 사용할 수도 있다.
본 발명을 실시하는데 사용될 수도 있는 플라즈마 리액터 장치는 프로세스 챔버 내에 진공을 생성하기 위한 진공 펌프를 포함한다. 본 발명의 장치는 또한, 프로세스 가스 유입구 어셈블리, 예컨대 프로세스 챔버 내의 가스 배포 페이스플레이트 또는 샤워헤드 내에 연결된 유입구 도관에 커플링된 가압 가스 실린더를 포함한다. 반도체 웨이퍼 기판 또는 다른 워크피스는, 기판에 바이어스를 인가할 수도 있는 페데스탈 (pedestal) 또는 플래턴 (platen) 에 얹혀 있다. RF 또는 다른 전력 공급기가 가스 배포 페이스플레이트 또는 샤워헤드와, 페데스탈 사이에 전력을 인가하여 프로세스 가스 또는 가스들의 혼합물을 여기시켜, 페이스플레이트와 페데스탈 사이의 실린더 반응 영역 내에 플라즈마를 형성한다.
본 발명에서 사용된 이온화 가능 프로세스 가스는 바람직하게 수소와 산소 함유 또는 산화 가스, 예컨대 CO 또는 CO2 의 혼합물이다. 혼합물은 바람직하게, 약 0.5 내지 10 용량퍼센트의 산화 가스를 포함한다. 바람직하게, 포토레지스트와 상호작용하여 레지스트 중독 효과로서 알려져 있는 것을 야기할 수 있는 아민기들을 생성하도록 알려져 있는, 질소를 필름 안에 포함시킴으로써 임의의 밑에 있는 CDO 유전체 층들에 대한 손상을 야기하는 것을 방지하기 위해, 이온화된 가스 혼합물은 질소를 함유하지 않는다. 193 nm 레지스트와 같은 감응성 레지스트들은 아민기들과 반응할 수 있는데, 이 아민기들은 레지스트 내의 산성 화합물들을 중화시키고 레지스트들이 적절히 현상되어 리소그래피 시퀀스의 솔벤트 제거 단계에서 제거되는 것을 방해하여 웨이퍼의 원하지 않는 영역들에 잔여 레지스트를 남긴다. 첨가된 Ar 또는 He 를 이용하면, 몇몇 이점들이 있을 수 있지만 이것이 H2 + CO2 플라즈마로 특징지어지지는 않는다. RIE 에칭 툴에서 He 또는 Ar 를 사용하는 것은 에칭 레이트를 향상시킬 수 있고 또는 웨이퍼 표면 (25) 상에 종종 남겨지는 사후 에칭 (post etch) 폴리머들 또는 다른 결함들을 스퍼터링함으로써 프로세스의 종료시에 이로운 것으로 판명될 수 있다. 따라서, 청정한 웨이퍼 표면 또는 보다 적은 초미세 결함들을 갖는 표면을 남기는 것에 대하여 약간의 이점들이 존재할 수 있다.
플라즈마 에칭 동안, 웨이퍼 온도를 약 200℃ 위, 바람직하게는 250℃ 위 그리고 더욱 바람직하게는 약 250-350℃ 의 범위에서 유지하는 것이 중요하다. 이러한 목적을 위해 프로세스 챔버에는 발열체가 공급될 수도 있다. 동작 동안, 이온화 가능한 프로세스 가스가 챔버 안으로 유동하는 측의 반대인 진공 챔버의 측에 생성된 진공으로 인해 플라즈마 프로세스 가스는 진공 챔버의 일 측으로부터 타 측으로 이동한다. 플라즈마 프로세스 가스는 웨이퍼 기판의 표면을 가로질러 확산되어 유기 하드마스크를 제거하고 휘발성 재료를 진공 펌프 어셈블리를 향해 운반한다.
도 1 에 도시된 바와 같이, 웨이퍼 (20) 는 에칭 종료 층 (22) 을 포함하는데 이 층 위에는 로우-k 유전체 층 (24) 이 성막된다. 로우-k 유전체 층 (24) 위에는 유기 (예를 들어, 비정질 탄소) 하드마스크 층 (26) 이 성막된다. 레지스트 층 (32), 선택적 유기 (또는 스핀 온) 반사방지 코팅 (ARC) 층 (30) 및 (CO2 및 Si(CH3)4 과 반응함으로써 생성된) SiOC, SiON 또는 Si3N4 ARC 층의 유전체 ARC 층 (28) 이 유기 하드마스크 위에 있다. 레지스트 층은 디바이스 패턴에 노광되고 이 패턴에 대응하는 레지스트 재료의 볼륨을 제거하도록 현상된다. 도 2 에 도시된 바와 같이, 그 후 남아 있는 레지스트 층 (32) 의 개구 (34) 가 마스크로서 사용되어 ARC 층 (28, 30) 및 유기 하드마스크 층 (26) 으로부터 대응하는 볼륨을 에칭한다.
그 후, 도 3 에 도시된 바와 같이 레지스트 층 및 ARC 층은 제거되어 층 (24) 위에 유기 하드마스크 층 및 에칭된 패턴 개구 (34) 를 남긴다. 레지스트 층 및 잔여물은 미국 특허출원 제 10/890,653, 11/011,273 및 11/128,930 호에 개시된 프로세스들에 의해 제거될 수도 있고, 이 출원의 개시물들은 본원에서 참조로서 포함된다. 통상적으로, 웨이퍼는 통상적으로 애싱 프로세스를 받아 레지스트 층을, 예를 들어, 로우-k 유전체 필름의 수소 플라즈마 박리 및 플라즈마 리액터로의 트랜스퍼에 의해 박리 및 제거한다. 레지스트 및 다른 위에 있는 층들을 박리한 후에, 유기 하드마스크 층은 그 후 도 4 에 도시된 바와 같이 예를 들어 반응성 이온 에칭 (RIE) 에 의해 밑에 있는 로우-k 유전체 층을 에칭하는데 사용되고, 여기서 개구 (34) 는 로우-k 층 (24) 아래로 계속되어 벽들 (36) 을 갖는 개구를 생성한다.
더 일반적인 방법은 도 2 에 도시된 층들을 갖는 웨이퍼를 층들 (32, 30,28) 을 제거하지 않고, RIE 에 노출되도록 하는 것이다. 통상적으로 RIE 에칭에 필요한 긴 에칭 시간으로 인해, 층들 (32, 30, 28) 은 에칭 종료 층 (22) 이 노출되기 전에 완전히 제거된다. 결과의 구조가 도 4 에 도시된다. 이는 도 2 에 도시된 구조를 RIE 에칭에 노출시킴으로써 달성되고, 따라서 전술된 도 3 의 별개의 레지스트/ARC 제거 단계에 대한 필요성을 생략하고 도 4 의 구조를 초래한다.
웨이퍼는 그 후, 본 발명의 고온의 플라즈마 세정 방법을 받아, 로우-k 유전체 층을 손상되지 않게 하면서 유기 하드마스크 층을 제거하고 개구 (34) 내에 도전성 금속을 수용할 준비를 한다. 플라즈마 프로세싱은 수소 플라즈마 애싱 프로세스에 사용된 동일한 리액터에서 행해질 수도 있지만, 원하는 반응 온도를 달성하기 위해 발열체의 사용을 필요로 한다. 후속적으로, 도 5 에 도시된 바와 같이, 유전체 층 (24) 의 표면 (25) 은 실질적으로 a-탄소 또는 다른 유기 하드마스크 잔여물이 없고, 유전체 층 내의 에칭된 비아 또는 트렌치 (38) 의 치수들은 예컨대 부식된 측벽들 (36') 에 의한 임의의 손상을 받지 않는다.
Novellus Systems Iridia 200mm 에칭 툴에서, 로우-k 유전체 층 위에 있는 유기 하드마스크 층은 280℃ 의 통상적인 온도로 가열 램프들에 의해 가열된다. 2.45GHz 에서 약 1000-3000W 의 범위, 통상적으로 약 1800W 의 마이크로파 전력이 약 500-4000sccm, 통상적으로 약 1800sccm 의 레이트로 750-4000mT 의 범위, 통상적으로 1000mT 압력에서 유지된 챔버 안으로 유동하는 H2/CO2 가스 혼합물에 인가될 수도 있다. 약 30 과 180 초 사이, 통상적으로 약 90 초의 프로세싱 시간 후에, 유기 하드마스크 층은 로우-k 유전체 층에 대한 실질적인 손상 없이 제거된다.
Novellus Systems Gamma 툴에서, 로우-k 유전체 층 위에 있는 유기 하드마스크 층을 포함하는 웨이퍼는 전기 저항 가열된 플래턴에 의해 280℃ 의 통상의 온도로 가열된다. 3.56GHz 에서 약 500-3000W 의 범위, 통상적으로 약 2000W 의 RF 전력이 약 5000-40000sccm, 통상적으로 약 20000sccm 의 레이트로 750-4000mT 의 범위, 통상적으로 1000mT 압력에서 유지된 챔버 안으로 유동하는 H2/CO2 가스 혼합물에 인가될 수도 있다. 툴은 4 내지 6 개의 플래턴들을 포함하고, 웨이퍼는 에칭 프로세싱 동안 플래턴들 전부를 통해 이동된다. 총 프로세싱 또는 약 20 과 180 초 사이, 통상적으로 약 90 초의 플라즈마 노출 시간 후에, 유기 하드마스크 층은 로우-k 유전체 층에 대한 실질적인 손상 없이 제거된다.
듀얼 전원을 갖는 Novellus Systems Iridia 300mm Sierra 에칭 툴에서, 로우-k 유전체 층 위에 있는 유기 하드마스크 층을 포함하는 웨이퍼는 280℃ 통상의 온도로 가열된다. 2.45GHz 에서 약 1000-3000W 의 범위, 통상적으로 약 1800W 의 마이크로파 전력이 약 500-4000sccm, 통상적으로 약 1800sccm 의 레이트로 750-4000mT 의 범위, 통상적으로 1000mT 압력에서 유지된 챔버 안으로 유동하는 H2/CO2 가스 혼합물에 인가될 수도 있다. 웨이퍼를 지지하는 플래턴은 RF 플라즈마 반응 챔버 안에 있고, 3.56MHz 에서 500-2000W 의 범위, 통상적으로 1000W 의 전력을 공급하는 RF 소스에 커플링된다. 약 30 초와 180 초 사이, 통상적으로 약 90 초의 프로세싱 시간 후에, 유기 하드마스크 층은 로우-k 유전체 층에 대한 실질적인 손상 없이 제거된다.
가스 유동 레이트, RF 전력 설정, 노출 시간 및 다른 파라미터들은 다른 세정 태스크들에 대해 원하는 결과들을 달성하도록 조정될 수도 있다.
따라서, 본 발명은 리소그래피 프로세스, 특히 로우-k 유전체 층으로부터 비정질 탄소를 제거할 때 웨이퍼 기판으로부터 유기 하드마스크 층들을 에칭 및/또는 제거하는 개선된 방법을 제공한다. 본 발명은 밑에 있는 로우-k 유전체 기판을 손상시키지 않고 이러한 유기 하드마스크 제거를 달성한다.
다른 실시형태들
도 1 내지 도 5 를 참조하여 전술된 실시형태들에 추가하여, 도 1a-5a, 6 및 7 을 참조하여 이하에서 설명되는 본 발명의 다른 실시형태들이 존재한다.
도 1a 에 도시된 바와 같이, 본 발명의 다른 실시형태는 에칭 종료 층 (22) 을 갖는 웨이퍼 (20) 를 포함하고, 에칭 종료 층 위에는 로우-k 유전체 층 (24) 이 성막된다. 유전체 층 (24) 은 캡핑 유전체 (24a) 의 밑에 있는 벌크 로우-k 유전체 (24b) 을 포함하는 복수의 유전체 재료들을 포함하고, 캡핑 유전체 (24a) 는 벌크 로우-k 유전체 (24b) 보다 높은 k 값을 갖는다. 일부 실시형태들에서, 벌크 로우-k 유전체 (24b) 및 캡핑 유전체 (24a) 양자 모두는 로우-k 유전체들이다. 다른 실시형태들에서, 벌크 로우-k 유전체 (24b) 는 로우-k 유전체이고 캡핑 유전체 (24a) 는 로우-k 유전체가 아니다.
일부 특정 실시형태들에서, 벌크 로우-k 유전체는 예를 들어 약 2.2 의 k 를 갖는 초-로우-k (ultra-low-k; ULK) 유전체이고, 캡핑 유전체는 약 2.9 의 k 를 갖는 탄소 도핑된 산화물 (carbon-doped oxide; CDO) 일 수 있다.
다른 특정 실시형태들에서, 벌크 층은 약 2.9 의 k 를 갖는 탄소 도핑된 산화물 (CDO) 일 수 있고, 캡핑 층은 약 4.0 의 k 를 갖는 TEOS (tetraethylorthosilicate) 일 수 있다.
또 다른 실시형태들에서, 복수의 유전체 재료들은 별개의 벌크 로우-k 유전체 층 및 캡핑 유전체 층을 포함할 수도 있다; 즉, 별개의 인접한 유전체 층들. 또는, 복수의 유전체 재료들은 벌크 로우-k 유전체 재료와 캡핑 유전체 재료 사이의 연속적인, 등급형 천이 (transition) 를 가질 수도 있다. 이러한 등급형 천이는 유전체 층 (24) 의 일측으로부터 타측까지 실질적으로 균일할 수도 있다. 또는, 등급형 천이는 유전체 (24) 의 총 두께의 단지 일부에 걸쳐, 예를 들어 유전체 (24) 의 총 두께의 50% 미만, 또는 25% 미만, 또는 10% 미만, 또는 5% 미만의 두께에 걸쳐 일 유전체에서 다른 유전체로의 천이에 따라 불균일할 수도 있다.
로우-k 유전체 층 (24) 위에는 유기 탄소 하드마스크 층 (26) 이 성막된다. 레지스트 층 (32), 선택적 유기 (또는 스핀 온) 반사방지 코팅 (ARC) 층 (30) 및 (CO2 및 Si(CH3)4 과 반응함으로써 생성된) SiOC, SiON 또는 Si3N4 ARC 층의 유전체 ARC 층 (28) 이 유기 하드마스크 위에 있다. 레지스트 층은 디바이스 패턴으로 노광되고 이 패턴에 대응하는 레지스트 재료의 볼륨을 제거하도록 현상된다. 도 2a 에 도시된 바와 같이, 그 후 남아 있는 레지스트 층 (32) 의 개구 (34) 가 마스크로서 사용되어 ARC 층 (28, 30) 및 유기 하드마스크 층 (26) 으로부터 대응하는 볼륨을 에칭한다.
그 후, 도 3a 에 도시된 바와 같이 레지스트 층 및 ARC 층은 제거되어 층 (24) 위에 유기 하드마스크 층 및 에칭된 패턴 개구 (34) 를 남겨서, 하드마스크 층 (26) 밑에 있는 유전체 층 (24) 이 노출된다. 레지스트 층 및 잔여물은 미국 특허출원 제 10/890,653, 11/011,273 및 11/128,930 호에 개시된 프로세스들에 의해 제거될 수도 있고, 이 출원의 개시물들은 본원에서 참조로서 포함된다. 통상적으로, 웨이퍼는 애싱 프로세스를 받아 레지스트 층을, 예를 들어, 로우-k 유전체 필름의 수소 플라즈마 박리 및 플라즈마 리액터로의 트랜스퍼에 의해 박리 및 제거한다. 레지스트 및 다른 위에 있는 층들을 박리한 후에, 유기 하드마스크 층 (26) 은 그 후 도 4a 에 도시된 바와 같이 예를 들어 반응성 이온 에칭 (RIE) 에 의해 밑에 있는 로우-k 유전체 층 (24; 24a 및 24b) 을 에칭하는데 사용되고, 여기서 개구 (34) 는 로우-k 층 (24) 아래로 계속되어 벽들 (36) 을 갖는 개구를 생성하여, 유전체 층 (24) 을 더 노출시킨다.
더 일반적인 방법은 도 2a 에 도시된 층들을 갖는 웨이퍼를 층들 (32, 30,28) 을 제거하지 않고, RIE 에 노출되도록 하는 것이다. 통상적으로 RIE 에칭에 필요한 긴 에칭 시간으로 인해, 층들 (32, 30, 28) 은 에칭 종료 층 (22) 이 노출되기 전에 완전히 제거된다. 결과의 구조가 도 4a 에 도시된다. 이는 도 2a 에 도시된 구조를 RIE 에칭에 노출시킴으로써 달성되고, 따라서 전술된 도 3a 의 별개의 레지스트/ARC 제거 단계에 대한 필요성을 생략하고 도 4a 의 구조를 초래한다.
웨이퍼는 그 후, 본 발명의 고온의 플라즈마 세정 방법을 받아, 로우-k 유전체 층을 손상되지 않게 하면서 유기 하드마스크 층을 제거하고 개구 (34) 내에 도전성 금속을 수용할 준비를 한다. 특히, 하드마스크의 제거 동안 노출된 로우-k 유전체 (24a 및/또는 24b) 는 이 제거 프로세스에 의해 손상되지 않음이 주목된다. 플라즈마 프로세싱은 수소 플라즈마 애싱 프로세스에 사용된 동일한 리액터에서 행해질 수도 있지만, 원하는 반응 온도를 달성하기 위해 발열체의 사용을 필요로 한다. 후속적으로, 도 5a 에 도시된 바와 같이, 유전체 층 (24) 의 표면 (25) 은 실질적으로 a-탄소 또는 다른 유기 하드마스크 잔여물이 없고, 유전체 층 내의 에칭된 비아 또는 트렌치 (38) 의 치수들은 예컨대 부식된 측벽들 (36') 에 의한 임의의 손상을 받지 않는다.
장치
본 발명을 구현하기 위해 전술된 Gamma 및 Iridia 툴들을 포함하는 임의의 적합한 플라즈마 반응 챔버 장치가 사용될 수도 있다. 추가로 이 점에서, 적합한 예는 다운스트림 플라즈마 셋업으로 구성되는 Novellus GammaTM 2130 툴이다. 도 6 은 웨이퍼 상에서 본 발명을 실시하기에 적절한 다운스트림 플라즈마 장치 (600) 의 양태들을 나타내는 개략도이다. 장치 (600) 는 샤워헤드 어셈블리 (617) 에 의해 분리된 플라즈마 생성부 (611) 및 익스포져 챔버 (exposure chamber ; 601) 를 갖는다. 익스포져 챔버 (601) 안에서, 웨이퍼 (603) 는 플래턴 (또는 스테이지)(605) 상에 얹혀 있다. 플래턴 (605) 에는 발열/냉각 엘리먼트가 갖춰진다. 일부 실시형태들에서, 플래턴 (605) 은 또한, 웨이퍼 (603) 에 바이어스를 인가하기 위해 구성된다. 도관 (607) 을 통한 진공 펌프를 통해 익스포져 챔버 (601) 내에서 저압이 달성된다. (희석/캐리어 가스가 있는 또는 없는) 기체형 수소의 소스들은 유입구 (609) 를 통해 장치의 플라즈마 생성부 (611) 안으로의 가스의 유동을 제공한다. 플라즈마 생성부 (611) 는 유도 코일들 (613) 에 의해 부분적으로 둘러싸이며, 이 코일은 차례로 전원 (615) 에 연결된다. 동작 동안, 가스 혼합물이 플라즈마 생성부 (611) 안으로 유입되고, 유도 코일 (613) 이 에너자이징되어 플라즈마 생성부 (611) 에서 플라즈마가 생성된다. 인가된 전압을 갖는 샤워헤드 어셈블리 (617) 는 익스포져 챔버 (601) 안으로의 일부 이온들의 유동을 끝내고 중성 종들 (neutral species) 의 유동을 허용한다. 언급된 바와 같이, 웨이퍼 (603) 는 온도 제어될 수도 있고/있거나 RF 바이어스가 인가될 수도 있다.
일부 실시형태들에서, 본 발명의 장치는 웨이퍼로부터 포토레지스트들을 박리하는데 전용된 박리 유닛이다. 일반적으로, 이러한 박리 유닛 툴은, 다수의 웨이퍼들이 동시에 프로세싱될 수도 있도록 다수의 웨이퍼 프로세스 스테이션들을 가질 것이다. 도 7 은 본 발명에 따라 사용될 수도 있는 멀티-스테이션 웨이퍼 박리 유닛 툴 (730) 의 상면도를 나타내는 단순한 블록도이다. 박리 유닛 툴 (730) 은 5 개의 박리 스테이션들 (733, 735, 737, 739 및 741) 및 하나의 로드 스테이션 (731) 을 갖는다. 박리 유닛 툴 (730) 은, 각각의 스테이션이 하나의 웨이퍼를 프로세싱할 수 있도록 구성되어, 모든 스테이션들이 공통의 진공에 노출될 수도 있다. 박리 스테이션들 (733, 735, 737, 739 및 741) 각각은 그 자신의 RF 전력 공급기를 갖는다. 로드 스테이션 (731) 은 통상적으로, 진공을 깨뜨리지 않고 박리 유닛 툴 (730) 안으로의 웨이퍼들의 인입을 허용하도록 거기에 부착된 로드-록 (load-lock) 스테이션을 갖고 구성된다. 로드 스테이션 (731) 은 또한, 통상적으로 박리 스테이션들로 트랜스퍼하고 포토레지스트를 박리하기 전에 웨이퍼들을 사전 가열하기 위해 가열 램프를 갖고 구성된다. 박리 스테이션 (741) 은 통상적으로, 진공을 깨뜨리지 않고 박리 유닛 툴 (730) 로부터 웨이퍼들의 인출을 허용하도록 거기에 부착된 로드-록 스테이션을 갖고 구성된다. 로봇식 암 (743) 은 웨이퍼들을 스테이션에서 스테이션으로 트랜스퍼한다.
통상의 제조 모드 동안, 웨이퍼들은 배치 모드 (batch mode) 로 프로세싱된다. 배치 모드 프로세싱은 웨이퍼 스루풋을 증가시키고, 이에 따라 제조 동작에서 공통적으로 사용될 수 있다. 배치 모드에서, 각각의 웨이퍼는 스테이션들 (731, 733, 735, 737, 739 및 741) 각각으로 트랜스퍼되고, 스테이션들 안에서 프로세싱된다. 예를 들어, 통상의 배치 모드 프로세스는 다음과 같이 진행될 것이다: 웨이퍼는 먼저 로드 스테이션 (731) 안으로 로딩되고, 여기서 웨이퍼는 가열 램프로 사전가열된다. 다음으로, 로봇식 암 (743) 은 웨이퍼를 박리 스테이션 (733) 으로 트랜스퍼하고, 여기서 웨이퍼는 포토레지스트의 약 1/5 을 박리하기에 충분한 기간 동안 플라즈마 프로세싱된다. 로봇식 암 (743) 은 그 후, 웨이퍼를 박리 스테이션 (735) 으로 트랜스퍼하고, 여기서 웨이퍼는 남아 있는 포토레지스트의 다른 약 1/5 을 박리하기에 충분한 기간 동안 플라즈마 프로세싱된다. 이 시퀀스는, 웨이퍼가 박리 스테이션들 (737, 739 및 741) 에서 프로세싱되도록 계속된다. 박리 스테이션 (741) 에서, 포토레지스트가 대체로 제거되고 웨이퍼 는 그 후 박리 유닛 툴로부터 언로드된다.
본 발명을 구현하기에 적합한 다른 툴들은 Novellus Systems, Inc. 로부터 이용 가능한 GxTTM 및 G400TM 포토레지스트 박리 툴들, Lam Research 사로부터 이용 가능한 2300 FlexTM 에칭 툴, Tokyo Electron Limited 사로부터 이용 가능한 TeliusTM 에칭 툴, 또는 Applied Materials 사로부터 이용 가능한 ProducerTM 에칭 툴을 포함한다.
일반적으로, 전술된 본원의 장치/프로세스는 예를 들어 반도체 디바이스들, 디스플레이들, LED 들, 광전지 패널들 등의 제조 또는 제작을 위해 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있는 것으로 이해된다. 통상적으로, 반드시 필요한 것은 아니지만, 이러한 툴들/프로세스들은 공통의 제조 설비에서 함께 사용되거나 행해질 것이다. 통상적으로, 필름의 리소그래피 패터닝은 다음의 단계들 중 일부 또는 전부를 포함하고, 각 단계는 다수의 가능한 툴들을 이용하여 가능해진다: (1) 스핀-온 또는 스프레이-온 툴을 사용하여, 기판, 즉 기판 상에 포토레지스트 도포; (2) 핫 플레이트 또는 로 (furnace) 또는 UV 경화 툴을 사용하여 포로레지스트 경화; (3) 웨이퍼 스텝퍼와 같은 툴을 이용하여 가시광 또는 UV 광 또는 x-레이 광에 포토레지스트를 노광; (4) 레지스트를 선택적으로 제거하고 이에 의해 레지스트를 패터닝하도록 습식 벤치와 같은 툴을 사용하여 레지스트를 현상; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 레지스트 패턴을 밑에 있는 필름 또는 기판 안으로 트랜스퍼; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거.
본 발명의 다른 양태는 본원에서 설명된 방법들을 달성하도록 구성된 장치이다. 적합한 장치는 본 발명에 따른 프로세스 동작들을 달성하기 위한 하드웨어 및 프로세스 동작들을 제어하기 위한 명령들을 갖는 시스템 제어기를 포함한다. 적합한 플라즈마 반응 챔버 장치, 예컨대 전술된 Gamma 및 Iridia 툴들 또는 다른 것들이 이 방식으로 구성될 수도 있다. 시스템 제어기는 통상적으로, 장치가 본 발명에 따른 방법을 수행하도록 명령들을 실행하도록 구성된 하나 이상의 프로세서들 및 하나 이상의 메모리 디바이스들을 포함한다. 본 발명에 따른 프로세스 동작들을 제어하기 위한 명령들을 포함하는 머신 판독가능 매체가 시스템 제어기에 커플링될 수도 있다.
본 발명은 특정의 바람직한 실시형태들과 함께 구체적으로 설명되었으나, 많은 대안들, 변형들 및 변경들이 상기 설명의 관점에서 당업자에게 자명할 것이다. 따라서, 첨부된 청구항들은 본 발명의 사실적인 범위 및 사상 내에 들어가는 임의의 이러한 대안들, 변형들 및 변경들을 포함하는 것으로 고려된다.

Claims (18)

  1. 유기 하드마스크를 에칭 또는 제거하는 방법으로서,
    노출된 로우-k 유전체를 포함하는 반도체 웨이퍼 기판을 제공하는 단계로서, 상기 기판은 캡핑 유전체 밑에 있는 벌크 로우-k 유전체를 포함하고, 상기 캡핑 유전체는 상기 벌크 로우-k 유전체보다 큰 k 값을 가지며, 상기 기판은 그 위에 제거될 유기 하드마스크를 갖는, 상기 반도체 웨이퍼 기판을 제공하는 단계;
    상기 기판 및 유기 하드마스크 위에 수소 및 산화 가스의 혼합물을 포함하는 이온화 가능한 가스를 도입하는 단계;
    상기 혼합물의 플라즈마를 생성하도록 상기 혼합물에 에너지를 인가하는 단계; 및
    밑에 있는 기판 표면 또는 상기 노출된 로우-k 유전체를 손상시키지 않고 상기 유기 하드마스크의 적어도 일부를 제거하도록 상기 유기 하드마스크를 상기 플라즈마와 접촉시키는 단계로서, 상기 기판 및 유기 하드 마스크는 200 ℃를 초과하는 온도인, 상기 유기 하드마스크를 상기 플라즈마와 접촉시키는 단계를 포함하는, 유기 하드마스크를 에칭 또는 제거하는 방법.
  2. 제 1 항에 있어서,
    상기 유기 하드마스크는 화학적 기상 증착된 비정질 탄소를 포함하는, 유기 하드마스크를 에칭 또는 제거하는 방법.
  3. 제 1 항에 있어서,
    상기 유기 하드마스크는 스핀-온 (spin-on) 탄소 필름을 포함하는, 유기 하드마스크를 에칭 또는 제거하는 방법.
  4. 제 1 항에 있어서,
    상기 벌크 로우-k 유전체 및 상기 캡핑 유전체 양자는 로우-k 유전체들인, 유기 하드마스크를 에칭 또는 제거하는 방법.
  5. 제 1 항에 있어서,
    상기 벌크 로우-k 유전체는 로우-k 유전체이고, 상기 캡핑 유전체는 로우-k 유전체가 아닌, 유기 하드마스크를 에칭 또는 제거하는 방법.
  6. 제 1 항에 있어서,
    상기 로우-k 유전체는 3 보다 크지 않은 유전율을 갖는, 유기 하드마스크를 에칭 또는 제거하는 방법.
  7. 제 1 항에 있어서,
    상기 로우-k 유전체는 2.8 보다 크지 않은 유전율을 갖는, 유기 하드마스크를 에칭 또는 제거하는 방법.
  8. 제 1 항에 있어서,
    상기 로우-k 유전체는 2.2 보다 크지 않은 유전율을 갖는, 유기 하드마스크를 에칭 또는 제거하는 방법.
  9. 제 1 항에 있어서,
    상기 벌크 로우-k 유전체는 2.2 의 k 를 갖는 울트라-로우-k (ultra-low-k; ULK) 유전체이고, 상기 캡핑 유전체는 2.9 의 k 를 갖는 탄소 도핑된 산화물 (carbon-doped oxide; CDO) 인, 유기 하드마스크를 에칭 또는 제거하는 방법.
  10. 제 1 항에 있어서,
    상기 벌크 로우-k 유전체는 2.9 의 k 를 갖는 탄소 도핑된 산화물 (CDO) 이고, 상기 캡핑 유전체는 4.0 의 k 를 갖는 TEOS (tetraethylorthosilicate) 인, 유기 하드마스크를 에칭 또는 제거하는 방법.
  11. 제 1 항에 있어서,
    상기 기판은 별개의 벌크 로우-k 유전체 층 및 캡핑 유전체 층을 포함하는, 유기 하드마스크를 에칭 또는 제거하는 방법.
  12. 제 1 항에 있어서,
    상기 기판은 상기 벌크 로우-k 유전체와 상기 캡핑 유전체 사이의 등급형 천이 (graded transition) 를 포함하는, 유기 하드마스크를 에칭 또는 제거하는 방법.
  13. 제 1 항에 있어서,
    상기 가스 혼합물은 질소가 없는, 유기 하드마스크를 에칭 또는 제거하는 방법.
  14. 제 1 항에 있어서,
    상기 유기 하드마스크는 밑에 있는 기판으로부터 완전히 제거되는, 유기 하드마스크를 에칭 또는 제거하는 방법.
  15. 제 1 항에 있어서,
    상기 기판에 포토레지스트를 도포하는 단계;
    상기 포토레지스트를 광에 노광시키는 단계;
    상기 포토레지스트를 패터닝하고 패턴을 상기 기판으로 전사하는 단계; 및
    상기 기판으로부터 상기 포토레지스트를 선택적으로 제거하는 단계를 더 포함하는, 유기 하드마스크를 에칭 또는 제거하는 방법.
  16. 유전체 위에 있는 유기 하드마스크를 에칭 또는 제거하기 위한 장치로서,
    (a) 플라즈마 반응 챔버 장치; 및
    (b) 다음의 단계들을 포함하는 프로세스를 행하기 위한 프로그램 명령들을 포함하는 제어기를 포함하고,
    상기 단계들은,
    노출된 로우-k 유전체를 포함하는 반도체 웨이퍼 기판을 제공하는 단계로서, 상기 기판은 캡핑 유전체 밑에 있는 벌크 로우-k 유전체를 포함하고, 상기 캡핑 유전체는 상기 벌크 로우-k 유전체보다 큰 k 값을 가지며, 상기 기판은 그 위에 제거될 유기 하드마스크를 갖는, 상기 반도체 웨이퍼 기판을 제공하는 단계;
    상기 기판 및 유기 하드마스크 위에 수소 및 산화 가스의 혼합물을 포함하는 이온화 가능한 가스를 도입하는 단계;
    상기 혼합물의 플라즈마를 생성하도록 상기 혼합물에 에너지를 인가하는 단계; 및
    밑에 있는 기판 표면 또는 상기 노출된 로우-k 유전체를 손상시키지 않고 상기 유기 하드마스크의 적어도 일부를 제거하도록 상기 유기 하드마스크를 상기 플라즈마와 접촉시키는 단계로서, 상기 기판 및 유기 하드 마스크는 200 ℃를 초과하는 온도인, 상기 유기 하드마스크를 상기 플라즈마와 접촉시키는 단계를 포함하는, 유기 하드마스크를 에칭 또는 제거하기 위한 장치.
  17. 반도체 웨이퍼 프로세싱 시스템으로서,
    제 16 항에 기재된 유기 하드마스크를 에칭 또는 제거하기 위한 장치, 및
    스텝퍼를 포함하는, 반도체 웨이퍼 프로세싱 시스템.
  18. 플라즈마 반응 챔버 장치의 제어를 위한 프로그램 명령들을 포함하는 비 일시적 컴퓨터 머신 판독가능 매체로서,
    상기 프로그램 명령들은 제 1 항 내지 제 15 항 중 어느 한 항에 따른 유기 하드마스크를 에칭 또는 제거하는 방법을 수행하기 위한 명령들인, 컴퓨터 머신 판독가능 매체.
KR1020130015077A 2012-02-13 2013-02-12 유기 하드마스크들을 에칭하는 방법 KR102083680B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/372,363 2012-02-13
US13/372,363 US8664124B2 (en) 2005-10-31 2012-02-13 Method for etching organic hardmasks

Publications (2)

Publication Number Publication Date
KR20130093038A KR20130093038A (ko) 2013-08-21
KR102083680B1 true KR102083680B1 (ko) 2020-03-02

Family

ID=48926954

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020130015077A KR102083680B1 (ko) 2012-02-13 2013-02-12 유기 하드마스크들을 에칭하는 방법

Country Status (4)

Country Link
KR (1) KR102083680B1 (ko)
CN (1) CN103247525B (ko)
SG (1) SG193093A1 (ko)
TW (1) TWI587390B (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9040430B2 (en) * 2013-06-27 2015-05-26 Lam Research Corporation Method of stripping organic mask with reduced damage to low-K film
CN104445049B (zh) * 2013-09-24 2016-08-03 中芯国际集成电路制造(上海)有限公司 Mems器件形成方法
JP6495025B2 (ja) 2014-01-31 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 真空統合ハードマスク処理および装置
KR102204116B1 (ko) * 2016-09-14 2021-01-19 베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드 높은 종횡비 구조의 스트립 프로세스
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
CN107968094A (zh) * 2017-11-21 2018-04-27 长江存储科技有限责任公司 一种用于3d nand闪存的台阶结构成形工艺
CN108550577A (zh) * 2018-05-17 2018-09-18 长江存储科技有限责任公司 三维存储器以及三维存储器的制造方法
US11183398B2 (en) * 2018-08-10 2021-11-23 Tokyo Electron Limited Ruthenium hard mask process
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
KR102431292B1 (ko) 2020-01-15 2022-08-09 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
CN112133626B (zh) * 2020-10-12 2023-06-06 成都海威华芯科技有限公司 一种金属硬掩膜的制作方法和晶圆
CN115394636B (zh) * 2022-10-26 2023-01-03 广州粤芯半导体技术有限公司 半导体光刻方法、系统、设备和计算机可读存储介质

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US7064078B2 (en) * 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US7407893B2 (en) * 2004-03-05 2008-08-05 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
US7432210B2 (en) * 2005-10-05 2008-10-07 Applied Materials, Inc. Process to open carbon based hardmask
US20070134917A1 (en) * 2005-12-13 2007-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Partial-via-first dual-damascene process with tri-layer resist approach
US7637269B1 (en) * 2009-07-29 2009-12-29 Tokyo Electron Limited Low damage method for ashing a substrate using CO2/CO-based process

Also Published As

Publication number Publication date
TWI587390B (zh) 2017-06-11
SG193093A1 (en) 2013-09-30
CN103247525B (zh) 2017-11-17
CN103247525A (zh) 2013-08-14
TW201349345A (zh) 2013-12-01
KR20130093038A (ko) 2013-08-21

Similar Documents

Publication Publication Date Title
KR102083680B1 (ko) 유기 하드마스크들을 에칭하는 방법
US8664124B2 (en) Method for etching organic hardmasks
US8114782B2 (en) Method for etching organic hardmasks
US8591661B2 (en) Low damage photoresist strip method for low-K dielectrics
TWI385728B (zh) 受損介電材料之移除方法
KR101569938B1 (ko) 임계 치수 바이어스가 감소된 실리콘 함유 반사 방지 코팅층의 에칭 방법
US7585777B1 (en) Photoresist strip method for low-k dielectrics
KR101003475B1 (ko) 포토레지스트 접착 및 재생 일관성을 개선하기 위한 수소처리
US7244313B1 (en) Plasma etch and photoresist strip process with intervening chamber de-fluorination and wafer de-fluorination steps
KR101688231B1 (ko) Co2/co계 처리를 이용하여 기판을 애싱하기 위한 저손상 방법
US8252192B2 (en) Method of pattern etching a dielectric film while removing a mask layer
JP2014512096A (ja) 多層マスクのパターン限界寸法及びインテグリティを制御するためのエッチングプロセス
US20100043821A1 (en) method of photoresist removal in the presence of a low-k dielectric layer
US7622390B2 (en) Method for treating a dielectric film to reduce damage

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant