KR20080109886A - 손상된 유전체 재료를 제거하는 제거 방법 - Google Patents

손상된 유전체 재료를 제거하는 제거 방법 Download PDF

Info

Publication number
KR20080109886A
KR20080109886A KR1020087026229A KR20087026229A KR20080109886A KR 20080109886 A KR20080109886 A KR 20080109886A KR 1020087026229 A KR1020087026229 A KR 1020087026229A KR 20087026229 A KR20087026229 A KR 20087026229A KR 20080109886 A KR20080109886 A KR 20080109886A
Authority
KR
South Korea
Prior art keywords
surface layer
exposing
film
substrate
low
Prior art date
Application number
KR1020087026229A
Other languages
English (en)
Other versions
KR101283837B1 (ko
Inventor
이안 제이 브라운
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20080109886A publication Critical patent/KR20080109886A/ko
Application granted granted Critical
Publication of KR101283837B1 publication Critical patent/KR101283837B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C15/00Surface treatment of glass, not in the form of fibres or filaments, by etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C25/00Surface treatment of fibres or filaments made from glass, minerals or slags
    • C03C25/66Chemical treatment, e.g. leaching, acid or alkali treatment
    • C03C25/68Chemical treatment, e.g. leaching, acid or alkali treatment by etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Life Sciences & Earth Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

에칭 공정, 애싱(ashing) 공정, 또는 습식 클리닝 공정 후에 손상된 유전체 재료를 제거하는 제거 방법을 개시한다. 피처(feature)의 형성 후에 피처 상의 손상된 재료의 박층을 제거하기 위하여 건식 비플라즈마 제거 공정을 실행한다. 건식 비플라즈마 제거 공정은 손상된 재료의 화학 처리를 포함하고, 이어서 화학적으로 처리된 표면층을 열적으로 처리하고 있다. 화학 처리와 열 처리의 2 단계를 반복할 수 있다.

Description

손상된 유전체 재료를 제거하는 제거 방법{METHOD FOR REMOVING DAMAGED DIELECTRIC MATERIAL}
본 발명은 유전체 막 상에서 제거 공정을 실행하기 위한 방법에 관한 것으로, 보다 구체적으로 에칭 또는 애싱(ashing) 공정 후에 저유전상수(로우-k) 막 상의 손상된 층을 제거하기 위한 제거 방법에 관한 것이다.
반도체 분야의 당업자에게 알려져 있듯이, 상호접속 지연(interconnect delay)은 집적 회로(IC)의 속도 및 성능을 개선하는 드라이브의 주요 제한 인자이다. 상호접속 지연을 최소화하는 한 가지 방법은, IC의 생산 중에 저유전상수(로우-k)의 재료를 사용함으로써 상호접속 캐패시턴스를 저하시키는 것이다. 이러한 로우-k 재료는 저온 처리에도 유용한 것으로 판명되었다. 이에 따라, 최근에는, 이산화규소와 같이 비교적 고유전상수의 절연 재료를 대체하기 위하여 로우-k 재료가 개발되고 있다. 특히, 로우-k 막은 반도체 디바이스의 금속층들 사이의 층간(inter-level) 및 층내(intra-level) 유전체 층으로 이용되고 있다. 또한, 절연 재료의 유전 상수를 더욱 감소시키기 위하여, 재료 막에 기공을 형성한 것, 즉 다공성의 로우-k 유전체 막이 있다. 이러한 로우-k 막은, 포토레지스트의 도포(application)와 유사하게 SOD(spin-On dielectric) 방법에 의해 증착될 수도 있 고, CVD(chemical vapor deposition)에 의해 증착될 수도 있다. 이에 따라, 로우-k 재료의 사용은 기존의 반도체 제작 공정에 쉽게 적응될 수 있다.
로우-k 재료가 반도체 회로의 제작에 유망하기는 하지만, 본원의 발명자들은 이들 막도 많은 문제가 있다는 것을 인식하였다. 먼저, 로우-k 막은 보다 통상의 유전체 층보다 내구성이 낮은 경향이 있고, 유전체 층을 패터닝하는 데에 일반적으로 이용되는 에칭 및 플라즈마 애싱 공정과 같은 웨이퍼 처리 중에 손상될 수 있다. 또한, 일부 로우-k 막은, 특히 패터닝 후의 손상 시에 반응성이 상당히 높아지는 경향이 있으며, 이로써 로우-k 재료가 물을 흡수할 수 있거나 및/또는, 유전체 층의 유전 특성을 변경시킬 수 있는 다른 증기 및/또는 공정 오염물과 반응할 수 있게 된다.
따라서 본 발명의 일 실시예는, 전자 기기에 사용되는 박막 상의 손상된 층을 제거하기 위한 것이다.
본 발명의 다른 실시예는, 에칭 공정, 애싱 공정, 또는 습식 클리닝 공정 후의 저유전상수(로우-k) 막 상의 손상된 층을 제거하기 위한 것이다.
본 발명의 또 다른 실시예는, 건식 비플라즈마 에칭 공정을 사용하여 로우-k 막 에칭 공정 또는 애싱 공정 후의 로우-k 막 상의 손상된 층을 제거하기 위한 것이다.
본 발명의 이들 및/또는 다른 실시예는, 기판 상의 유전체 막을 처리하는 방법에 의해 제공될 수 있다. 이 방법은 유전체 막이 형성된 기판을 처리 시스템 내에 배치하는 배치 단계를 포함하며, 여기서는 유전체 막의 표면층을 에칭 플라즈마, 애싱 플라즈마, 또는 습식 클린 화학물질, 또는 이들의 2 이상의 임의의 조합에 노출시켰다. 표면층을 제거하기 위하여 유전체 막 상에 건식 비플라즈마 제거 공정을 실행한다. 제거 공정은, HF를 포함하고 NH3를 선택적으로 포함하는 공정 가스에 유전체 막 상의 표면층을 노출시켜 표면층을 화학적으로 개질시키는 단계와, 화학적으로 개질된 표면을 열 처리하여 화학적으로 개질된 표면층을 탈착시키는 단계를 포함한다.
본 발명의 다른 양태는, 기판 상에 형성된 로우-k 막에 피처를 형성하는 방법을 포함한다. 이 방법은, 상기 로우-k 막이 형성된 상기 기판을 공정 챔버 내에 배치하는 배치 단계와, 상기 로우-k 막 상에 마스크 패턴을 형성하는 형성 단계를 포함하며, 상기 마스크 패턴은 상기 로우-k 막에 형성되는 로우-k 피처에 대응하는 패턴 피처를 갖는다. 건식 플라즈마 에칭 공정을 이용하여 상기 로우-k 막에 로우-k 피처를 에칭하고, 상기 로우-k 피처에 건식 비플라즈마 제거 공정을 실행하여 상기 플라즈마 에칭 공정에 의해 적어도 손상되었거나 활성화된 상기 로우-k 피처의 표면층을 제거한다.
도 1a 내지 도 1g는 BEOL(back-end-of-line) 인터/인트라 커넥트 구조체에 트렌치 또는 비아를 형성할 때에 기판을 처리하는 예시적인 순서를 도시하고 있고,
도 2는 본 발명의 실시예에 따라 기판을 처리하는 방법을 도시하고 있고,
도 3a 내지 도 3c는 본 발명의 다른 실시예에 따른 처리 시스템의 개략도를 도시하고 있고,
도 4는 본 발명의 다른 실시예에 따른 화학 처리 시스템을 도시하고,
도 5는 본 발명의 다른 실시예에 따른 열 처리 시스템을 도시한다.
이하의 설명에서는, 발명의 철저한 이해를 돕고, 한정의 의도가 없는 설명을 목적으로, 처리 시스템의 특정 기하형상과 이 처리 시스템에 사용되는 다양한 구성 요소 및 공정과 같은 특정의 세부 사항을 설명하고 있다. 그러나 본 발명을 이들 특정의 세부 사항으로부터 벗어나는 다른 실시예로 실행할 수 있다는 것을 이해해야 한다.
재료 처리 방법에 있어서, 패턴 에칭은 포토레지스트와 같은 감광성 재료의 박층을 기판의 상면에 도포하는 것을 포함하며, 이어서 그 박층에 패턴을 형성하여 에칭 중에 그 패턴을 기부의 박막에 전사하는 마스크를 제공한다. 감광성 재료의 패터닝에는 일반적으로, 예컨대 포토리소그래피 시스템을 이용하여 레티클(및 관련 광학 렌즈)을 통하여 감광성 재료를 복사원에 노출시키는 것을 수반하며; 이어서 현상 용매를 이용하여 (포지티브 포토레지스트의 경우에서와 같이) 감광성 재료의 조사(照射) 영역을 제거하거나, (네거티브 포토레지스트의 경우에서와 같이) 비조사 영역을 제거하고 있다.
또한, 박막에 피처를 에칭하기 위한 다층 마스크 및 하드 마스크를 구현할 수 있다. 예컨대, 하드 마스크를 이용하여 박막에 피처를 에칭할 때에, 감광성 층 의 마스크 패턴은, 박막에 대한 메인 에칭 단계에 선행하는 별도의 에칭 단계를 이용하여 하드 마스크 층으로 전사된다. 예컨대, 하드 마스크는 예컨대 이산화규소(SiO2), 질화규소(Si3N4) 및 카본을 포함하는, 실리콘 처리용의 여러 재료로부터 선택될 수 있다.
이제 여러 도면에 걸쳐서 유사한 도면 부호가 동일하거나 대응하는 부분을 지시하고 있는 도면을 참고하면, 도 1a 내지 도 1g는 본 발명의 실시예에 따라 유전체 막에 패턴을 형성하는 방법을 도시한다. 이 방법은, 하드 마스크를 이용하고, 유전체 막의 에칭된 패턴의 노출면을 처리하여 유전체 막 에칭 또는 애싱 공정의 결과로서 생긴 유전체 막 상의 손상된 층을 제거하는 것을 포함한 본 발명의 여러 양태를 조합한 것이다. 그러나 도 1a 내지 도 1g의 공정의 양태는 이하에서 설명하는 바와 같이 서로 개별적으로 사용될 수도 있다. 또한, 도 2는 일 실시예에 따른 방법을 실행하는 흐름도(200)를 나타내고 있다.
도 1a, 도 1b, 및 도 2에 도시된 바와 같이, 210 단계에서, 추가의 층을 포함할 수도 있고 포함하지 않을 수도 있는 기판(140)의 상면에 유전체 막(130)을 형성한다. 기판(140)은 유전체 막이 형성되는 반도체, 금속 도체, 또는 임의의 다른 기판일 수도 있다. 유전체 막은, 대략 4[예컨대, 열 이산화규소(thermal silicon dioxide)에 대한 유전 상수는 3.8 내지 3.9일 수 있음]인 SiO2의 유전상수보다 작은 공칭 유전상수값을 갖는다. 보다 구체적으로, 유전체 막(130)은 3.0 미만의 유전상수를 가질 수도 있고, 1.6 내지 2.7 범위의 유전상수를 가질 수도 있다.
TEL(Tokyo Electron Limited)에서 시판하는 Clean Track ACT 8 SOD 및 ACT 12 SOD 코팅 시스템에서 제안하고 있는 것과 같은 CVD 기법 또는 SOD(Spin-on dielectric) 기법을 사용하여 유전체 막(130)을 형성할 수 있다. Clean Track ACT 8(200 mm) 및 ACT 12(300 mm) 코팅 시스템은 SOD 재료에 코팅, 베이크, 및 경화 툴을 제공한다. 트랙 시스템은 100 mm, 200 mm, 300 mm, 그리고 그 이상의 사이즈의 기판을 처리하도록 구성될 수 있다. 기판 상에 유전체 막을 형성하기 위한 다른 시스템 및 방법은 SOD 기법 및 CVD 유전체 기법 분야의 당업자들에게는 널리 알려져 있다.
유전체 막(130)은 예컨대 저유전상수(로우-k)의 유전체 막으로서 특징지워질 수 있다. 유전체 막(130)은 유기질, 무기질 또는 무기질-유기질 하이브리드 재료 또는 이들의 2 이상의 조합을 포함할 수 있다. 또한, 유전체 막(130)은 다공성일 수도 있고, 비다공성일 수도 있다. 예컨대, 유전체 막은 CVD 기법을 이용하여 증착된 산화 유기 실란(유기 실록산)과 같은 무기질의 실리케이트계 재료를 포함할 수도 있다. 그러한 막의 예로는, Applied Materials, Inc.에서 시판하는 Black DiamondTM CVD OSG(organosilicate glass) 막 또는 Novellus Systems에서 시판하는 CoralTM CVD 막이 있다. 또한, 예컨대 다공성의 유전체 막은, 경화 공정 중에 파괴되어 작은 보이드(기공)를 생성하는 CH3 결합을 갖는 산화규소계 매트릭스와 같은 단상 재료를 포함할 수 있다. 또한, 예컨대 다공성의 유전체 막은, 경화 공정 중에 증발되는 유기질 재료[예컨대, 포로겐(porogen)]의 기공을 갖는 산화규소계 매 트릭스와 같은 2상 재료를 포함할 수 있다.
대안으로, 유전체 막(130)은, SOD 기법을 이용하여 증착된 HSQ(hydrogen silsesquioxane) 또는 MSQ(methyl silsesquioxane)와 같은 무기질의 실리케이트계 재료를 포함할 수 있다. 그러한 막의 예로는, Dow Corning에서 시판하는 FOx HSQ, Dow Corning에서 시판하는 XLK porous HSQ, JSR Microelectronics에서 시판하는 JSR LKD-5109가 있다. 다른 대안으로, 유전체 막(130)은 SOD 기술을 이용하여 증착된 유기질 재료를 포함할 수 있다. 그러한 막의 예로는, Dow Chemical에서 시판하는 SiLK-I, SiLK-J, SiLK-H, SiLK-D, 및 다공성 SiLK 반도체 유전체 수지와, Honeywell에서 시판하는 Nano-glass 및 FLARETM가 있다.
도 1a 내지 도 1g의 실시예에 있어서, 일단 유전체 막(130)이 준비되면, 220 단계에서 유전체 막의 상면에 하드 마스크 층(120)을 형성한다. 하드 마스크 층(120)은, 예컨대 산화규소(SiOx), 질화규소(SiyNz) 및 카본을 함께 포함할 수도 있고, 이들의 임의의 조합을 포함할 수도 있다.
그 후, 230 단계에서 하드 마스크 층(120)의 상면에 패턴이 있는 포토리소그래피 마스크(110)를 형성한다. 패턴이 있는 포토리소그래피 마스크(110)는, 포토리소그래피를 이용하여, 포토레지스트와 같은 감광성 재료의 층에 형성된 포토리소그래피 패턴(112)을 포함할 수 있다. 대안으로, 패턴이 있는 포토리소그래피 마스크(110)는, 매립 BARC(buried ARC) 층과 같은 반사 방지 코팅(ARC), 희생 DUOTM 층, 또는 회전 가능한 내식성 ARC(TERA) 층이 매립된 2층 마스크 또는 다층 마스크를 포함할 수 있다.
예컨대, 트랙 시스템 또는 CVD 시스템을 이용하여, 마스크 층(또는 층들), 즉 하드 마스크 층(120) 또는 패턴이 있는 포토리소그래피 마스크(110)를 형성할 수 있다. 트랙 시스템은 248 nm 레지스트, 193 nm 레지스트, 157 nm 레지스트, EUV 레지스트, (상부/하부) 반사 방지 코팅(TARC/BARC) 및 탑 코트를 처리하도록 구성될 수 있다. 예컨대, 트랙 시스템은 TEL(Tokyo Electron Limited)에서 시판하는 Clean Track ACT 8 또는 ACT 12 레지스트 코팅 및 현상 시스템을 포함할 수 있다. 기판 상에 포토레지스트 막을 형성하기 위한 다른 시스템 및 방법은 스핀-온 레지스트 기술 분야의 당업자에게 널리 알려져 있다. 또한, 예컨대 임의의 적절한 종래의 스테핑 리소그래피 시스템 또는 스캐닝 리소그래피 시스템을 이용하여 마스크 패턴을 형성할 수 있다.
보다 작은 형상(즉, 45 nm, 32 nm, 및 그 이하)에 대한 진보된 포토리소그래피는 일반적으로, 포토리소그래피 층(110)에 포토리소그래피 패턴(112)을 정확하게 전달하는 것을 최적화하고, 패턴 붕괴를 방지하도록 포토리소그래피 층(110)의 두께를 최소화하고, 포토리소그래피 패턴(112)을 포토리소그래피 층(110)으로부터 기부층에 정확하게 전달하기 위하여 포토리소그래피 층(110)의 조성 및 두께를 최적화하며, 포토리소그래피 패턴(112)의 측벽(114)의 LER(line-edge-roughness)가 기부층에 전사되는 것을 최소화하는 요건에 의해 제한된다.
통상적으로, 플라즈마 에칭 공정을 이용하여 감광성 층의 마스크 패턴을 하드 마스크 층에 전사한다. 플라즈마 에칭의 이방성 성질로 인하여, 감광성 층의 패턴을 고정밀도로 하드 마스크 층에 전사할 수 있다. 그러나 이러한 고정밀도의 전사의 결과로, 감광성 층 패턴의 측벽 찰흔(striation)과 같은 결함이 하드 마스크에 전사되고, 궁극적으로는 유전체 피처 자체에 전사될 수도 있다. 또한, 감광성 층에 대한 하드 마스크의 플라즈마 에칭 선택율이 낮아서, 하드 마스크 에칭을 실행하기 위해서는 두꺼운 감광층을 사용할 필요가 있을 수도 있다.
또한 하드 마스크를 이용한 종래의 공정에 있어서도, 먼저 패턴이 있는 감광성 층을 사용하여 하드 마스크를 에칭하고, 후속하여 패턴이 있는 감광성 층과 패턴이 있는 하드 마스크를 함께 사용하여 유전체 층 내로 패턴을 에칭한다. 그 후, 감광성 층 및/또는 그 나머지를 예컨대 스트리핑, 애싱, 습식 클리닝 공정을 이용하여 제거한다. 본원의 발명자들은, 에칭된 유전체 피처에 감광성 층 제거 공정이 적용되면, 유전체 피처가 손상되거나 및/또는 유전체 피처 내의 유전체 재료의 특징이 변경될 수 있다는 것을 인식하였다. 이러한 손상은, 피처 자체의 에칭에 의해 초래될 수 있는 유전체 피처의 손상에 부가되는 것이다.
일 실시예에 따르면, 도 1c 및 도 1d에 도시된 바와 같이, 240 단계에서 건식 비플라즈마 에칭 공정을 이용하여 포토리소그래피 패턴(112)을 하드 마스크 층(120)에 전사할 수 있다. 건식 비플라즈마 에칭 공정은 종래의 플라즈마 에칭 공정보다 (포토리소그래피 층 재료에 대하여) 하드 마스크 층 재료를 선택적으로 에칭한다. 이러한 높은 선택율로 인하여, 비교적 얇은 감광성 층을 사용할 수 있어서, 패턴의 붕괴 가능성을 줄일 수 있고 보다 미세한 피처를 패터닝할 수 있다. 또한, 비플라즈마 에칭 공정은 공정 제어를 위한 자기 제한 특징(self-limiting feature)을 포함한다. 건식의 비플라즈마 에칭 공정은, 하드 마스크 층(120)의 노출면을 HF, 또는 암모니아(NH3), 또는 HF와 NH3를 모두 포함하는 공정 가스에 의해 화학적으로 처리하는 화학 처리 공정을 포함한다. HF 및/또는 NH3에 노출되면, 산화규소(SiOx)와 같은 산화물 재료를 제거할 수 있고, 및/또는 그러한 재료를 화학적으로 처리된 재료로 치환시킴으로써 산화물 재료를 소모할 수 있다. 자기 제한 특징은, 공정 재료에 대한 노출이 진행됨에 따라 산화물 재료의 제거율 및/또는 화학적 개질율이 감소되는 것으로부터 생긴다. 화학 처리 공정 후에, 화학적으로 개질된 표면층을 제거하기 위하여 탈착 공정을 실행한다. 화학 처리 공정의 자기 제한 특징으로 인하여, 비플라즈마 에칭 공정과 후속 탈착 공정을 번갈아 실행하는 것이 유리할 수 있는데, 이로 인하여 제거 공정을 정확하게 제어할 수 있다. 탈착 공정은, 기판의 온도가 화학적으로 개질된 표면층을 휘발시키기에 충분하게 상승하는 열 처리 공정을 포함할 수 있다. 건식의 비플라즈마 에칭 공정을 이용하면, 측벽(124)의 LER이 감소된 하드 마스크 패턴(122)을 하드 마스크 층(120)에 형성할 수 있다. 이러한 이점은 전술한 자기 제한 특징 및/또는 비플라즈마 에칭의 등방성 성질에 기인한 것일 수 있는데, 이로 인하여 감광성 층의 측벽의 현저한 결함을 평탄하게 측방향 에칭할 수 있다. 보다 얇은 포토리소그래피 층(110)을 이용하여 포토리소그래피 층(110)에 보다 미세한 임계 치수(CD; critical dimension)의 패턴을 형성함으로써, 건식 비플라즈마 에칭의 이방성에 의해, 예컨대 포토리소그래피 층(110)의 측벽의 결함을 평탄하게 하면서 하드 마스크 층(120) 내의 패턴의 CD를 소정의 CD로 확장시킬 수 있다.
비플라즈마 에칭의 화학 처리 공정 중에, 공정 가스의 각 성분을 함께(즉, 혼합 상태로) 도입할 수도 있고, 서로 개별적으로 도입(즉, NH3와 독립적으로 HF를 도입)할 수도 있다. 또한, 공정 가스는 희가스(즉, 아르곤)과 같은 불활성 가스를 더 포함할 수 있다. 불활성 가스는 HF 또는 NH3와 함께 도입될 수도 있고, 전술한 가스 성분 각각과 독립적으로 도입될 수도 있다. 화학적으로 개질되는 하드 마스크 층(120)의 에칭을 제어하기 위하여 희가스를 HN3와 함께 도입하는 것과 관련한 세부 사항은, 발명의 명칭이 "Processing system and method for treating a substrate"인 계류중인 미국 특허 출원 10/812,347에 개시되어 있으며, 이 특허 출원의 전체 내용은 전체적으로 본원 명세서에 참고로 인용된다.
또한, 화학 처리 공정 중에, 하드 마스크 층(120)의 에칭에 영향을 끼치는 공정 압력을 선택할 수 있다. 공정 압력은 약 1 mtorr 내지 약 100 torr의 범위로 있을 수 있다. 또한, 화학 처리 공정 중에, 하드 마스크 층(120)의 에칭에 영향을 끼치는 기판 온도를 선택할 수 있다. 기판 온도는 약 10℃ 내지 약 200℃의 범위로 있을 수 있다. 하드 마스크 층(120)의 에칭을 제어하기 위하여 기판 온도를 설정하는 것과 관련한 세부 사항은, 발명의 명칭이 "Method and system for performing a chemical oxide removal process"인 계류중인 미국 특허 출원 10/817,417에 개시되어 있으며, 이 특허 출원의 전체 내용은 전체적으로 본원 명세서에 참고로 인용된다.
열 처리 공정 중에, 기판 온도는 약 50℃를 넘어서, 바람직하게는 약 100℃를 넘어서 상승할 수 있다. 또한, 기판의 열 처리 중에 불활성 가스를 도입할 수도 있다. 불활성 가스는 희가스 또는 질소를 포함할 수 있다.
대안으로, 240 단계에서 건식 비플라즈마 에칭 공정과 건식 플라즈마 에칭 공정의 조합을 이용하여 패턴(112)을 기부의 하드 마스크 층(120)에 전사할 수 있다. 예컨대, 건식 플라즈마 에칭 공정을 건식 비플라즈마 에칭 공정에 선행하여 실행할 수 있는데, 건식 비플라즈마 에칭 공정을 활용하여 측벽 거칠기 등을 제거할 수 있다. 즉, 플라즈마 에칭 공정의 이방성 성질로 인해 LER이 하드 마스크에 전사될 수 있으며, 등방성 비플라즈마 에칭을 사용하여 그러한 LER을 제거하거나 및/또는 평탄화할 수 있다. 산화규소, 이산화규소 등과 같은 산화물 유전체 막을 플라즈마 에칭할 때에, 플라즈마 에칭 가스의 조성은 일반적으로, C4F8, C5F8, C3F6, C4F6, CF4 등 중 적어도 하나와 같은 플루오로카본계 화학물질을 포함하거나, CHF3, CH2F2 등 중 적어도 하나와 같은 플루오로하이드로카본계 화학물질, 그리고 불활성 가스, 산소 및 CO 중 적어도 하나를 포함한다.
전술한 바와 같이, 본원의 발명자들은 유전체 피처를 에칭한 후에 감광성 층을 제거할 때의 단점을 인식하였다. 도 1d에 도시된 바와 같이, 일단 하드 마스크 층(120)에 하드 마스크 패턴(122)이 형성되면, 250 단계에서 유전체 층(130)을 에칭하기 전에 습식 또는 건식 스트리핑/애싱 공정을 이용하여 포토리소그래피 마스크(110)를 제거할 수 있다. 예컨대 하나의 이점으로서는, 유전체 층 에칭 공정의 결과로 생기는 경화된 겉껍질(crust)이 적기 때문에, 유전체 층(130)을 에칭하기 전에 포토리소그래피 마스크(110)를 더 간단하게 제거할 수 있다. 또한, 예컨대 유전체 층을 에칭하기 전에 포토리소그래피 마스크(110)를 제거하면, 유전체 막(130)에, 습식 스트리핑 공정 중에 화학물질을 박리시키는 습식 클린 공정, 또는 산화 플라즈마를 이용하여 포토레지스트 및 에칭후 잔류물을 제거하는 애싱 공정을 적용하는 것을 최소화한다. 이들 에칭, 스트리핑 및/또는 애싱 공정이 적용될 때에 진보된 (다공성 또는 비다공성) 유전체 재료가 손상될 수 있으며, 이에 따라 감광성 층을 사전 제거하면 유전체 층의 손상을 최소화할 수 있다. 또한, 하드 마스크 층(120)에 종래의 플라즈마 에칭을 실행하는 경우에도 전술한 이점들을 구현할 수 있다.
260 단계에서, 도 1e에 도시된 바와 같이, 건식 플라즈마 에칭을 이용하여 하드 마스크 패턴(122)을 유전체 층(130)에 전사한다. 예컨대, 산화규소, 이산화규소 등과 같은 산화물 유전체 막을 에칭할 때에 또는 산화 유기실란과 같은 무기질의 로우-k 유전체 막을 에칭할 때에, 에칭 가스 조성은 일반적으로, C4F8, C5F8, C3F6, C4F6, CF4 등 중 적어도 하나와 같은 플루오로카본계 화학물질, 또는 CHF3, CH2F2 등 중 적어도 하나와 같은 플루오로하이드로카본계 화학물질과, 불활성 가스, 산소 및 CO 중 적어도 하나를 포함한다. 또한, 예컨대, 유기질의 로우-k 유전체 막을 에칭할 때에, 에칭 가스 조성은 일반적으로 질소 함유 가스와 수소 함유 가스 중 적어도 하나를 포함한다. 위에서 설명한 바와 같이 유전체 막을 선택적으로 에 칭하는 기술은 유전체 에칭 공정 분야의 당업자에게 널리 알려져 있다.
에칭 중에, 측벽(134)과 같이 유전체 층(130)에 형성된 피처 내의 노출면이 손상되거나 활성화될 수 있다. 이들 표면에 발생한 손상 또는 활성화로 인하여, 에칭 공정(즉, 유전체 층의 건식 에칭, 또는 유전체 층 에칭 후의 애싱 중의 포토리소그래피 마스크 제거) 중에, 물의 흡수, 또는 오염물 및/또는 화학물질의 부착이 초래될 수 있다. 예컨대, 다공성의 로우-k 유전체 막은 에칭 공정 중에 매우 손쉽게 손상되거나 및/또는 활성화될 수 있다. 일반적으로, 다공성의 로우-k 막은 실라놀(Si-OH)기 및/또는 오가노기를 기초로 하는 가장 일반적인 규소 산화물이다. 이들 재료는 부분적으로는 에칭 공정 중의 유기질 성분의 고갈에 기인하여 활성화되거나 손상될 수 있다.
어떤 경우에든, 물 및/또는 다른 오염물을 쉽게 흡수할 수 있는 추가의 실라놀기가 노출되어 있다. 따라서 로우-k 유전체 층이 노출되어 있는 디바이스 구조는, 특히 패터닝 단계 후에 취급이 곤란하고 오염이 없도록 유지하기가 곤란하다. 또한, 대부분의 로우-k 재료에 대한 활성화 및/또는 손상으로 인하여, 유전상수(k 값)이 증가할 수 있다. 활성화되거나 손상된 로우-k 막으로 인하여 1 이상의 값만큼 k 값이 증가할 수 있는 것으로 관찰되었다.
본 발명의 실시예에 따르면, 270 단계에서, 도 1f에 도시된 바와 같이, 건식 비플라즈마 에칭 공정을 이용하여 (예컨대, 에칭 또는 애싱 공정 후의) 손상된 노출면(134)을 제거한다. 전술한 바와 같이, 건식 비플라즈마 에칭 공정은 공정 제어를 위한 자기 제한 특징을 가지며, 이로 인하여 제거되는 유전체 층(130)의 피 처(132)의 측벽의 양을 최소화할 수 있다. 또한, 손상된 재료의 제거로 인하여 피처(132)의 임계 치수(CD)의 증가를 초래할 수 있으므로, 일 실시예에서는, 손상된 표면적의 후속적인 제거를 보상하기 위하여 원래의 패턴[즉, 포토리소그래피 패턴(112)]을 유전체 층(130)의 피처(132)의 구조의 CD보다 작게 선택할 수 있다.
건식의 비플라즈마 에칭 공정은, 유전체 층(130)의 노출면을, HF 또는 암모니아(NH3), 또는 이들 HF 및 NH3를 모두 포함하는 공정 가스에 의해 화학적으로 처리하는 화학 처리 공정을 포함한다. 화학 처리 공정 후에, 탈착 공정을 실시하여 화학적으로 개질된 표면층을 제거한다. 탈착 공정은, 기판의 온도가 화학적으로 개질된 표면층을 휘발시키기 충분하게 높게 상승하는 열 처리 공정을 포함할 수 있다. 건식 비플라즈마 에칭 공정을 이용하여 측벽(134')의 손상이 감소된 유전체 층(130)의 피처(132)를 얻을 수 있다.
화학 처리 공정 중에, 공정 가스의 각 성분을 함께 (즉, 혼합 상태로) 도입할 수도 있고, 서로 개별적으로 도입(즉, HF와 NH3를 독립적으로 도입)할 수도 있다. 또한, 공정 가스는 희가스(즉, 아르곤)와 같은 불활성 가스를 더 포함할 수 있다. 불활성 가스는 HF 또는 NH3와 함께 도입될 수도 있고, 전술한 가스 성분 각각과 독립적으로 도입될 수도 있다. 유전체 막의 표면층이 화학적으로 개질되는 수준을 제어하기 위하여 희가스를 NH3와 함께 도입하는 것과 관련한 추가의 세부 사항은, 발명의 명칭이 "Processing system and method for treating a substrate"인 계류중인 미국 특허 출원 10/812,347에 개시되어 있고, 이 특허 출원의 전체 내용 은 전체적으로 본원 명세서에 참고로 인용된다.
또한, 화학 처리 공정 중에, 유전체 막의 표면층이 화학적으로 개질되는 수준에 영향을 끼치는 공정 압력을 선택할 수 있다. 공정 압력은 대략 1 mtorr 내지 약 100 torr의 범위로 있을 수 있다. 또한, 화학 처리 공정 중에, 유전체 막의 표면층이 화학적으로 개질되는 수준에 영향을 끼치는 기판 온도를 선택할 수 있다. 기판 온도는 약 10℃ 내지 약 200℃의 범위로 있을 수 있다. 유전체 막의 표면층이 화학적으로 개질되는 수준을 제어하기 위하여 기판 온도를 설정하는 것과 관련한 세부 사항은, 발명의 명칭이 "Method and system for performing a chemical oxide removal process"인 계류중인 미국 특허 출원 10/817,417에 개시되어 있으며, 이 특허 출원의 전체 내용은 전체적으로 본원 명세서에 참고로 인용된다.
열 처리 공정 중에, 기판 온도는 약 50℃를 넘겨서, 바람직하게는 약 100℃를 넘겨서 상승할 수 있다. 또한, 기판의 열 처리 중에 불활성 가스를 도입할 수도 있다. 불활성 가스는 희가스 또는 질소를 포함할 수 있다.
도 1g에 도시된 바와 같이, 280 단계에서는 전술한 건식 제거 공정 중 임의의 하나를 이용하여 나머지 하드 마스크 층(120)을 제거한다. 대안으로, 화학 기계 연마(CMP)와 같은 평탄화 공정을 이용하여 피처를 금속화한 후에 나머지 하드 마스크 층(120)을 제거한다.
일 실시예에 따르면, 도 3a는 기판 상에서 건식 비플라즈마 제거 공정을 실행하기 위한 처리 시스템(400)을 도시하고 있다. 처리 시스템(400)은 제1 처리 시스템(410)과, 제1 처리 시스템(410)에 결합된 제2 처리 시스템(420)을 포함한다. 예컨대, 제1 처리 시스템(410)은 화학 처리 시스템을 포함할 수 있고, 제2 처리 시스템(420)은 열 처리 시스템을 포함할 수 있다. 대안으로, 제2 처리 시스템(420)은 웨이퍼 헹굼 시스템과 같은 기판 헹굼 시스템을 포함할 수 있다.
또한 도 3a에 도시된 바와 같이, 전달 시스템(430)이 제1 처리 시스템(410)에 결합되어 제1 처리 시스템(410) 및 제2 처리 시스템(420)의 내외로 기판을 전달할 수 있으며, 다중 요소 제작 시스템(440)과 기판을 교환할 수 있다. 제1 및 제2 처리 시스템(410, 420)과 전달 시스템(430)은 예컨대, 다중 요소 제작 시스템(440) 내에 처리 요소를 포함할 수 있다. 예컨대, 다중 요소 제작 시스템(440)은 기판을, 에칭 시스템, 증착 시스템, 코팅 시스템, 패터닝 시스템, 계측 시스템 등과 같은 장치를 포함한 처리 요소의 내외로 전달할 수 있게 한다. 제1 및 제2 시스템에서 실행되는 공정들을 격리시키기 위하여, 격리 조립체(450)를 사용하여 각 시스템을 결합시킬 수 있다. 예컨대, 격리 조립체(450)는 열적 격리를 제공하는 단열 조립체와 진공 격리를 제공하는 게이트 밸브 조립체 중 적어도 하나를 포함할 수 있다. 물론, 처리 시스템(410, 420)과 전달 시스템(430)을 임의의 순서로 배치할 수도 있다.
대안으로, 다른 실시예에 있어서, 도 3b는 기판 상에서 건식 비플라즈마 제거 공정을 실행하기 위한 처리 시스템(500)을 도시하고 있다. 처리 시스템(500)은 제1 처리 시스템(510)과 제2 처리 시스템(520)을 포함한다. 예컨대, 제1 처리 시스템(510)은 화학 처리 시스템을 포함할 수 있고, 제2 처리 시스템(520)은 열 처리 시스템을 포함할 수 있다. 대안으로, 제2 처리 시스템(520)은 웨이퍼 헹굼 시스템 과 같은 기판 헹굼 시스템을 포함할 수 있다.
또한, 도 3b에 도시된 바와 같이, 전달 시스템(530)이 제1 처리 시스템(510)에 결합되어 제1 처리 시스템(510)의 내외로 기판을 전달할 수 있고, 제2 처리 시스템(520)에 결합되어 제2 처리 시스템(520)의 내외로 기판을 전달할 수 있다. 또한, 전달 시스템(530)은 하나 이상의 기판 카세트(도시 생략)와 기판을 교환할 수 있다. 도 3b에서는 단지 2개의 공정 시스템을 도시하고 있지만, 에칭 시스템, 증착 시스템, 코팅 시스템, 패터닝 시스템, 계측 시스템 등과 같은 장치를 포함한 다른 공정 시스템이 전달 시스템(530)에 액세스할 수 있다. 제1 및 제2 시스템에서 실행되는 공정들을 격리시키기 위하여, 격리 조립체(550)를 사용하여 각 시스템을 결합시킬 수 있다. 예컨대, 격리 조립체(550)는 열적 격리를 제공하는 단열 조립체와, 진공 격리를 제공하는 게이트 밸브 조립체 중 적어도 하나를 포함할 수 있다. 또한, 예컨대 전달 시스템(530)은 격리 조립체(550)의 일부로서 작용할 수 있다.
대안으로, 다른 실시예에서, 도 3c는 기판 상에서의 건식 비플라즈마 제거 공정을 실행하기 위한 처리 시스템(600)을 도시하고 있다. 처리 시스템(600)은 제1 처리 시스템(610)과 제2 처리 시스템(620)을 포함하고, 제1 처리 시스템(610)은 도시된 바와 같이 수직 방향으로 제2 처리 시스템(62)의 위에 적층되어 있다. 예컨대, 제1 처리 시스템(610)은 화학 처리 시스템을 포함할 수 있고, 제2 처리 시스템(620)은 열 처리 시스템을 포함할 수 있다. 대안으로, 제2 처리 시스템(620)은 웨이퍼 헹굼 시스템과 같은 기판 헹굼 시스템을 포함할 수 있다.
또한, 도 3c에 도시된 바와 같이, 전달 시스템(630)이 제1 처리 시스템(610)에 결합되어 제1 처리 시스템(610)의 내외로 기판을 전달할 수 있고, 제2 처리 시스템(620)에 결합되어 제2 처리 시스템(620)의 내외로 기판을 전달할 수 있다. 또한, 전달 시스템(630)은 하나 이상의 기판 카세트(도시 생략)와 기판을 교환할 수 있다. 도 3c에는 단지 2개의 공정 시스템을 도시하고 있지만, 에칭 시스템, 증착 시스템, 코팅 시스템, 패터닝 시스템, 계측 시스템 등과 같은 장치를 포함한 다른 공정 시스템이 전달 시스템(630)에 액세스할 수 있다. 제1 및 제2 시스템에서 실행되는 공정들을 격리시키기 위하여, 격리 조립체(650)를 사용하여 각 시스템을 결합시킬 수 있다. 예컨대, 격리 조립체(650)는 열적 격리를 제공하는 단열 조립체와 진공 격리를 제공하는 게이트 밸브 조립체 중 적어도 하나를 포함할 수 있다. 또한, 예컨대 전달 시스템(630)은 격리 조립체(650)의 일부로서 작용할 수 있다.
도 4에 도시된 바와 같이, 화학 처리 시스템(710)은, 화학 처리 챔버(711)로부터 실질적으로 열적으로 격리되고 기판(742)을 지지하도록 구성된 온도 제어식 기판 홀더(740)와, 화학 처리 챔버(711)를 배기시키도록 화학 처리 챔버(711)에 결합된 진공 펌핑 시스템(750)과, 화학 처리 챔버(711) 내의 공정 공간(762) 내로 공정 가스를 도입하기 위한 가스 분배 시스템(760)을 포함한다. 기판(742)을 전달 개구(794)를 통하여 화학 처리 챔버(711)의 내외로 전달할 수 있다.
또한, 화학 처리 시스템(710)은 챔버 온도 제어 시스템(768)에 결합된 챔버 온도 제어 요소(766)를 포함한다. 챔버 온도 제어 요소(766)는 가열 유닛, 냉각 유닛, 또는 양자 모두를 포함할 수 있다. 또한, 화학 처리 시스템(710)은 가스 분 배 온도 제어 시스템(769)에 결합된 가스 분배 온도 제어 요소(767)를 포함한다. 가스 분배 온도 제어 요소(767)는 가열 유닛, 냉각 유닛, 또는 양자 모두를 포함할 수 있다.
도 4에 도시된 바와 같이, 화학 처리 시스템(710)은 기판 홀더 조립체(744)를 갖는 기판 홀더(740)를 더 포함한다. 기판 홀더 조립체(755)는 기판(742)을 열적으로 제어하고 처리하기 위한 여러 조작 기능을 제공할 수 있다. 예컨대, 기판 홀더(740)와 기판 홀더 조립체(744)는 기판 클램핑 시스템(즉, 전기식 또는 기계식 클램핑 시스템), 가열 시스템, 냉각 시스템, 기판(742)과 기판 홀더(740) 사이의 열전도율을 개선하기 위한 기판 이면측 가스 공급 시스템 등을 포함할 수도 있고 포함하지 않을 수도 있다.
여전히 도 4를 참조하면, 컨트롤러(735)가 기판 홀더 조립체(744), 가스 분배 시스템(760), 진공 펌핑 시스템(750), 챔버 온도 제어 시스템(768), 가스 분배 온도 제어 시스템(769)에 결합될 수 있다. 컨트롤러(735)는 마이크로프로세서, 메모리 및 디지털 I/O 포트를 포함할 수 있고, 이 I/O 포트는 화학 처리 시스템(710)과 통신하여, 화학 처리 시스템(710)으로부터의 출력을 모니터할 뿐 아니라, 화학 처리 시스템(710)으로의 입력을 작동시키기에 충분한 제어 전압을 발생시킬 수 있다.
화학 처리 시스템(710)과 관련한 추가의 세부 사항은, 발명의 명칭이 "Processing system and method for chemically treating a substrate"인 미국 특허 6,951,821 A1에 개시되어 있으며, 이 특허의 전체 내용은 전체적으로 본원 명세 서에 참고로 인용된다.
도 5에 도시된 바와 같이, 열 처리 시스템(820)은, 열 처리 챔버(821) 내에 장착되고 열 처리 챔버(821)로부터 실질적으로 열적으로 절연되고 기판(842')을 지지하도록 구성된 온도 제어식 기판 홀더(870)와, 열 처리 챔버(821)를 배기시키는 진공 펌핑 시스템(880)과, 열 처리 챔버(821)에 결합된 기판 승강기 조립체(890)를 더 포함한다. 기판 승강기 조립체(890)는 기판(842")을 유지면(실선)과 기판 홀더(870; 점선) 사이에서, 또는 이들과 이들 사이에 위치된 전달면 사이에서 수직 방향으로 병진 이동시킬 수 있다. 열 처리 챔버(821)는, 기판(842')의 열 처리 중에 퍼지 가스와 같은 공정 가스를 도입하도록 구성될 수 있는 상부 조립체(884)를 더 포함할 수 있다. 기판(842')(또는 842")을 전달 개구(898)를 통하여 화학 처리 챔버(821)의 내외로 전달할 수 있다.
또한, 열 처리 시스템(820)은 챔버 온도 제어 시스템(881)에 결합된 챔버 온도 제어 요소(883)를 포함한다. 챔버 온도 제어 요소(883)는 가열 유닛, 냉각 유닛, 또는 양자 모두를 포함할 수 있다. 또한, 열 처리 시스템(820)은 상부 조립체 온도 제어 시스템(886)에 결합된 상부 조립체 온도 제어 요소(885)를 포함한다. 상부 조립체 온도 제어 요소(885)는 가열 유닛, 냉각 유닛, 또는 양자 모두를 포함할 수 있다.
도 5에 도시된 바와 같이, 열 처리 시스템(820)은, 기판 홀더 온도 제어 요소(876)를 갖는 기판 홀더(870)와 기판 홀더 온도 제어 시스템(878)을 포함한다. 기판 홀더 온도 제어 요소(876)는 저항 가열 요소와 같은 가열 요소를 포함할 수 있다. 또한, 예컨대 기판 홀더(870)는, 기판 클램핑 시스템(즉, 전기식 또는 기계식 클램핑 시스템), 추가의 가열 시스템, 냉각 시스템, 기판(842')과 기판 홀더(870) 사이의 열전도율을 개선하기 위한 기판 이면측 가스 공급 시스템 등을 포함할 수도 있고 포함하지 않을 수도 있다.
여전히 도 5를 참조하면, 컨트롤러(875)는 상부 조립체(884), 진공 펌핑 시스템(880), 챔버 온도 제어 시스템(881), 상부 조립체 온도 제어 시스템(886), 기판 홀더 온도 제어 시스템(878) 및 기판 승강기 조립체(890)에 결합될 수 있다. 컨트롤러(875)는, 마이크로프로세서, 메모리 및 디지털 I/O 포트를 포함할 수 있고, 이 I/O 포트는 열 처리 시스템(820)과 통신하여, 열 처리 시스템(820)으로부터의 출력을 모니터할 뿐 아니라, 열 처리 시스템(820)으로의 입력을 작동시키기에 충분한 제어 전압을 발생시킬 수 있다.
열 처리 시스템(820)과 관련한 추가의 세부 사항은, 발명의 명칭이 "Processing system and method for thermally treating a substrate"인 계류중인 미국 특허 출원 10/704,969에 개시되어 있으며, 이 특허 출원의 전체 내용은 전체적으로 본원 명세서에 참고로 인용된다.
이상, 본 발명의 특정의 예시적인 실시예만을 상세하게 설명하였지만, 당업자는 이러한 발명의 신규한 교시 내용 및 이점으로부터 실질적으로 벗어나지 않으면서 많은 변형예가 예시적인 실시예로 가능하다는 것을 쉽게 이해할 수 있다.

Claims (23)

  1. 기판 상의 유전체 막을 처리하는 처리 방법으로서,
    유전체 막을 갖는 상기 기판을 처리 시스템 내에 배치하는 배치 단계로서, 상기 유전체 막의 표면층을 에칭 플라즈마, 애싱 플라즈마(ashing plasma), 습식 클린 화학물질 또는 이들의 2 이상의 조합에 노출시키는 것인 배치 단계와,
    상기 표면층을 제거하기 위하여 상기 유전체 막 상에서 건식 비플라즈마 제거 공정을 실행하는 실행 단계를 포함하며,
    상기 제거 공정은,
    상기 표면층을 화학적으로 개질시키기 위하여 상기 유전체 막 상의 상기 표면층을 HF 또는 NH3, 또는 이들의 조합을 포함하는 공정 가스에 노출시키는 노출 단계와,
    상기 화학적으로 개질된 표면층을 탈착시키기 위하여 상기 화학적으로 개질된 표면층을 열적으로 처리하는 열 처리 단계를 포함하는 것인 처리 방법.
  2. 제1항에 있어서, 상기 노출 단계는 유전상수가 1.6 내지 2.7인 유전체 막의 표면층을 노출시키는 것을 포함하는 것인 처리 방법.
  3. 제1항에 있어서, 상기 노출 단계는, 다공성 유전체 막 또는 비다공성 유전체 막, 또는 이들의 조합의 표면층을 노출시키는 것을 포함하는 것인 처리 방법.
  4. 제1항에 있어서, 상기 노출 단계는, 단상 재료 또는 2상 재료, 또는 이들의 조합을 갖는 다공성 유전체 막의 표면층을 노출시키는 것을 포함하는 것인 처리 방법.
  5. 제1항에 있어서, 상기 노출 단계는, 유기질 재료, 또는 무기질 재료, 또는 이들의 조합을 갖는 막의 표면층을 노출시키는 것을 포함하는 것인 처리 방법.
  6. 제5항에 있어서, 상기 노출 단계는, 무기질-유기질 하이브리드 재료를 갖는 막의 표면층을 노출시키는 것을 포함하는 것인 처리 방법.
  7. 제5항에 있어서, 상기 노출 단계는, 산화 유기 실란을 갖는 막의 표면층을 노출시키는 것을 포함하는 것인 처리 방법.
  8. 제5항에 있어서, 상기 노출 단계는, HSQ(hydrogen silsesquioxane) 또는 MSQ(methyl silsesquioxane), 또는 이들의 조합을 갖는 막의 표면층을 노출시키는 것을 포함하는 것인 처리 방법.
  9. 제5항에 있어서, 상기 노출 단계는, 실리케이트계 재료를 갖는 막의 표면층 을 노출시키는 것을 포함하는 것인 처리 방법.
  10. 제5항에 있어서, 상기 노출 단계는, 규소, 탄소 및 산소를 갖는 집합적 막(collective film)의 표면층을 노출시키는 것을 포함하는 것인 처리 방법.
  11. 제10항에 있어서, 상기 노출 단계는, 수소를 더 갖는 상기 집합적 막의 표면층을 노출시키는 것을 더 포함하는 것인 처리 방법.
  12. 제1항에 있어서, 상기 노출 단계는, 약 1 mtorr 내지 약 100 torr 범위의 공정 압력에서 실행되는 것인 처리 방법.
  13. 제1항에 있어서, 상기 노출 단계는, 상기 기판이 약 10℃ 내지 약 200℃ 범위의 온도로 있는 중에 실행되는 것인 처리 방법.
  14. 제1항에 있어서, 상기 노출 단계는, 상기 기판을 불활성 가스를 더 포함하는 공정 가스에 노출시키는 것을 포함하는 것인 처리 방법.
  15. 제14항에 있어서, 상기 노출 단계는, 상기 기판을 희가스를 더 포함하는 공정 가스에 노출시키는 것을 포함하는 것인 처리 방법.
  16. 제1항에 있어서, 상기 열 처리 단계는, 상기 기판의 온도를 약 50℃를 넘겨서 상승시키는 것을 포함하는 것인 처리 방법.
  17. 제1항에 있어서, 상기 열 처리 단계는, 상기 기판의 온도를 약 100℃를 넘겨서 상승시키는 것을 포함하는 것인 처리 방법.
  18. 제17항에 있어서, 상기 열 처리 단계는 불활성 가스를 도입하는 중에 실행되는 것인 처리 방법.
  19. 제18항에 있어서, 상기 도입 단계는 질소를 도입하는 것을 더 포함하는 것인 처리 방법.
  20. 기판 상에 형성된 로우-k 막에 피처(feature)를 형성하는 방법으로서,
    로우-k 막을 갖는 상기 기판을 공정 챔버 내에 배치하는 배치 단계와,
    상기 로우-k 막에 형성되는 로우-k 피처에 대응하는 패턴 피처를 갖는 마스크 패턴을 상기 로우-k 막 상에 형성하는 형성 단계와,
    건식 플라즈마 에칭 공정을 이용하여 상기 로우-k 막에 상기 로우-k 피처를 에칭하는 에칭 단계와,
    상기 건식 플라즈마 에칭 공정에 의하여 적어도 손상되었거나 활성화된 상기 로우-k 피처의 표면층을 제거하기 위하여 상기 로우-k 피처 상에서 건식 비플라즈 마 제거 공정을 실행하는 실행 단계
    를 포함하는 피처 형성 방법.
  21. 제20항에 있어서, 상기 형성 단계는, 상기 건식 플라즈마 제거 공정을 보상하기 위하여 상기 로우-k 피처의 임계 치수보다 작은 임계 치수를 갖는 마스크 패턴을 형성하는 것을 포함하는 것인 피처 형성 방법.
  22. 제21항에 있어서, 상기 로우-k 피처는, 상호접속 비아 또는 배선 트렌치, 또는 이들의 조합 중 적어도 하나를 포함하는 것인 피처 형성 방법.
  23. 제22항에 있어서, 상기 실행 단계는, 건식 비플라즈마 제거 공정을 실행하는 것을 포함하며, 이 건식 비플라즈마 제거 공정은,
    로우-k 피처 상의 표면층을 화학적으로 개질시키기 위하여 그 표면층을 HF 또는 NH3을 포함하는 공정 가스, 또는 이들 공정 가스의 조합에 노출시키는 노출 단계와,
    상기 화학적으로 개질된 표면층을 탈착시키기 위하여 상기 화학적으로 개질된 표면층을 열적으로 처리하는 열 처리 단계를 포함하는 것인 피처 형성 방법.
KR1020087026229A 2006-03-28 2007-01-30 유전체 막의 처리 방법 및 피처 형성 방법 KR101283837B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/390,193 US7795148B2 (en) 2006-03-28 2006-03-28 Method for removing damaged dielectric material
US11/390,193 2006-03-28
PCT/US2007/002374 WO2007126461A2 (en) 2006-03-28 2007-01-30 Method for removing damaged dielectric material

Publications (2)

Publication Number Publication Date
KR20080109886A true KR20080109886A (ko) 2008-12-17
KR101283837B1 KR101283837B1 (ko) 2013-07-08

Family

ID=38574058

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087026229A KR101283837B1 (ko) 2006-03-28 2007-01-30 유전체 막의 처리 방법 및 피처 형성 방법

Country Status (6)

Country Link
US (1) US7795148B2 (ko)
JP (1) JP5271255B2 (ko)
KR (1) KR101283837B1 (ko)
CN (1) CN101454876B (ko)
TW (1) TWI385728B (ko)
WO (1) WO2007126461A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180014206A (ko) * 2015-06-26 2018-02-07 도쿄엘렉트론가부시키가이샤 다른 필름 또는 마스크에 대한 실리콘-함유 반사 방지 코팅 또는 실리콘 산질화물의 제어 가능한 식각 선택비에 따른 기상 식각

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4939864B2 (ja) * 2006-07-25 2012-05-30 東京エレクトロン株式会社 ガス供給装置、ガス供給方法、薄膜形成装置の洗浄方法、薄膜形成方法及び薄膜形成装置
US7786016B2 (en) * 2007-01-11 2010-08-31 Micron Technology, Inc. Methods of uniformly removing silicon oxide and a method of removing a sacrificial oxide
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US8382997B2 (en) * 2010-08-16 2013-02-26 Tokyo Electron Limited Method for high aspect ratio patterning in a spin-on layer
CN102420121B (zh) * 2011-05-26 2013-12-04 上海华力微电子有限公司 一种针对氟基等离子体刻蚀后的氮化钛薄膜的处理方法
CN102437037B (zh) * 2011-09-08 2014-06-04 上海华力微电子有限公司 一种有效减少水痕缺陷的方法
CN103094190B (zh) * 2011-11-01 2015-04-29 中芯国际集成电路制造(上海)有限公司 互连层中空气间隙的形成方法
US8592327B2 (en) 2012-03-07 2013-11-26 Tokyo Electron Limited Formation of SiOCl-containing layer on exposed low-k surfaces to reduce low-k damage
US8551877B2 (en) 2012-03-07 2013-10-08 Tokyo Electron Limited Sidewall and chamfer protection during hard mask removal for interconnect patterning
US8809194B2 (en) 2012-03-07 2014-08-19 Tokyo Electron Limited Formation of SiOCl-containing layer on spacer sidewalls to prevent CD loss during spacer etch
US8859430B2 (en) 2012-06-22 2014-10-14 Tokyo Electron Limited Sidewall protection of low-K material during etching and ashing
US8871639B2 (en) * 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
CN103646872A (zh) * 2013-11-26 2014-03-19 上海华力微电子有限公司 一种去胶设备
US9508561B2 (en) 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
JP6811709B2 (ja) 2014-09-12 2021-01-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体プロセシング装置放出物の処理のためのコントローラ
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9806252B2 (en) * 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
FR3041471B1 (fr) * 2015-09-18 2018-07-27 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de formation des espaceurs d'une grille d'un transistor
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
CN107845574B (zh) * 2017-10-31 2018-11-23 长鑫存储技术有限公司 半导体上刻蚀去除氧化物的方法
CN111937122A (zh) 2018-03-30 2020-11-13 朗姆研究公司 难熔金属和其他高表面结合能材料的原子层蚀刻和平滑化
US10982335B2 (en) * 2018-11-15 2021-04-20 Tokyo Electron Limited Wet atomic layer etching using self-limiting and solubility-limited reactions
CN110928142B (zh) * 2019-11-28 2023-08-29 北京遥测技术研究所 一种光刻厚胶与金属基底结合力的改善方法

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5685951A (en) * 1996-02-15 1997-11-11 Micron Technology, Inc. Methods and etchants for etching oxides of silicon with low selectivity in a vapor phase system
US5838055A (en) * 1997-05-29 1998-11-17 International Business Machines Corporation Trench sidewall patterned by vapor phase etching
US6627539B1 (en) * 1998-05-29 2003-09-30 Newport Fab, Llc Method of forming dual-damascene interconnect structures employing low-k dielectric materials
JP3662472B2 (ja) * 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
US6451712B1 (en) * 2000-12-18 2002-09-17 International Business Machines Corporation Method for forming a porous dielectric material layer in a semiconductor device and device formed
US6541351B1 (en) * 2001-11-20 2003-04-01 International Business Machines Corporation Method for limiting divot formation in post shallow trench isolation processes
JP2003234402A (ja) * 2002-02-12 2003-08-22 Tokyo Electron Ltd 半導体製造方法及び半導体製造装置
US6858532B2 (en) * 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US6951821B2 (en) * 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US7877161B2 (en) 2003-03-17 2011-01-25 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
TWI220774B (en) * 2003-11-03 2004-09-01 Univ Nat Sun Yat Sen Method for patterning low dielectric constant film and method for manufacturing dual damascene structure
US20050227494A1 (en) * 2004-03-30 2005-10-13 Tokyo Electron Limited Processing system and method for treating a substrate
US20050218113A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for adjusting a chemical oxide removal process using partial pressure
US20050269291A1 (en) * 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
US7097779B2 (en) * 2004-07-06 2006-08-29 Tokyo Electron Limited Processing system and method for chemically treating a TERA layer
US7510972B2 (en) * 2005-02-14 2009-03-31 Tokyo Electron Limited Method of processing substrate, post-chemical mechanical polishing cleaning method, and method of and program for manufacturing electronic device
JP4860219B2 (ja) * 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
JP4515309B2 (ja) * 2005-03-31 2010-07-28 東京エレクトロン株式会社 エッチング方法
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7214626B2 (en) * 2005-08-24 2007-05-08 United Microelectronics Corp. Etching process for decreasing mask defect
US20070238301A1 (en) * 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
US7288483B1 (en) * 2006-03-28 2007-10-30 Tokyo Electron Limited Method and system for patterning a dielectric film
US7368393B2 (en) * 2006-04-20 2008-05-06 International Business Machines Corporation Chemical oxide removal of plasma damaged SiCOH low k dielectrics
US7718032B2 (en) * 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
US7786016B2 (en) * 2007-01-11 2010-08-31 Micron Technology, Inc. Methods of uniformly removing silicon oxide and a method of removing a sacrificial oxide
KR101330707B1 (ko) * 2007-07-19 2013-11-19 삼성전자주식회사 반도체 장치의 형성 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180014206A (ko) * 2015-06-26 2018-02-07 도쿄엘렉트론가부시키가이샤 다른 필름 또는 마스크에 대한 실리콘-함유 반사 방지 코팅 또는 실리콘 산질화물의 제어 가능한 식각 선택비에 따른 기상 식각

Also Published As

Publication number Publication date
WO2007126461A2 (en) 2007-11-08
US20070235411A1 (en) 2007-10-11
JP2009531857A (ja) 2009-09-03
TWI385728B (zh) 2013-02-11
TW200802603A (en) 2008-01-01
CN101454876A (zh) 2009-06-10
WO2007126461A3 (en) 2008-08-14
JP5271255B2 (ja) 2013-08-21
KR101283837B1 (ko) 2013-07-08
CN101454876B (zh) 2011-07-27
US7795148B2 (en) 2010-09-14

Similar Documents

Publication Publication Date Title
KR101283837B1 (ko) 유전체 막의 처리 방법 및 피처 형성 방법
US7723237B2 (en) Method for selective removal of damaged multi-stack bilayer films
US8202803B2 (en) Method to remove capping layer of insulation dielectric in interconnect structures
US7611986B2 (en) Dual damascene patterning method
US7541200B1 (en) Treatment of low k films with a silylating agent for damage repair
US7083991B2 (en) Method of in-situ treatment of low-k films with a silylating agent after exposure to oxidizing environments
KR101143727B1 (ko) 반도체 장치의 제조 방법 및 기억 매체
US7288483B1 (en) Method and system for patterning a dielectric film
US8252192B2 (en) Method of pattern etching a dielectric film while removing a mask layer
JP5100057B2 (ja) 半導体装置の製造方法
EP1209728A2 (en) Method of depositing organosilicate layers
JP4999419B2 (ja) 基板処理方法および基板処理システム、ならびにコンピュータ読取可能な記憶媒体
JP2007523034A (ja) アモルファスカーボン膜を含む構造およびその形成方法。
KR101688231B1 (ko) Co2/co계 처리를 이용하여 기판을 애싱하기 위한 저손상 방법
JP2007511906A (ja) 調整可能な反射防止コーティングを含む構造およびその形成方法。
KR100857664B1 (ko) 유기규산염층의 증착 방법
US7622390B2 (en) Method for treating a dielectric film to reduce damage
US20090246713A1 (en) Oxygen-containing plasma flash process for reduced micro-loading effect and cd bias
US6713386B1 (en) Method of preventing resist poisoning in dual damascene structures
EP1646083B1 (en) Alternative dual damascene patterning approach

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee