JP5271255B2 - 損傷を受けた誘電材料の除去方法 - Google Patents

損傷を受けた誘電材料の除去方法 Download PDF

Info

Publication number
JP5271255B2
JP5271255B2 JP2009502776A JP2009502776A JP5271255B2 JP 5271255 B2 JP5271255 B2 JP 5271255B2 JP 2009502776 A JP2009502776 A JP 2009502776A JP 2009502776 A JP2009502776 A JP 2009502776A JP 5271255 B2 JP5271255 B2 JP 5271255B2
Authority
JP
Japan
Prior art keywords
exposing
surface layer
substrate
low
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2009502776A
Other languages
English (en)
Other versions
JP2009531857A5 (ja
JP2009531857A (ja
Inventor
ブラウン,イアン,ジェイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2009531857A publication Critical patent/JP2009531857A/ja
Publication of JP2009531857A5 publication Critical patent/JP2009531857A5/ja
Application granted granted Critical
Publication of JP5271255B2 publication Critical patent/JP5271255B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C15/00Surface treatment of glass, not in the form of fibres or filaments, by etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C25/00Surface treatment of fibres or filaments made from glass, minerals or slags
    • C03C25/66Chemical treatment, e.g. leaching, acid or alkali treatment
    • C03C25/68Chemical treatment, e.g. leaching, acid or alkali treatment by etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Life Sciences & Earth Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Description

本発明は、誘電膜上での除去プロセスの実行方法に関する。より詳細には本発明は、エッチング又はアッシングプロセス後に行われる低誘電率(low-k)膜上の損傷層の除去方法に関する。
半導体分野の当業者には知られているように、相互接続遅延は、駆動中の集積回路(IC)の速度及び性能を向上させる上で主要な制限要因である。相互接続遅延を最小限にする1つの方法は、ICの製造中に低誘電率(low-k)材料を用いることによって相互接続キャパシタンスを減少させることである。係るlow-k材料はまた低温プロセスにも有用であることが分かっている。よって近年、low-k材料は、たとえば二酸化シリコンのような比較的誘電率の高い誘電材料に代わるものとして開発されてきた。特に、low-k膜は、半導体素子の層間絶縁膜及び層内絶縁膜として利用されている。それに加えて絶縁材料の誘電率を減少させるため、孔を有する材料膜すなわち有孔性low-k誘電膜が作製される。係るlow-k膜は、フォトレジストの塗布法に似ているスピンオン誘電体(SOD)法によって、又は化学気相成長法(CVD)によって成膜されて良い。よってlow-k材料はすぐにでも既存の半導体製造プロセスに適合させることができる。
米国特許出願第10/812347号明細書 米国特許出願第10/817417号明細書 米国特許第6951821号明細書 米国特許出願第10/704969号明細書
Low-k材料が半導体回路の製造にとって有力である一方、本願発明者らは、これらの膜が多くの課題をも供することを認識していた。第1に、low-k膜は、従来の誘電層ほどには頑丈ではない傾向にあり、かつ誘電層のパターニングにおいて一般的に用いられているエッチングやプラズマアッシング処理のようなウエハ処理中に損傷を受ける恐れがある。さらにlow-k膜の中には、損傷を受けた後-特にパターニング後-に反応しやすくなるものがある。それにより、そのlow-k膜は水を吸収すること、並びに/又は、その誘電層の電気的特性を変化させる恐れのある他の上記及び/若しくはプロセス不純物と反応してしまう。
従って本発明の一の実施例は、エレクトロニクス素子に用いられる薄膜上の損傷層を除去することである。
本発明の他の実施例は、エッチングプロセス、アッシングプロセス、又は湿式洗浄プロセスに続いて行われる、低誘電率(low-k)膜上の損傷層を除去することである。
本発明のさらに他の実施例は、low-k膜のエッチング又はアッシングプロセス後に、乾式の非プラズマエッチングプロセスを用いて前記low-k膜上の損傷を除去することである。
本発明のこれら及び/又は他の実施例は、基板上の誘電膜を処理する方法によって供されて良い。その方法は、前記誘電膜を上に有する基板を処理システム内に設ける工程を有する。前記誘電膜の表面層は、エッチングプラズマ、アッシングプラズマ、若しくは湿式洗浄化学物質、又はこれらを組み合わせたものに曝露される。前記誘電膜上で乾式の非プラズマ除去プロセスが実行されることで、前記表面層が除去される。前記除去プロセスは、前記表面層を化学的に変化させるためにHF及び任意でNH3を含むプロセスガスに前記表面層を曝露する工程、並びに前記の化学的に変化した表面層から吸着したものを除去するために前記の化学的に変化した表面を熱処理する工程を有する。
本発明の他の態様は、基板上に形成されたlow-k膜中に特徴部位を作製する方法を有する。当該方法は、前記low-k膜を上に有する前記基板を処理チャンバ内に設ける工程を有する。前記マスクパターンは、前記low-k膜中に作製されるlow-k特徴部位に対応するパターン特徴部位を有する。前記low-k特徴部位は、ドライプラズマエッチングプロセスを用いることによって前記low-k中でエッチングされる。乾式の非プラズマエッチングが前記low-k特徴部位上で実行されることで、前記プラズマエッチングプロセスによって損傷した及び/又は活性化した前記low-k特徴部位の表面層が除去される。
以降の説明では、本発明の完全な理解を助けるため、及び限定ではない説明目的のため、たとえば処理システムの特定の幾何学的構成及び本実施例で用いられている様々な部品及びプロセスについての記載といった具体的詳細について説明する。しかし本発明はこれらの具体的詳細から逸脱した他の実施例でも実施可能であることに留意して欲しい。
材料処理方法では、パターンエッチングは、たとえばフォトレジストのような感光性材料の薄膜を、エッチング中にこのパターンを下地の薄膜に転写するマスクを供するためにパターニングされる基板の上側表面に塗布する工程を有する。感光性材料のパターニングは概して、たとえばフォトリソグラフィシステムを用いることによる、感光性材料のレクチル(及び付属の光学系)を介した放射線源による露光を含む。その後、現像溶液を用いた感光性材料の照射領域の除去(感光性材料がポジのフォトレジストの場合)、又は非照射領域の除去(感光性材料がネガのレジストの場合)が行われる。
それに加えて、薄膜中の特徴部位をエッチングする多層マスク及びハードマスクが実装されて良い。たとえばハードマスクを用いて薄膜中の特徴部位をエッチングするとき、感光性材料中のマスクパターンは、薄膜の主エッチング工程に先立って実行される別のエッチング工程を用いて、ハードマスク層に転写される。ハードマスクはたとえば、二酸化シリコン(SiO2)、シリコン窒化物(Si3N4)、及び炭素を含むシリコンプロセス用の複数の材料から選ばれて良い。
ここで図を参照すると、図1Aから1Gは本発明の実施例に従って誘電膜中にパターンを形成する方法を図示している。なお複数の図で一貫して、同様の参照番号は同一又は対応する部品を表す。当該方法は、本発明の様々な態様を組み合わせる。その様々な態様は、ハードマスクを用いる工程、及び誘電膜のエッチング又はアッシングプロセスの結果損傷した、誘電膜上の層を除去するため、誘電膜中のエッチングされたパターンの曝露表面を処理する工程を含む。しかし図1A-1Gに図示されたプロセスの態様は、後述するように高いに独立していて良い。それに加えて図2は、一の実施例に従って当該方法を実行するフローチャート200を表す。
図1A、図1B、及び図2に図示されているように、工程210では、基板140の上側表面上に誘電膜130が形成される。基板140は他の層を含んでも良いし、含んでいなくても良い。基板140は、半導体、金属導体、又は上に誘電膜が形成される如何なる基板であっても良い。誘電膜は、約4であるSiO2の誘電率(たとえば3.8から3.9の範囲であって良い)よりも小さな公称誘電率を有する。より具体的には、誘電膜130は、3.0未満の誘電率を有して良いし、又は1.6から2.7の範囲の誘電率を有して良い。
誘電膜130は、化学気相成長(CVD)法、又は、たとえば東京エレクトロン株式会社から市販されているクリーントラックアクト(Clean Track Act)8SODシステム及びアクト(Act)12SODシステムによって供される、スピンオン誘電体(SOD)法を用いて形成されて良い。クリーントラックアクト8(200nm)及びアクト12(300nm)コーティングシステムは、SOD材料のコーティング、ベーキング、及び硬化を行う。トラックシステムは、100mm、200mm、300mm以上の基板サイズを処理するように備えられて良い。基板上に誘電膜を形成する他のシステム及び方法は、スピンオン誘電体技術及びCVD誘電体技術の当業者には周知である。
誘電膜130はたとえば低誘電率(すなわちlow-k誘電体膜)であることを特徴とする。誘電膜130は、有機、無機、若しくは有機-無機ハイブリッド材料、又はこれら2つ以上の結合材料を有して良い。それに加えて、誘電膜130は有孔性であっても良いし、又は非有孔性であっても良い。たとえば誘電膜は、CVD法を用いて成膜される、たとえば酸化オルガノシリケート(又はオルガノシロキサン)のような無機のシリケートベース材料を有して良い。係る膜の例には、アプライドマテリアルズ社(Applied Materials Inc.)から市販されているブラックダイアモンド(Black Diamond)(商標)CVDオルガノシリケートガラス(OSG)膜、又はノベルスシステムズ(Novellus Systems)から市販されているコーラル(Coral)CVD膜が含まれる。それに加えてたとえば、有孔性誘電材料は、たとえば硬化中に切断されることで小さな気泡(すなわち孔)を生成するCH3結合を有するシリコン酸化物ベースの母体のような単一相材料を有して良い。それに加えてたとえば、有孔性材料は、硬化プロセス中に蒸発する有機材料(たとえばポロジェン)の孔を有するシリコン酸化物ベースのマトリックスのような二相材料を有して良い。
あるいはその代わりに、誘電膜130は、SOD法を用いて成膜される、たとえば水素シルセスキオキサン(HSQ)又はメチルシルセスキオキサン(MSQ)のような無機のシリケートベース材料を有して良い。係る膜の例には、ダウコーニング(Dow Corning)から市販されているFox HSQ、ダウコーニング(Dow Corning)から市販されているXLK有孔性HSQ、及びJSRマイクロエレクトロニクスから市販されているJSR LKD-5109が含まれる。あるいはその代わりに、誘電膜130はSODを用いて成膜された有機材料を有して良い。係る膜の例には、ダウケミカル(Dow Chemical)から市販されているSiLK-I、SiLK-J、SiLK-H、SiLK-D、及び有孔性SiLK半導体用誘電体樹脂、並びにハネウエル(Honeywell)から市販されているフレア(FLARE)(商標)及びナノガラス(Nano-glass)が含まれる。
図1A-1Gの実施例では、一旦誘電膜130が準備されると、工程220では、ハードマスク層120が誘電層130の上に形成される。ハードマスク層120は、たとえばシリコン酸化物(SiOx)、シリコン窒化物(SiyNz)、及び炭素を含むシリコンプロセス用の複数の材料を、共に又は上記についての任意の組合せで有して良い。
その後工程230では、パターニングされたフォトリソグラフィマスク110がハードマスク120の上側表面上に形成される。パターニングされたフォトリソグラフィマスク110は、フォトリソグラフィを用いることによって、たとえばフォトレジストのような感光性材料層中に形成されるフォトリソグラフィパターン112を有して良い。あるいはその代わりに、パターニングされたマスク110は、内部に埋め込まれた状態で反射防止コーティング(ARC)を有する2層マスク又は多層マスクを有して良い。ARCとはたとえば、埋め込みARC(BARC)層、犠牲DUO(商標)層、又は調節可能なエッチング耐性ARC(TERA)層である。
たとえば(複数の)マスク層、つまりハードマスク層120又はパターニングされたフォトリソグラフィマスク110は、トラックシステム又はCVDシステムを用いて形成されて良い。248nmレジスト、193nmレジスト、157nmレジスト、EUVレジスト、(上部/底部)反射防止コーティング(TARC/BARC)、及び上部コーティングを処理するように備えられて良い。たとえばトラックシステムは、東京エレクトロン株式会社(TEL)から市販されているクリーントラックアクト(Clean Track Act)8又はアクト12レジストコーティング及び現像システムを有して良い。基板上にフォトレジスト膜を形成する他のシステム及び方法は、スピンオンレジスト技術の専門家にとって周知である。それに加えてたとえば、マスクパターンは、任意の適切な従来のステッピングリソグラフィシステム又は走査リソグラフィシステムを用いて形成されて良い。
小さな幾何学形状(つまり45nm、32nm及びそれ以下)のための先端フォトリソグラフィは一般的に、フォトリソグラフィ層110へフォトリソグラフィパターン112を正確に伝えるように最適化し、パターンの破壊を防止するようにフォトリソグラフィ層110の厚さを最小にし、パターン112をフォトリソグラフィ層110から下地層へ正確に伝えるようにフォトリソグラフィ層110の組成及び厚さを最適化し、並びにフォトリソグラフィパターン112の側壁114での線端部粗さ(LER)が下地膜へ伝播するのを最小限にする、という要求によって制約される。
従来、感光性材料のマスクパターンは、プラズマエッチングプロセスを用いることによってハードマスク層へ転写される。プラズマエッチングの異方的性質のため、感光性材料中でのパターンは高精度で転写可能である。しかしこの高精度で転写される結果、ハードマスクに転写され、最終的には誘電性特徴部位に転写される感光性層のパターンの欠陥-たとえば側壁のストライエーションのような-が生じる恐れがある。さらに感光性層に対してハードマスクのプラズマエッチングの選択性が低いため、ハードマスクエッチングの実行に用いるのに厚い感光性材料が必要となると考えられる。
またハードマスクを用いた従来のプロセスでは、パターニングされた感光性層は最初にハードマスクのエッチングに用いられ、続いてパターニングされた感光性層及びパターニングされたハードマスクは共に、誘電層にパターンをエッチングするのに用いられる。よって感光性層及び/又はその残余層は、たとえば剥離、アッシング、又は湿式洗浄プロセスを用いて除去される。本願発明者らは、エッチングした誘電特徴部位を感光性層除去プロセスに曝すことで、誘電特徴部位が損傷する、及び/又は誘電特徴部位中の誘電材料の特性が変化してしまうことを認識していた。係る損傷は、その誘電特徴部位自体のエッチングによって生じる恐れのあるその誘電特徴部位の損傷にさらに加わるものである。
図1C及び1Dに図示されているように、一の実施例によると、工程240では、フォトリソグラフィパターン112は、乾式の非プラズマエッチングプロセスを用いることによってハードマスク層120へ転写されて良い。乾式の非プラズマエッチングプロセスは、従来のプラズマエッチングプロセスよりも、(フォトリソグラフィ層材料に対する)ハードマスク層材料に対して、より選択性がある。この高選択性により、相対的に薄い感光性層の利用が可能となる。相対的に薄い感光性層を利用することで、パターン破壊の可能性が減少し、かつより微細な特徴部位のパターニングが可能となる。さらに非プラズマエッチングプロセスは、プロセス制御のための自己制限特徴部位を含む。乾式の非プラズマエッチングプロセスは、ハードマスク層120の曝露表面が、HF及び/又はアンモニア(NH3)を含むプロセスガスによって化学処理される化学プロセスを有する。HF及び/又はNH3へ曝露することで、たとえば酸化シリコン(すなわちSiOx)のような酸化物材料の除去、及び/又は、化学処理した材料で酸化物材料を除去することによる酸化物材料の消耗が可能となる。自己制限特徴部位は、プロセス材料への曝露が進行することで、酸化物材料の除去速度の減少及び/又は酸化物材料の化学変化の結果生じる。化学処理プロセスの自己制限特徴により、非プラズマエッチングプロセスとそれに続く吸着物を除去するプロセスを交互に実行することが望ましいと考えられる。それにより除去プロセスの厳密な制御が可能となる。吸着物を除去するプロセスは、化学的に変化した表面層の揮発を可能にするのに十分高い温度にまで基板温度が昇温される熱処理プロセスを有して良い。乾式の非プラズマエッチングプロセスを用いることによって、側壁124でのLERが減少したハードマスク層120中にハードマスクパターン122を形成することができる。この利点は、上述の自己制限特徴部位及び/又は非プラズマエッチングの異方的性質によるものと考えられる。これにより、感光性層の側壁に欠陥があるにもかかわらず、滑らかな側部エッチングを行うことが可能となる。より薄いフォトリソグラフィ層110を用い、かつより微細な限界寸法(CD)でフォトリソグラフィ層110内にパターンを形成することによって、乾式の非プラズマエッチングの異方性は、ハードマスク層120内部でのパターンのCDを所望のCDにまで広げることができる。その一方で係る異方性は、たとえばフォトリソグラフィ層120の側壁での欠陥を滑らかにする。非プラズマエッチングの化学処理プロセス中では、プロセスガスの各成分は同時に(つまり混合させて)導入されても良いし、又は各別個に(HFはNH3とは独立に)導入されても良い。それに加えて、プロセスガスはさらに希ガス(たとえばアルゴン)のような不活性ガスを有して良い。不活性ガスはHF又はNH3と共に導入されて良い。あるいはその代わりに不活性ガスは、上述の各ガス成分とは独立に導入されても良い。ハードマスク層120のエッチング制御のためにNH3と共に希ガスを導入することについてのさらなる詳細は特許文献1に記載されている。
それに加えて化学処理プロセス中では、プロセス圧力は、ハードマスク層120のエッチングに影響を及ぼすように選ばれて良い。そのプロセス圧力は約1mTorrから約100Torrの範囲であって良い。さらに化学処理プロセス中では、基板温度は、ハードマスク層120のエッチングに影響を及ぼすように選ばれて良い。その基板温度は、約10℃から約200℃の範囲であって良い。ハードマスク層120のエッチング制御のため基板温度設定についてのさらなる詳細は特許文献2に記載されている。
熱処理中では、基板温度は約50℃よりも高い温度に昇温されて良く、望ましくは約100℃よりも高い温度に昇温されて良い。それに加えて不活性ガスは基板の熱処理中に導入されて良い。その不活性ガスは希ガス又は窒素を含んで良い。
あるいはその代わりに、工程240では、パターン112は、ドライプラズマエッチングプロセスを乾式非プラズマエッチングプロセスと併用することによって、下地のハードマスク層120へ転写されて良い。たとえばドライプラズマエッチングプロセスは乾式非プラズマエッチングプロセスに先立って行われて良い。乾式非プラズマエッチングプロセスは側壁粗さの等の除去に利用される。つまり、プラズマエッチングプロセスの異方的特性により、LERをハードマスクに伝播してしまう恐れがあるので、等方的である非プラズマエッチングが、そのようなLERの除去及び/又は平滑化に利用されて良い。たとえばシリコン酸化物、二酸化シリコン等の酸化物誘電体膜をプラズマエッチングするとき、そのプラズマエッチングガスの組成は一般に、フロンベースの化学物質又はフルオロハイドロカーボンベースの化学物質を含む。フロンベースの化学物質とはたとえば、C4F8、C5F8、C3F6、C4F6、CF4などのうちの少なくとも1つである。フルオロハイドロカーボンベースの化学物質とはたとえば、CHF8、CH2F2などのうちの少なくとも1つ、並びに不活性ガス、酸素、及びCOのうちの少なくとも1つである。
上述したように、本願発明者らは、誘電体特徴部位をエッチングした後に感光性材料を除去することの欠点を認識していた。図1Dに図示されているように、一旦ハードマスクパターン122がハードマスク層120内に形成されると、工程250において、フォトリソグラフィマスク110は、誘電体層130のエッチング前に行われる湿式又は乾式剥離/アッシングプロセスを用いて除去されて良い。1つの長所として、たとえば誘電体層130のエッチングの前に行われるフォトリソグラフィマスク110の除去は、誘電体層のエッチングプロセスが行われても表面が硬化しないので、単純なものとなりうる。それに加えて、たとえば誘電体層130のエッチングの前に行われるフォトリソグラフィマスク110の除去により、湿式剥離プロセス中に剥離用化学物質を用いるときの湿式洗浄プロセス、又は酸化プラズマを用いてフォトレジスト及びエッチング後残余物を除去するときのアッシングプロセスへの誘電体層130の曝露を最小限にすることができる。最先端の(有孔性又は非有孔性)誘電材料は、これらのエッチング、剥離、及び/又はアッシングプロセスに曝されるときに損傷を受ける恐れがある。よって事前に感光性層を除去することで、誘電体層の損傷を最小限にすることができる。さらに上述の利点は、従来のプラズマエッチングがハードマスク120上で実行される場合に得ることができる。
工程260では、図1Eに図示されているように、ハードマスクパターン122は、ドライプラズマエッチングを用いて誘電体層130へ転写される。たとえばシリコン酸化物、二酸化シリコン等の酸化物誘電体膜をプラズマエッチングするとき、又は酸化オルガノシリケートのような無機のlow-k誘電体膜をエッチングするとき、そのプラズマエッチングガスの組成は一般に、フロンベースの化学物質又はフルオロハイドロカーボンベースの化学物質を含む。フロンベースの化学物質とはたとえば、C4F8、C5F8、C3F6、C4F6、CF4などのうちの少なくとも1つである。フルオロハイドロカーボンベースの化学物質とはたとえば、CHF8、CH2F2などのうちの少なくとも1つ、並びに不活性ガス、酸素、及びCOのうちの少なくとも1つである。それに加えて、たとえば有機のlow-k誘電体膜をエッチングするとき、そのプラズマエッチングガスの組成は一般に、窒素含有ガス及び水素含有ガスのうちの少なくとも1種類を含む。前述したような誘電体膜の選択エッチング法は、誘電体のエッチングプロセスの当業者には周知である。
エッチング中、誘電体層130内に形成された特徴部以内の曝露表面-たとえば側壁134-は損傷を受ける、又は活性化する恐れがある。これらの表面によって生じる損傷又は活性化は、エッチングプロセス(つまり誘電体層のドライエッチング、又は誘電体層のエッチングに続いて行われるアッシング中でのフォトリソグラフィマスクの除去)中での水の吸収又は不純物及び/若しくは化学物質の吸着を引き起こすと思われる。たとえば、有孔性low-k誘電体膜は、エッチングプロセス中の損傷及び/又は活性化の影響を非常に受けやすいと思われる。一般に、有孔性low-k膜は、最もよく知られているものはシラノール(Si-OH)基及び/又はオルガノ基を有するシリコン酸化物ベースである。これらの材料は、エッチングプロセス中での有機成分の劣化を原因の一つとして、活性化する又は損傷を受けてしまう。
いずれの場合でも、すでに水及び/又は他の不純物を吸収していると思われる他のシラノール基が曝露される。従って、曝露されたlow-k誘電体層を有する素子構造は、特にパターニング工程後での不純物を含まないように処理及び維持することが難しい。しかもlow-k材料のバルクが活性化する、及び/又は損傷を受けることで、誘電率(k値)が増大すると考えられる。活性化した又は損傷を受けたlow-k膜は、値にして1以上の増大を示すことが観測された。
本発明の実施例によると、(たとえばエッチング又はアッシングプロセス後に)損傷を受けた曝露表面132は、図1Fに図示されているように、乾式の非プラズマエッチングプロセスを用いることによって除去される。上述したように、乾式の非プラズマエッチングプロセスはプロセス制御のための自己制限特徴部位を有する。その自己制限特徴部位は、除去される誘電体層130内の特徴部位134の側壁の面積を最小限にすることができる。さらに損傷を受けた材料を除去することで特徴部位132の限界寸法(CD)が増大するので、一の実施例では、元のパターン(つまりフォトリソグラフィパターン112)は、続いて行われる損傷を受けた表面領域の除去を補償するため、誘電体層130内の特徴部位132の設計CDよりも小さくなるように選ばれて良い。
乾式の非プラズマエッチングプロセスは、ハードマスク層130の曝露表面が、HF及び/又はアンモニア(NH3)を含むプロセスガスによって化学処理される化学プロセスを有する。化学処理プロセスに続いて、化学的に変化した表面層を除去するため、吸着物を除去するプロセスが実行される。吸着物を除去するプロセスは、化学的に変化した表面層の揮発を可能にするのに十分高い温度にまで基板温度が昇温される熱処理プロセスを有して良い。乾式の非プラズマエッチングプロセスを用いることによって、側壁134’での損傷が減少したハードマスク層130中に特徴部位132を形成することができる。
化学処理プロセス中では、プロセスガスの各成分は同時に(つまり混合させて)導入されても良いし、又は各別個に(HFはNH3とは独立に)導入されても良い。それに加えて、プロセスガスはさらに希ガス(たとえばアルゴン)のような不活性ガスを有して良い。不活性ガスはHF又はNH3と共に導入されて良い。あるいはその代わりに不活性ガスは、上述の各ガス成分とは独立に導入されても良い。誘電体膜の表面層の化学処理をどの程度行うのかを制御するためにNH3と共に希ガスを導入することについてのさらなる詳細は特許文献1に記載されている。
それに加えて化学処理プロセス中では、プロセス圧力は、誘電体膜の表面層の化学処理がどの程度行われるのかについて影響を及ぼすように選ばれて良い。そのプロセス圧力は約1mTorrから約100Torrの範囲であって良い。さらに化学処理プロセス中では、基板温度は、誘電体膜の表面層の化学処理をどの程度行うのかについて影響を及ぼすように選ばれて良い。その基板温度は、約10℃から約200℃の範囲であって良い。誘電体膜の表面層の化学処理をどの程度行うのかを制御するための基板温度設定についてのさらなる詳細は特許文献2に記載されている。
熱処理中では、基板温度は約50℃よりも高い温度に昇温されて良く、望ましくは約100℃よりも高い温度に昇温されて良い。それに加えて不活性ガスは基板の熱処理中に導入されて良い。その不活性ガスは希ガス又は窒素を含んで良い。
図1Gに図示されているように、工程280において、残りのハードマスク層120は、上述の乾式除去プロセスのうちのいずれか1つを用いて除去される。あるいはその代わりに、残りのハードマスク層120は、たとえば機械化学研磨(CMP)のような平坦化プロセスを用いた特徴部位のメタライゼーションに続いて除去される。
一の実施例によると、図3Aは、基板上で乾式の非プラズマ除去プロセスを実行する処理システムを表している。処理システム400は、第1処理システム410及び該第1処理システム410と結合する第2処理システム420を有する。たとえば、第1処理システム410は化学処理システムを有して良く、かつ第2処理システム420は熱処理システムを有して良い。あるいはその代わりに、第2処理システム420は、たとえば水洗システムのような基板洗浄システムを有して良い。
また図3Aに図示されているように、搬送システム430は、第1処理システム410及び第2処理システム420に対して基板を搬入出するため、第1処理システム410と結合して良い。また搬送システム430は、多要素製造システム440と基板をやり取りして良い。第1処理システム410、第2処理システム420、及び搬送システム430は、たとえば多要素製造システム440内部に処理要素を有して良い。たとえば多要素製造システム440は、処理要素に対して基板の搬入出を可能にする。処理要素には、エッチングシステム、堆積システム、コーティングシステム、パターニングシステム、計測システム等の装置が含まれる。第1システムで起こる処理と第2システムで起こる処理とを隔離するため、隔離集合体450が、各システムを結合するように利用されて良い。たとえば隔離集合体450は、熱的に隔離するための断熱材、及び真空隔離するためのゲートバルブのうちの少なくとも1つを有して良い。もちろん、処理システム410と420、及び搬送システム430は、如何なる配置で設けられても良い。
あるいはその代わりに他の実施例では、図3Bは基板上で乾式の非プラズマ除去プロセスを実行する処理システム500を表している。処理システム500は、第1処理システム510及び第2処理システム520を有する。たとえば、第1処理システム510は化学処理システムを有して良く、かつ第2処理システム420は熱処理システムを有して良い。あるいはその代わりに、第2処理システム520は、たとえば水洗システムのような基板洗浄システムを有して良い。
また図3Bに図示されているように、搬送システム530は、第1処理システム510に対して基板を搬入出するため、第1処理システム510と結合して良い。また搬送システム530は、第2処理システム520に対して基板を搬入出するため、第2処理システム520と結合して良い。それに加えて搬送システム430は、1つ以上の基板カセット(図示されていない)と基板をやり取りして良い。たとえ図3Bには2つの処理システムしか図示されていないとしても、他の処理システムが搬送システム530へアクセスして良い。他の処理システムには、エッチングシステム、堆積システム、コーティングシステム、パターニングシステム、計測システム等の装置が含まれる。第1システムで起こる処理と第2システムで起こる処理とを隔離するため、隔離集合体550が、各システムを結合するように利用されて良い。たとえば隔離集合体550は、熱的に隔離するための断熱材、及び真空隔離するためのゲートバルブのうちの少なくとも1つを有して良い。それに加えてシステム530は隔離集合体550の一部として機能して良い。
あるいはその代わりに他の実施例では、図3Cは基板上で乾式の非プラズマ除去プロセスを実行する処理システム600を表している。処理システム600は、第1処理システム610及び第2処理システム620を有する。第1処理システム610は、図示された垂直方向で、第2処理システム620上に積層されている。たとえば、第1処理システム610は化学処理システムを有して良く、かつ第2処理システム620は熱処理システムを有して良い。あるいはその代わりに、第2処理システム620は、たとえば水洗システムのような基板洗浄システムを有して良い。
また図3Cに図示されているように、搬送システム630は、第1処理システム610に対して基板を搬入出するため、第1処理システム610と結合して良い。また搬送システム630は、第2処理システム620に対して基板を搬入出するため、第2処理システム620と結合して良い。それに加えて搬送システム630は、1つ以上の基板カセット(図示されていない)と基板をやり取りして良い。たとえ図3Cには2つの処理システムしか図示されていないとしても、他の処理システムが搬送システム630へアクセスして良い。他の処理システムには、エッチングシステム、堆積システム、コーティングシステム、パターニングシステム、計測システム等の装置が含まれる。第1システムで起こる処理と第2システムで起こる処理とを隔離するため、隔離集合体650が、各システムを結合するように利用されて良い。たとえば隔離集合体650は、熱的に隔離するための断熱材、及び真空隔離するためのゲートバルブのうちの少なくとも1つを有して良い。それに加えてシステム630は隔離集合体650の一部として機能して良い。
図4に図示されているように、化学処理システム710は、温度制御された基板ホルダ740、真空排気システム750、及びプロセスガスを化学処理チャンバ711内部の処理空間へ導入するガス分配システム760を有する。温度制御された基板ホルダ740は、化学処理チャンバ711から実質的に断熱され、かつ基板742を支持するように備えられている。真空排気システム750は、化学処理チャンバ711を排気するように、化学処理チャンバ711と結合する。基板742は、搬送開口部794を介して化学処理チャンバ711に搬入出されて良い。
それに加えて化学処理システム710は、チャンバ温度制御システム768と結合するチャンバ温度制御素子766を有する。チャンバ温度制御素子766は、加熱ユニット及び/又は冷却ユニットを有して良い。さらに化学処理チャンバ710は、ガス分配温度制御システム769と結合するガス分配温度制御素子767を有する。ガス分配温度制御素子767は、加熱ユニット及び/又は冷却ユニットを有して良い。
図4に図示されているように、化学処理システム710はさらに、基板ホルダ集合体744を有する基板ホルダ740を有する。基板ホルダ集合体744は、基板742を熱的に制御及び処理する複数の操作機能を供して良い。たとえば、基板ホルダ740及び基板ホルダ集合体744は、基板固定システム(つまり電気的又は機械的固定システム)、加熱システム、冷却システム、及び基板742と基板ホルダ740との間の熱伝導を向上させるための基板背面ガス供給システム等を有しても良いし、又は有していなくても良い。
さらに図4を参照すると、制御装置735が、基板ホルダ集合体744、ガス分配システム760、真空排気システム750、チャンバ温度制御システム768、及びガス分配温度制御システム769と結合して良い。制御装置735は、マイクロプロセッサ、メモリ、及びデジタルI/Oポートを有して良い。デジタルI/Oポートは、化学処理システム710からの出力を監視するだけでなく、化学処理システム710とやり取りをして、かつ化学処理システム710への入力を与えて起動させるのに十分な電圧を発生させることができる。
化学処理システム710についてのさらなる詳細は特許文献3に記載されている。
図5に図示されているように、熱処理システム820は、熱処理チャンバ内部に設けられる温度制御された基板ホルダ870、熱処理チャンバ821を排気する真空排気システム880、及び熱処理チャンバ821と結合する基板リフター集合体890をさらに有する。基板ホルダ870は、熱処理チャンバ821からは実質的に断熱され、かつ基板842を支えるように備えられている。リフター集合体890は、基板842’’又は搬送面を、保持面(実線)と基板ホルダ(破線)との間で垂直方向に並進させて良い。熱処理チャンバ821はさらに、基板842’の熱処理中、たとえばパージガスのような処理ガスを導入するように備えられた上部集合体884を有して良い。基板842’(又は842’’)は、搬送開口部898を介して化学処理チャンバ821へ搬入出されて良い。
それに加えて熱処理チャンバ820は、チャンバ温度制御システム881と結合したチャンバ温度制御素子883を有する。チャンバ温度制御素子883は加熱ユニット及び/又は冷却ユニットを有して良い。さらに熱処理システム820は、上部集合体温度制御システム886と結合した上部集合体温度制御素子885を有する。上部集合体温度制御素子885は加熱ユニット及び/又は冷却ユニットを有して良い。
図5に図示されているように、熱処理システム820は、基板ホルダ温度制御素子876及び基板ホルダ温度制御システム878を有する基板ホルダ870を有する。基板ホルダ温度制御素子876は、たとえば抵抗加熱素子のような加熱素子を有して良い。さらにたとえば基板ホルダ870は、基板固定システム(つまり電気的又は機械的固定システム)、他の加熱システム、冷却システム、及び基板842’と基板ホルダ870との間の熱伝導を向上させるための基板背面ガス供給システム等を有しても良いし、又は有していなくても良い。
さらに図5を参照すると、制御装置875は、上部集合体884、真空排気システム880、チャンバ温度制御システム881、上部集合体温度制御システム886、基板ホルダ温度制御システム878、及び基板リフター集合体890と結合して良い。制御装置875が、マイクロプロセッサ、メモリ、及びデジタルI/Oポートを有して良い。デジタルI/Oポートは、熱処理システム820からの出力を監視するだけでなく、熱処理システム820とやり取りをして、かつ熱処理システム820への入力を与えて起動させるのに十分な電圧を発生させることができる。
熱処理システム820に関するさらなる詳細は特許文献4に記載されている。
これまで、本発明のある特定の典型的実施例しか記載されていないが、当業者は、本発明の新規な教示及び利点から実質的に逸脱することなく、その典型的実施例の範囲内で多くの修正型が可能であることをすぐに理解する。
A-Gは、バックエンド層間/層内接続構造中に溝又はビアを作製するときの基板処理の典型的な手順を図示している。 本発明の実施例による基板の処理方法を図示している。 A-Cは、本発明の他の実施例による処理システムの概略的代表例を表している。 本発明の他の実施例による化学処理システムを表している。 本発明の他の実施例による熱処理システムを表している。

Claims (18)

  1. 基板上の誘電体膜を処理する方法であって、
    当該方法は:
    エッチングプラズマ、アッシングプラズマ、若しくは湿式洗浄化学物質、又は上記2以上の組合せに表面層が曝露された前記誘電体膜を有する前記基板を処理システム内に設ける工程;及び
    前記誘電体膜上で非プラズマ除去プロセスを実行する工程;
    を有し、
    前記除去プロセスは:
    前記表面層を化学的に変化させるためにHF、NH3、又はこれらの混合物を有する処理ガスに、前記誘電体膜上の前記表面層を曝露する工程;並びに
    前記の化学的に変化した表面層の吸着物を除去するため、前記の化学的に変化した表面層を熱処理する工程;
    を有し、
    前記曝露する工程は1.6乃至2.7の範囲の誘電率を有する誘電体膜の表面層を曝露する工程を有する、
    方法。
  2. 前記曝露する工程が、有孔性誘電体膜若しくは非有孔性誘電体膜、又はこれらの混合誘電体膜の表面層を曝露する工程を有する、請求項1に記載の方法。
  3. 前記曝露する工程が、単相材料有孔性誘電体膜若しくは二相材料有孔性誘電体膜、又はこれらが混合した有孔性誘電体膜の表面層を曝露する工程を有する、請求項1に記載の方法。
  4. 前記曝露する工程が、有機材料若しくは無機材料、又はこれらの混合材料を有する膜の表面層を曝露する工程を有する、請求項1に記載の方法。
  5. 前記曝露する工程が、シリケートベースの材料を有する膜の表面層を曝露する工程を有する、請求項4に記載の方法。
  6. 前記曝露する工程が、シリコン、炭素、及び酸素を有する複合膜の表面層を曝露する工程を有する、請求項4に記載の方法。
  7. 前記曝露する工程が、さらに水素を有する前記複合膜の表面層を曝露する工程を有する、請求項6に記載の方法。
  8. 前記曝露する工程が、1mTorrから100Torrの範囲のプロセス圧力で実行される、請求項1に記載の方法。
  9. 前記曝露する工程が、前記基板温度を10℃から200℃の範囲の温度に維持したまま実行される、請求項1に記載の方法。
  10. 前記曝露する工程が、さらに不活性ガスを有する処理ガスに前記基板を曝露する工程を有する、請求項1に記載の方法。
  11. 前記曝露する工程が、さらに希ガスを有する処理ガスに前記基板を曝露する工程を有する、請求項10に記載の方法。
  12. 前記熱処理する工程が前記基板の温度を50℃よりも高い温度に昇温する工程を有する、請求項1に記載の方法。
  13. 前記熱処理する工程が前記基板の温度を100℃よりも高い温度に昇温する工程を有する、請求項1に記載の方法。
  14. 前記熱処理する工程が、不活性ガスを導入する工程中に実行される、請求項13に記載の方法。
  15. 前記導入する工程が窒素を導入する工程をさらに有する、請求項14に記載の方法。
  16. 基板上に形成されるlow-k膜内に特徴部位を形成する方法であって:
    上に前記low-k膜を有する前記基板をプロセスチャンバ内に設ける工程;
    前記low-k膜内に形成されるlow-k特徴部位に相当するパターン特徴部位を有するマスクパターンを前記low-k膜上に形成する工程;
    ドライプラズマエッチングプロセスを用いて前記のlow-k膜内に形成されるlow-k特徴部位をエッチングする工程;並びに
    前記low-k特徴部位上で乾式の非プラズマ除去プロセスを実行して、前記プラズマエッチングプロセスによって損傷を受ける、及び/又は活性化する前記low-k特徴部位の表面層を除去する工程;
    を有し、
    前記の乾式の非プラズマ除去プロセスを実行する工程が:
    前記表面層を化学的に変化させるため、HF、若しくはNH3、又は上記の混合物を有する処理ガスに前記low-k特徴部位上の表面層を曝露する工程;及び
    前記の化学的に変化した表面層の吸着物を除去するため、前記の化学的に変化した表面層を熱処理する工程;
    を有し、
    前記曝露する工程は1.6乃至2.7の範囲の誘電率を有する誘電体膜の表面層を曝露する工程を有する、
    方法。
  17. 前記形成する工程が、前記ドライプラズマエッチングプロセスを実行する前に、前記low-k特徴部位の限界寸法未満の限界寸法を有するマスクパターンを形成する工程を有する、請求項16に記載の方法。
  18. 前記low-k特徴部位が、相互接続ビア、若しくは配線用の溝、又は上記の組合せを有する、請求項17に記載の方法。
JP2009502776A 2006-03-28 2007-01-30 損傷を受けた誘電材料の除去方法 Expired - Fee Related JP5271255B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/390,193 US7795148B2 (en) 2006-03-28 2006-03-28 Method for removing damaged dielectric material
US11/390,193 2006-03-28
PCT/US2007/002374 WO2007126461A2 (en) 2006-03-28 2007-01-30 Method for removing damaged dielectric material

Publications (3)

Publication Number Publication Date
JP2009531857A JP2009531857A (ja) 2009-09-03
JP2009531857A5 JP2009531857A5 (ja) 2010-03-11
JP5271255B2 true JP5271255B2 (ja) 2013-08-21

Family

ID=38574058

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009502776A Expired - Fee Related JP5271255B2 (ja) 2006-03-28 2007-01-30 損傷を受けた誘電材料の除去方法

Country Status (6)

Country Link
US (1) US7795148B2 (ja)
JP (1) JP5271255B2 (ja)
KR (1) KR101283837B1 (ja)
CN (1) CN101454876B (ja)
TW (1) TWI385728B (ja)
WO (1) WO2007126461A2 (ja)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4939864B2 (ja) * 2006-07-25 2012-05-30 東京エレクトロン株式会社 ガス供給装置、ガス供給方法、薄膜形成装置の洗浄方法、薄膜形成方法及び薄膜形成装置
US7786016B2 (en) * 2007-01-11 2010-08-31 Micron Technology, Inc. Methods of uniformly removing silicon oxide and a method of removing a sacrificial oxide
US8252194B2 (en) * 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US8382997B2 (en) * 2010-08-16 2013-02-26 Tokyo Electron Limited Method for high aspect ratio patterning in a spin-on layer
CN102420121B (zh) * 2011-05-26 2013-12-04 上海华力微电子有限公司 一种针对氟基等离子体刻蚀后的氮化钛薄膜的处理方法
CN102437037B (zh) * 2011-09-08 2014-06-04 上海华力微电子有限公司 一种有效减少水痕缺陷的方法
CN103094190B (zh) * 2011-11-01 2015-04-29 中芯国际集成电路制造(上海)有限公司 互连层中空气间隙的形成方法
US8551877B2 (en) 2012-03-07 2013-10-08 Tokyo Electron Limited Sidewall and chamfer protection during hard mask removal for interconnect patterning
US8809194B2 (en) 2012-03-07 2014-08-19 Tokyo Electron Limited Formation of SiOCl-containing layer on spacer sidewalls to prevent CD loss during spacer etch
US8592327B2 (en) 2012-03-07 2013-11-26 Tokyo Electron Limited Formation of SiOCl-containing layer on exposed low-k surfaces to reduce low-k damage
US8859430B2 (en) 2012-06-22 2014-10-14 Tokyo Electron Limited Sidewall protection of low-K material during etching and ashing
US8871639B2 (en) 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
CN103646872A (zh) * 2013-11-26 2014-03-19 上海华力微电子有限公司 一种去胶设备
US9508561B2 (en) 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
CN106605451A (zh) 2014-09-12 2017-04-26 应用材料公司 用于处理半导体处理设备排放物的控制器
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9806252B2 (en) * 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
TWI610361B (zh) 2015-06-26 2018-01-01 東京威力科創股份有限公司 具有可控制的含矽抗反射塗層或矽氮氧化物相對於不同薄膜或遮罩之蝕刻選擇性的氣相蝕刻
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
FR3041471B1 (fr) 2015-09-18 2018-07-27 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de formation des espaceurs d'une grille d'un transistor
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
CN107845574B (zh) * 2017-10-31 2018-11-23 长鑫存储技术有限公司 半导体上刻蚀去除氧化物的方法
WO2019190781A1 (en) 2018-03-30 2019-10-03 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
US10982335B2 (en) * 2018-11-15 2021-04-20 Tokyo Electron Limited Wet atomic layer etching using self-limiting and solubility-limited reactions
CN110928142B (zh) * 2019-11-28 2023-08-29 北京遥测技术研究所 一种光刻厚胶与金属基底结合力的改善方法

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5685951A (en) * 1996-02-15 1997-11-11 Micron Technology, Inc. Methods and etchants for etching oxides of silicon with low selectivity in a vapor phase system
US5838055A (en) * 1997-05-29 1998-11-17 International Business Machines Corporation Trench sidewall patterned by vapor phase etching
US6627539B1 (en) 1998-05-29 2003-09-30 Newport Fab, Llc Method of forming dual-damascene interconnect structures employing low-k dielectric materials
JP3662472B2 (ja) * 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
US6451712B1 (en) * 2000-12-18 2002-09-17 International Business Machines Corporation Method for forming a porous dielectric material layer in a semiconductor device and device formed
US6541351B1 (en) * 2001-11-20 2003-04-01 International Business Machines Corporation Method for limiting divot formation in post shallow trench isolation processes
JP2003234402A (ja) * 2002-02-12 2003-08-22 Tokyo Electron Ltd 半導体製造方法及び半導体製造装置
US6858532B2 (en) * 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US7877161B2 (en) 2003-03-17 2011-01-25 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US6951821B2 (en) * 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
TWI220774B (en) * 2003-11-03 2004-09-01 Univ Nat Sun Yat Sen Method for patterning low dielectric constant film and method for manufacturing dual damascene structure
US20050227494A1 (en) * 2004-03-30 2005-10-13 Tokyo Electron Limited Processing system and method for treating a substrate
US20050218113A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for adjusting a chemical oxide removal process using partial pressure
US20050269291A1 (en) * 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
US7097779B2 (en) * 2004-07-06 2006-08-29 Tokyo Electron Limited Processing system and method for chemically treating a TERA layer
JP4860219B2 (ja) * 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
US7510972B2 (en) * 2005-02-14 2009-03-31 Tokyo Electron Limited Method of processing substrate, post-chemical mechanical polishing cleaning method, and method of and program for manufacturing electronic device
JP4515309B2 (ja) * 2005-03-31 2010-07-28 東京エレクトロン株式会社 エッチング方法
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7214626B2 (en) * 2005-08-24 2007-05-08 United Microelectronics Corp. Etching process for decreasing mask defect
US7288483B1 (en) * 2006-03-28 2007-10-30 Tokyo Electron Limited Method and system for patterning a dielectric film
US20070238301A1 (en) * 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
US7368393B2 (en) * 2006-04-20 2008-05-06 International Business Machines Corporation Chemical oxide removal of plasma damaged SiCOH low k dielectrics
US7718032B2 (en) * 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
US7786016B2 (en) * 2007-01-11 2010-08-31 Micron Technology, Inc. Methods of uniformly removing silicon oxide and a method of removing a sacrificial oxide
KR101330707B1 (ko) * 2007-07-19 2013-11-19 삼성전자주식회사 반도체 장치의 형성 방법

Also Published As

Publication number Publication date
KR20080109886A (ko) 2008-12-17
US20070235411A1 (en) 2007-10-11
CN101454876A (zh) 2009-06-10
TWI385728B (zh) 2013-02-11
WO2007126461A2 (en) 2007-11-08
CN101454876B (zh) 2011-07-27
TW200802603A (en) 2008-01-01
JP2009531857A (ja) 2009-09-03
WO2007126461A3 (en) 2008-08-14
US7795148B2 (en) 2010-09-14
KR101283837B1 (ko) 2013-07-08

Similar Documents

Publication Publication Date Title
JP5271255B2 (ja) 損傷を受けた誘電材料の除去方法
US7723237B2 (en) Method for selective removal of damaged multi-stack bilayer films
TWI488239B (zh) 互連線結構中之絕緣介電質的覆蓋層之移除方法
US7083991B2 (en) Method of in-situ treatment of low-k films with a silylating agent after exposure to oxidizing environments
TWI654683B (zh) 蝕刻雙鑲嵌結構中的介電阻隔層之方法
US7611986B2 (en) Dual damascene patterning method
US7541200B1 (en) Treatment of low k films with a silylating agent for damage repair
US7288483B1 (en) Method and system for patterning a dielectric film
KR101143727B1 (ko) 반도체 장치의 제조 방법 및 기억 매체
EP1209728A2 (en) Method of depositing organosilicate layers
JP4999419B2 (ja) 基板処理方法および基板処理システム、ならびにコンピュータ読取可能な記憶媒体
JP2009010043A (ja) 基板処理方法,基板処理装置,記録媒体
US8288252B2 (en) Method for recovering damaged components in lower region of low dielectric insulating film
US6713386B1 (en) Method of preventing resist poisoning in dual damascene structures
JP4586626B2 (ja) エッチング方法および半導体装置の製造方法
EP1646083B1 (en) Alternative dual damascene patterning approach
JP2007129003A (ja) 半導体装置の製造方法および残留物質除去装置
JP2006059848A (ja) レジスト除去方法及び半導体装置の製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100121

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100121

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120905

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120911

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121112

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121204

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130204

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130226

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130408

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130430

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130510

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees