JP2009531857A5 - - Google Patents

Download PDF

Info

Publication number
JP2009531857A5
JP2009531857A5 JP2009502776A JP2009502776A JP2009531857A5 JP 2009531857 A5 JP2009531857 A5 JP 2009531857A5 JP 2009502776 A JP2009502776 A JP 2009502776A JP 2009502776 A JP2009502776 A JP 2009502776A JP 2009531857 A5 JP2009531857 A5 JP 2009531857A5
Authority
JP
Japan
Prior art keywords
exposing
surface layer
low
film
dielectric film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009502776A
Other languages
English (en)
Other versions
JP5271255B2 (ja
JP2009531857A (ja
Filing date
Publication date
Priority claimed from US11/390,193 external-priority patent/US7795148B2/en
Application filed filed Critical
Publication of JP2009531857A publication Critical patent/JP2009531857A/ja
Publication of JP2009531857A5 publication Critical patent/JP2009531857A5/ja
Application granted granted Critical
Publication of JP5271255B2 publication Critical patent/JP5271255B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Claims (20)

  1. 基板上の誘電体膜を処理する方法であって、
    当該方法は:
    エッチングプラズマ、アッシングプラズマ、若しくは湿式洗浄化学物質、又は上記2以上の組合せに表面層が曝露された前記誘電体膜を有する前記基板を処理システム内に設ける工程;及び
    前記誘電体膜上で非プラズマ除去プロセスを実行する工程;
    を有し、
    前記除去プロセスは:
    前記表面層を化学的に変化させるためにHF、NH3、又はこれらの混合物を有する処理ガスに前記誘電体膜上の前記表面層を曝露する工程;並びに
    前記の化学的に変化した表面層の吸着物を除去するため、前記の化学的に変化した表面層を熱処理する工程;
    を有する、
    方法。
  2. 前記曝露する工程が、1.6から2.7の範囲の誘電率を有する誘電体膜の表面層を曝露する工程を有する、請求項1に記載の方法。
  3. 前記曝露する工程が、有孔性誘電体膜若しくは非有孔性誘電体膜、又はこれらの混合誘電体膜の表面層を曝露する工程を有する、請求項1に記載の方法。
  4. 前記曝露する工程が、単相材料有孔性誘電体膜若しくは二相材料有孔性誘電体膜、又はこれらが混合した有孔性誘電体膜の表面層を曝露する工程を有する、請求項1に記載の方法。
  5. 前記曝露する工程が、有機材料若しくは無機材料、又はこれらの混合材料を有する膜の表面層を曝露する工程を有する、請求項1に記載の方法。
  6. 前記曝露する工程が、シリケートベースの材料を有する膜の表面層を曝露する工程を有する、請求項5に記載の方法。
  7. 前記曝露する工程が、シリコン、炭素、及び酸素を有する複合膜の表面層を曝露する工程を有する、請求項5に記載の方法。
  8. 前記曝露する工程が、さらに水素を有する前記複合膜の表面層を曝露する工程を有する、請求項7に記載の方法。
  9. 前記曝露する工程が、約1mTorrから約100Torrの範囲のプロセス圧力で実行される、請求項1に記載の方法。
  10. 前記曝露する工程が、前記基板温度を約10℃から約200℃の範囲の温度に維持したまま実行される、請求項1に記載の方法。
  11. 前記曝露する工程が、さらに不活性ガスを有する処理ガスに前記基板を曝露する工程を有する、請求項1に記載の方法。
  12. 前記曝露する工程が、さらに希ガスを有する処理ガスに前記基板を曝露する工程を有する、請求項11に記載の方法。
  13. 前記熱処理する工程が前記基板の温度を約50℃よりも高い温度に昇温する工程を有する、請求項1に記載の方法。
  14. 前記熱処理する工程が前記基板の温度を約100℃よりも高い温度に昇温する工程を有する、請求項1に記載の方法。
  15. 前記熱処理する工程が、不活性ガスを導入する工程中に実行される、請求項14に記載の方法。
  16. 前記導入する工程が窒素を導入する工程をさらに有する、請求項15に記載の方法。
  17. 基板上に形成されるlow-k膜内に特徴部位を形成する方法であって:
    上に前記low-k膜を有する前記基板をプロセスチャンバ内に設ける工程;
    前記low-k膜内に形成されるlow-k特徴部位に相当するパターン特徴部位を有するマスクパターンを前記low-k膜上に形成する工程;
    ドライプラズマエッチングプロセスを用いて前記のlow-k膜内に形成されるlow-k特徴部位をエッチングする工程;並びに
    前記low-k特徴部位上で乾式の非プラズマエッチングプロセスを実行して、前記プラズマエッチングプロセスによって損傷を受ける、及び/又は活性化する前記low-k特徴部位の表面層を除去する工程;
    を有する方法。
  18. 前記形成する工程が、前記ドライプラズマ除去プロセスを補償するため、前記low-k特徴部位の限界寸法未満の限界寸法を有するマスクパターンを形成する工程を有する、請求項17に記載の方法。
  19. 前記low-k特徴部位が、相互接続ビア、若しくはワイヤとなる溝、又は上記の組合せを有する、請求項18に記載の方法。
  20. 前記の乾式の非プラズマエッチングプロセスを実行する工程が:
    前記表面層を化学的に変化させるため、HF、若しくはNH3、又は上記の混合物を有する処理ガスに前記low-k特徴部位上の表面層を曝露する工程;及び
    前記の化学的に変化した表面層の吸着物を除去するため、前記の化学的に変化した表面層を熱処理する工程;
    を有する、
    請求項19に記載の方法。
JP2009502776A 2006-03-28 2007-01-30 損傷を受けた誘電材料の除去方法 Expired - Fee Related JP5271255B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/390,193 2006-03-28
US11/390,193 US7795148B2 (en) 2006-03-28 2006-03-28 Method for removing damaged dielectric material
PCT/US2007/002374 WO2007126461A2 (en) 2006-03-28 2007-01-30 Method for removing damaged dielectric material

Publications (3)

Publication Number Publication Date
JP2009531857A JP2009531857A (ja) 2009-09-03
JP2009531857A5 true JP2009531857A5 (ja) 2010-03-11
JP5271255B2 JP5271255B2 (ja) 2013-08-21

Family

ID=38574058

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009502776A Expired - Fee Related JP5271255B2 (ja) 2006-03-28 2007-01-30 損傷を受けた誘電材料の除去方法

Country Status (6)

Country Link
US (1) US7795148B2 (ja)
JP (1) JP5271255B2 (ja)
KR (1) KR101283837B1 (ja)
CN (1) CN101454876B (ja)
TW (1) TWI385728B (ja)
WO (1) WO2007126461A2 (ja)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4939864B2 (ja) * 2006-07-25 2012-05-30 東京エレクトロン株式会社 ガス供給装置、ガス供給方法、薄膜形成装置の洗浄方法、薄膜形成方法及び薄膜形成装置
US7786016B2 (en) * 2007-01-11 2010-08-31 Micron Technology, Inc. Methods of uniformly removing silicon oxide and a method of removing a sacrificial oxide
US8252194B2 (en) * 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US8382997B2 (en) * 2010-08-16 2013-02-26 Tokyo Electron Limited Method for high aspect ratio patterning in a spin-on layer
CN102420121B (zh) * 2011-05-26 2013-12-04 上海华力微电子有限公司 一种针对氟基等离子体刻蚀后的氮化钛薄膜的处理方法
CN102437037B (zh) * 2011-09-08 2014-06-04 上海华力微电子有限公司 一种有效减少水痕缺陷的方法
CN103094190B (zh) * 2011-11-01 2015-04-29 中芯国际集成电路制造(上海)有限公司 互连层中空气间隙的形成方法
US8592327B2 (en) 2012-03-07 2013-11-26 Tokyo Electron Limited Formation of SiOCl-containing layer on exposed low-k surfaces to reduce low-k damage
US8551877B2 (en) 2012-03-07 2013-10-08 Tokyo Electron Limited Sidewall and chamfer protection during hard mask removal for interconnect patterning
US8809194B2 (en) 2012-03-07 2014-08-19 Tokyo Electron Limited Formation of SiOCl-containing layer on spacer sidewalls to prevent CD loss during spacer etch
US8859430B2 (en) 2012-06-22 2014-10-14 Tokyo Electron Limited Sidewall protection of low-K material during etching and ashing
US8871639B2 (en) * 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
CN103646872A (zh) * 2013-11-26 2014-03-19 上海华力微电子有限公司 一种去胶设备
US9508561B2 (en) * 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
KR20220020409A (ko) 2014-09-12 2022-02-18 어플라이드 머티어리얼스, 인코포레이티드 반도체 프로세싱 장비 유출물의 처리를 위한 제어기
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9806252B2 (en) * 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US10971372B2 (en) 2015-06-26 2021-04-06 Tokyo Electron Limited Gas phase etch with controllable etch selectivity of Si-containing arc or silicon oxynitride to different films or masks
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
FR3041471B1 (fr) * 2015-09-18 2018-07-27 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de formation des espaceurs d'une grille d'un transistor
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
CN107845574B (zh) * 2017-10-31 2018-11-23 长鑫存储技术有限公司 半导体上刻蚀去除氧化物的方法
WO2019190781A1 (en) 2018-03-30 2019-10-03 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
US10982335B2 (en) * 2018-11-15 2021-04-20 Tokyo Electron Limited Wet atomic layer etching using self-limiting and solubility-limited reactions
CN110928142B (zh) * 2019-11-28 2023-08-29 北京遥测技术研究所 一种光刻厚胶与金属基底结合力的改善方法

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5685951A (en) * 1996-02-15 1997-11-11 Micron Technology, Inc. Methods and etchants for etching oxides of silicon with low selectivity in a vapor phase system
US5838055A (en) * 1997-05-29 1998-11-17 International Business Machines Corporation Trench sidewall patterned by vapor phase etching
US6627539B1 (en) * 1998-05-29 2003-09-30 Newport Fab, Llc Method of forming dual-damascene interconnect structures employing low-k dielectric materials
JP3662472B2 (ja) * 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
US6451712B1 (en) * 2000-12-18 2002-09-17 International Business Machines Corporation Method for forming a porous dielectric material layer in a semiconductor device and device formed
US6541351B1 (en) * 2001-11-20 2003-04-01 International Business Machines Corporation Method for limiting divot formation in post shallow trench isolation processes
JP2003234402A (ja) * 2002-02-12 2003-08-22 Tokyo Electron Ltd 半導体製造方法及び半導体製造装置
US6858532B2 (en) * 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US7877161B2 (en) 2003-03-17 2011-01-25 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US6951821B2 (en) * 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
TWI220774B (en) * 2003-11-03 2004-09-01 Univ Nat Sun Yat Sen Method for patterning low dielectric constant film and method for manufacturing dual damascene structure
US20050218113A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for adjusting a chemical oxide removal process using partial pressure
US20050227494A1 (en) * 2004-03-30 2005-10-13 Tokyo Electron Limited Processing system and method for treating a substrate
US20050269291A1 (en) * 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
US7097779B2 (en) * 2004-07-06 2006-08-29 Tokyo Electron Limited Processing system and method for chemically treating a TERA layer
JP4860219B2 (ja) * 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
US7510972B2 (en) * 2005-02-14 2009-03-31 Tokyo Electron Limited Method of processing substrate, post-chemical mechanical polishing cleaning method, and method of and program for manufacturing electronic device
JP4515309B2 (ja) * 2005-03-31 2010-07-28 東京エレクトロン株式会社 エッチング方法
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7214626B2 (en) * 2005-08-24 2007-05-08 United Microelectronics Corp. Etching process for decreasing mask defect
US7288483B1 (en) * 2006-03-28 2007-10-30 Tokyo Electron Limited Method and system for patterning a dielectric film
US20070238301A1 (en) * 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
US7368393B2 (en) * 2006-04-20 2008-05-06 International Business Machines Corporation Chemical oxide removal of plasma damaged SiCOH low k dielectrics
US7718032B2 (en) * 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
US7786016B2 (en) * 2007-01-11 2010-08-31 Micron Technology, Inc. Methods of uniformly removing silicon oxide and a method of removing a sacrificial oxide
KR101330707B1 (ko) * 2007-07-19 2013-11-19 삼성전자주식회사 반도체 장치의 형성 방법

Similar Documents

Publication Publication Date Title
JP2009531857A5 (ja)
KR102403102B1 (ko) 반도체 처리 장치
TWI778793B (zh) 用於高深寬比結構之移除方法
TWI541062B (zh) 乾式剝離硼-碳膜的方法
US7585777B1 (en) Photoresist strip method for low-k dielectrics
TWI385728B (zh) 受損介電材料之移除方法
KR101908737B1 (ko) 작은-k 절연체를 위한 저손상 포토레지스트 스트립 방법
TWI364783B (ja)
JP2015504239A5 (ja)
JP5342811B2 (ja) 半導体装置の製造方法
US6680164B2 (en) Solvent free photoresist strip and residue removal processing for post etching of low-k films
TWI587390B (zh) 用以蝕刻有機硬遮罩之方法
TWI456691B (zh) 基板之處理方法,電子裝置之製造方法及程式
JP2009530871A5 (ja)
JP4999419B2 (ja) 基板処理方法および基板処理システム、ならびにコンピュータ読取可能な記憶媒体
TW201300567A (zh) 藉由紫外線輔助之光化學沉積而介電回復電漿損壞之低介電常數薄膜
JP2010050310A (ja) 半導体装置の製造方法
JP2006270004A (ja) レジスト膜の除去方法および除去装置
US20050158667A1 (en) Solvent free photoresist strip and residue removal processing for post etching of low-k films
TWI716818B (zh) 形成氣隙的系統及方法
JP2006148122A (ja) 半導体基板上の金属構造から残留物を除去するための方法
JP2009016446A (ja) 半導体装置の製造方法及び記憶媒体
TW202314829A (zh) 金屬氧化物定向移除
JP5493165B2 (ja) 半導体装置の製造方法
JP2007266490A (ja) 基板の処理方法および半導体装置の製造方法