TWI488239B - 互連線結構中之絕緣介電質的覆蓋層之移除方法 - Google Patents

互連線結構中之絕緣介電質的覆蓋層之移除方法 Download PDF

Info

Publication number
TWI488239B
TWI488239B TW099143257A TW99143257A TWI488239B TW I488239 B TWI488239 B TW I488239B TW 099143257 A TW099143257 A TW 099143257A TW 99143257 A TW99143257 A TW 99143257A TW I488239 B TWI488239 B TW I488239B
Authority
TW
Taiwan
Prior art keywords
layer
substrate
insulating film
preparing
insulating layer
Prior art date
Application number
TW099143257A
Other languages
English (en)
Other versions
TW201126605A (en
Inventor
Yannick Feurprier
Douglas M Trickett
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201126605A publication Critical patent/TW201126605A/zh
Application granted granted Critical
Publication of TWI488239B publication Critical patent/TWI488239B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Description

互連線結構中之絕緣介電質的覆蓋層之移除方法
本發明係關於一種從基板選擇性移除材料層的方法,尤其係關於一種選擇性移除覆蓋於絕緣介電質之覆蓋層的方法。
如熟習半導體技藝者所知悉,互連線延遲(interconnect delay)係改善積體電路(IC,integrated circuits)速度與性能的主要限制因素。一種使互連線延遲降至最低的方式為藉由在IC製造期間使用低介電常數(low-k)材料來降低互連線電容。吾人已證明此種低介電常數材料亦可用於低溫處理。因此,近年來,已發展出低介電常數材料來替代具有相當高之介電常數的絕緣材料,例如二氧化矽。尤其,低介電常數膜正被用於半導體裝置之金屬層之間的層間(inter-level)與層內(intra-level)介電層。此外,為了進一步降低絕緣材料的介電常數,將材料膜形成具有孔洞,即多孔性低介電常數介電膜。此種低介電常數膜可藉由類似於光阻塗佈的旋塗介電質(SOD,spin-on dielectric)法、或藉由化學氣相沉積(CVD,chemical vapor deposition)而沉積。因此,低介電常數材料的用途可輕易地適應既有的半導體製造程序。
雖然低介電常數材料對於半導體電路的製造而言具有前景,但本案發明人已認知到這些膜亦會提供許多挑戰。首先,低介電常數膜係傾向於比其他傳統介電層更為不堅固,並且在晶圓處理期間,可能會例如因為一般用於圖案化介電層的蝕刻與電漿灰化製程而受到損害。又,某些低介電常數膜在受損時(尤其在圖案化之後)係傾向於具有高反應性,因此會使低介電常數材料吸收水份、及/或與其他蒸氣及/或製程污染物反應,此可能會修改介電層的電性。
當移除低介電常數膜的受損表層時,可能會在低介電常數膜堆疊體的硬遮罩或介電覆蓋層的下方形成底切(undercut)。因此,此底切會在低介電常數膜中所形成之圖案的金屬化(即,阻障層形成與金屬填充)期間造成挑戰。
本發明係關於一種從基板選擇性移除材料層的方法,尤其,係關於一種選擇性移除覆蓋於絕緣介電質上之覆蓋層的方法。
本發明更關於一種圖案化絕緣層並且選擇性移除覆蓋於絕緣層上之覆蓋層的方法,其中此方法係利用乾式非電漿移除製程。此乾式非電漿移除製程可包含自限製程。
依照一種實施例,說明一種在基板上製備低介電常數(low-k)層的方法。此方法包含下列步驟:將基板配置在處理系統中,此基板具有絕緣層以及覆蓋於此絕緣層上的覆蓋層,其中將圖案轉印到此覆蓋層以及此絕緣層,以形成穿過此覆蓋層並位於此絕緣層內的特徵部,且其中在圖案轉印期間,將此絕緣層的表層曝露於蝕刻電漿。此方法更包含下列步驟:在此覆蓋層上執行乾式非電漿移除製程,以移除該覆蓋層。
在以下說明中,為了促進對本發明的徹底瞭解並且為了解釋而非限定之目的,提出具體細節,例如處理系統的特定幾何形狀以及在此所使用之各種構件與製程的說明。然而,吾人應瞭解可在背離這些具體細節的其他實施例中實施本發明。
同樣地,為了解釋之目的,提出具體的數量、材料、以及構造,以提供對本發明的徹底瞭解。然而,在不具有這些具體細節的情況下,仍可實施本發明。再者,吾人可瞭解到圖式所示之各種實施例僅為示例性的代表並且不一定係依尺寸繪製。
參照整個本說明書中的「一種實施例」或「一實施例」或其變形,其係指結合此實施例所述的特定特徵部、結構、材料、或性質係包含在本發明的至少一實施例中,但並不表示其存在於每一實施例中。因此,在整個說明書各處所出現之例如「在一種實施例中」或「在一實施例中」的詞組,不必然係指本發明之同一實施例。再者,在一或多個實施例中,可以適當的方式來組合這些特定特徵部、結構、材料、或性質。在其他實施例中,可包含各種額外的層及/或結構,及/或可省略已敘述過的特徵。
以最有助於瞭解本發明的方式,將各種操作依序描述成多個分離的操作。然而,不應將說明的順序解釋為暗示這些操作必須依照順序。尤其,不必然要以陳述的順序來執行這些操作。吾人可以不同於所述之實施例的順序來執行所述之操作。在附加實施例中,可執行各種額外的操作,及/或可省略已敘述過的操作。
如在此所使用之「基板」一般係指依照本發明所處理的對象。此基板可包含裝置(尤其係半導體裝置或其他電子裝置)的任何材料部分或結構,並且舉例而言,其可為底基板(base substrate)結構,例如半導體晶圓,或者可為位於或覆蓋於底基板結構上的層,例如薄膜。因此,基板並非意指限制於任何圖案化或未圖案化之特定底結構、下伏層或上覆層,而是考慮到包含任何此種層或底結構、以及層及/或底結構的任何組合。以下說明可能涉及特定種類的基板,但此僅為例示性目的而非限制。
在材料處理方法學中,圖案蝕刻包含將例如光阻的感光材料薄層塗佈至基板的上表面,接著將其圖案化以提供遮罩,此遮罩可在蝕刻期間將此圖案轉印到位於基板上的下伏薄膜。感光材料的圖案化一般係涉及使用例如一微影系統將感光材料曝露至電磁(EM,electro-magnetic)輻射的幾何圖案,然後使用顯影液來移除感光材料的被照射區域(例如正光阻的情況)、或未照射區域(例如負光阻的情況)。
此外,可裝設多層遮罩以及硬遮罩,以在薄膜中蝕刻特徵部。舉例而言,當使用硬遮罩在薄膜中蝕刻特徵部時,係使用薄膜主要蝕刻步驟之前的分離蝕刻步驟,將感光層中的遮罩圖案轉印到硬遮罩層。此硬遮罩例如可選自於用在矽處理的數種材料,此材料包括含矽材料或含碳材料或其組合,例如二氧化矽(SiO2 )、氮化矽(Si3 N4 )、以及碳。又,形成在薄膜內的特徵部亦可包含額外的層,例如但不限於蝕刻停止層、化學機械平坦化(CMP,chemical-mechanical planarization)停止層、覆蓋層等等。
以下參照圖式,其中,在整體數個視圖中相同的參考符號係指定相同或對應的部分。依照一實施例,圖1A到1H顯示在基板上製備具有絕緣層之膜堆疊體100的方法。此方法可結合本發明之各種實施樣態,其包含使用覆蓋層作為硬遮罩層或部分的硬遮罩層,以對絕緣層進行圖案化。在移除絕緣層因為圖案蝕刻處理或灰化處理而受損的表面/層之前、期間、或之後,將覆蓋層移除。然而,圖1A-1H中之製程的實施樣態可如下所述彼此分開來使用。此外,依照一種實施例,圖2係呈現用以執行此方法的流程圖200。
如圖1A、1B、以及2所示,於步驟210中,在可或可不包含額外層之基板140的上表面上形成絕緣層130。基板140可為半導體、金屬導體、或任何其他基板,吾人可將絕緣層形成至其上。絕緣層可包含介電層,例如低介電常數(low-k)介電層,其具有小於SiO2 之介電常數(約為4,例如熱二氧化矽的介電常數可從3.8分佈至3.9)的標稱(nominal)介電常數值。更具體而言,絕緣層130可具有小於3.0的介電常數、或從1.6分佈至2.7的介電常數。
絕緣層130可包含有機、無機、或無機-有機混合材料至少其中一者。此外,絕緣層130可為多孔性或非多孔性。另外,絕緣層130可包含硬化的低介電常數介電層或未硬化的介電材料。
舉例來說,這些介電層可包含無機、以矽酸鹽基礎的材料,例如使用CVD技術所沉積之摻雜碳的氧化矽(或有機矽氧烷)。此種膜的範例包含從Applied Materials,Inc.所購得的BLACK DIAMOND CVD有機矽酸鹽玻璃(OSG,organosilicate glass)膜(用於半導體處理的絕緣材料),或者從Novellus Systems,Inc.所購得的CORAL CVD膜(用於半導體處理的絕緣材料)。
或者,這些介電層可包含由單相所構成的多孔性無機-有機混合膜,例如具有CH3 鍵之以氧化矽為基礎的基質(matrix),這些CH3 鍵可在硬化或沉積處理期間阻礙此膜之完全緻密化,以產生細小的空隙(或孔洞)。又或者,這些介電層可包含由至少兩相所構成的多孔性無機-有機混合膜,例如具有多孔性有機材料(例如致孔劑(porogen))之摻雜碳的以氧化矽為基礎的基質,此多孔性有機材料會在硬化期間分解與蒸發。
又或者,這些介電層可包含無機、以矽酸鹽為基礎的材料,例如氫倍半矽氧烷(HSQ,hydrogen silsesquioxane)或甲基倍半矽氧烷(MSQ,methyl silsesquioxane),其係使用旋塗介電質技術所沉積。此種膜的範例包含從Dow Corning Corporation所購得的FOxHSQ(用於半導體處理的絕緣材料)、從Dow Corning Corporation所購得的XLK多孔性HSQ(用於半導體處理的絕緣材料)、以及從JSR Microelectronics所購得的JSR LKD-5109(用於半導體處理的絕緣材料)。
又或者,這些介電層可包含使用SOD技術所沉積的有機材料。此種層的範例包含從Dow Chemical Company所購得的SILK-I、SILK-J、SILK-H、SILK-D、多孔性SILK-T、多孔性SILK-Y、以及多孔性SILK-Z半導體介電樹脂(用於半導體處理的絕緣材料)、以及從Honeywell International,Inc所購得的FLARE與NANOGLASS(用於半導體處理的絕緣材料)。
絕緣層130可使用氣相沉積技術加以形成,例如化學氣相沉積(CVD,chemical vapor deposition)、電漿增強CVD(PECVD,plasma enhanced chemical vapor deposition)、原子層沉積(ALD,atomic layer deposition)、電漿增強ALD(PEALD,plasma enhanced atomic layer deposition)、起始CVD(i-CVD,initiated CVD)、絲極輔助CVD(FACVD,filament-assisted CVD)、物理氣相沉積(PVD,physical vapor deposition)、或離子化PVD(iPVD,ionized physical vapor deposition);或使用旋塗技術加以形成,例如從Tokyo Electron Limited(TEL)(Tokyo,Japan)所購得的CLEAN TRACK ACT 8 SOD(旋塗介電質)(用於半導體處理的旋轉塗佈機器)、ACT 12 SOD(用於半導體處理的旋轉塗佈機器)、以及LITHIUS塗佈系統所提供之技術。CLEAN TRACK ACT 8(200 mm)、ACT 12(300 mm)、以及LITHIUS(300 mm)塗佈系統可提供用於SOD材料的塗佈、烘烤、以及硬化工具。此塗佈/顯影處理系統(track system)可用於處理100 mm、200 mm、300 mm、以及更大的基板尺寸。用以在基板上形成薄膜的其他系統與方法係熟習旋塗技術與氣相沉積技術兩者之技藝者所熟知。
在圖1A-1H的實施例中,一旦製備絕緣層130之後,於步驟220中,在其上表面上形成覆蓋層120。覆蓋層120可同時包含例如矽氧化物(Six Oy )、矽氮化物(Six Ny )、矽氧氮化物(Six Oy Nz )、矽氧碳化物(Six Oy Cz )、以及碳、或其任何組合。例如,覆蓋層120可作為下伏絕緣層130的覆蓋層。
之後,於步驟230中,在覆蓋層120的上表面上形成遮罩層110。遮罩層110可包含光微影圖案112,此圖案係使用光微影而形成在例如光阻的感光材料層中。或者,遮罩層110可包含雙層遮罩或多層遮罩,其具有抗反射塗膜(ARC,anti-reflective coating),例如嵌入於其中的埋藏式ARC(BARC,buried ARC)層或可調式抗蝕刻ARC(TERA,tunable etch resistant ARC)層。此ARC層可為有機ARC或無機ARC。又或者,遮罩層110可包含具有薄光阻層的多層遮罩,此光阻層係覆蓋於ARC層,而此ARC層係覆蓋於有機平坦化層(OPL,organic planarization layer)。此光阻的厚度可以係相當地薄,此ARC層的厚度可調整至曝光波長,而此OPL的厚度可根據蝕刻製程的需求而為任意厚度。
例如,吾人可使用塗佈/顯影處理系統或化學氣相沉積(CVD)系統來形成覆蓋層120以及遮罩層110。此塗佈/顯影處理系統可用於處理248 nm光阻、193 nm光阻、157 nm光阻、EUV光阻、(頂部/底部)抗反射塗膜(TARC/BARC)、以及頂部塗膜(top coats)。例如,此塗佈/顯影處理系統可包含從Tokyo Electron Limited(TEL)所購得的CLEAN TRACK ACT 8、或ACT 12光阻塗佈與顯影系統。用以在基板上形成光阻膜的其他系統與方法係熟習旋塗光阻技術之技藝者所熟知。此外,舉例而言,吾人可使用任何合適的習知步進式(stepping)微影系統或掃瞄式微影系統來形成此遮罩圖案。
用於較小幾何形狀(即,45nm、32nm、以及超出此範圍者)的先進光微影技術一般會因為下列需求而受到限制:使光微影圖案112到遮罩層110的準確傳遞最佳化;使遮罩層110的厚度降至最低以防止圖案崩塌;使遮罩層110之組成與厚度最佳化,以準確地將圖案112從遮罩層110傳遞到下伏層;以及使光微影圖案112之側壁114中的線邊緣粗糙度(LER,line edge roughness)轉印到下伏膜降至最低。
傳統上,係使用電漿蝕刻製程將感光層中的遮罩圖案轉印到下伏層。由於電漿蝕刻的異向性,所以吾人可以高精密度將感光層中的圖案轉印到下伏層。同樣在使用多層遮罩的習知製程中,係首先利用經過圖案化的感光層蝕刻下伏遮罩子層,而接著利用多層遮罩可一同將圖案蝕刻到介電層內。然後,例如使用剝除、灰化或溼式清理製程來移除感光層及/或其殘留物。本案發明人已認知到將蝕刻介電特徵部曝露於感光層移除製程可能會損害介電特徵部及/或改變此介電特徵部內之介電材料的性質。此種損害係有別於因為特徵部本身之蝕刻所引起的介電特徵部損害。
如圖1C與1D所示,於步驟240中,可使用乾式蝕刻製程,例如乾式非電漿蝕刻製程或乾式電漿蝕刻製程,將光微影圖案112轉印到覆蓋層120。關於使用乾式非電漿蝕刻製程的額外細節係提供在申請中之美國專利申請案第11/390,193號(標題為「METHOD FOR REMOVING DAMAGED DIELECTRIC MATERIAL」(TEA-024),公開為美國專利申請公開案第2007/0235411號,申請於2006年3月28日)、以及申請中之美國專利申請案第11/390,197號(標題為「METHOD AND SYSTEM FOR PATTERNING A DIELECTRIC FILM」(TEA-026),公告為美國專利第7,288,483號,申請於2006年3月28日)中,這些申請案的整體內容皆合併於此以供參照。
如圖1D所示,一旦在覆蓋層120中形成遮罩圖案112之後,於步驟250中,在蝕刻絕緣層130前可使用溼式或乾式剝除/灰化製程來移除遮罩層110。例如,由於不具有因絕緣層蝕刻製程所引 起的硬殼,所以在蝕刻絕緣層130之前移除遮罩層110可較為簡易,此為一優點。此外,例如,在絕緣層蝕刻之前移除遮罩層110,可使絕緣層130對在溼式剝除製程期間施加剝除化學品之溼式清理製程的曝露降至最低;或者可使絕緣層130對使用氧化電漿來移除光阻與後蝕刻殘留物之灰化製程的曝露降至最低。先進(多孔性或非多孔性)的介電材料可能會在曝露於這些蝕刻、剝除及/或灰化製程時受到損害,因此,先移除感光層可使介電層的損害降至最低。或者,於步驟250中,可不移除遮罩層110。舉例而言,吾人可在圖案轉印到絕緣層130之後移除遮罩層110。此外,例如,當遮罩層110包含光阻層、ARC層以及OPL時,可在覆蓋層120以及絕緣層130蝕刻期間消耗此光阻與此ARC層。一旦圖案轉印到覆蓋層120與絕緣層130之後,可移除剩餘的OPL。
於步驟260中,如圖1E所示,使用乾式電漿蝕刻將遮罩圖案112轉印到絕緣層130,以形成特徵部132。在進行此圖案轉印之後,覆蓋層120的側壁124可大約與絕緣層130的側壁134對正。例如,當蝕刻例如氧化矽、二氧化矽等等的氧化物介電膜時,或當蝕刻例如氧化有機矽烷的有機低介電常數介電膜時,蝕刻氣體組成物一般包含以氟碳為基礎的化學品,例如C4 F8 、C5 F8 、C3 F6 、C4 F6 、CF4 等等至少其中一者,或以氫氟碳為基礎的化學品,例如CHF3 、CH2 F2 等等至少其中一者;以及惰性氣體、氧氣、以及一氧化碳(CO)至少其中一者。此外,例如,當蝕刻有機低介電常數介電膜時,蝕刻氣體組成物一般包含含氮氣體以及含氫氣體至少其中一者。例如上述之選擇性蝕刻介電膜的技術係熟習介電蝕刻製程之技藝者所熟知。
在蝕刻期間或在移除任何剩餘殘留物期間或兩者,可能會損害或活化在絕緣層130中所形成之特徵部132內的曝露表面,例如側壁134。這些表面所遭受到的損害或活化可能會導致水份的吸收、或者在蝕刻處理期間(即,介電層的乾式蝕刻、或在介電層蝕刻後之灰化期間的光微影遮罩移除)之污染物及/或化學品的附著。例如,在蝕刻處理期間,多孔性低介電常數介電膜可能極容易受到損害及/或活化。一般而言,多孔性低介電常數膜最常以具有矽醇(Si-OH)基團及/或有機基團的氧化矽為基礎。這些材料可能會部分地因為在蝕刻處理期間的有機成分耗盡而受到活化或損害。
此外,矽醇(silanol)基團的曝露可輕易地吸收水份、及/或其他污染物。因此,難以處理具有曝露低介電常數介電層的裝置結構並且難以使其維持無污染,尤其係在圖案化步驟之後。此外,對低介電常數材料整體的活化及/或損害可能會造成介電常數(k-值)增加。已注意到受到活化或損害的低介電常數膜可能會使k值增加數值1以上。
因此,受損材料一般係使用清理製程而移除。然而,如圖3所示,用以移除受損材料的習知清理製程會對覆蓋層120造成底切,而使得覆蓋層120的側壁124'懸伸於絕緣層130中之凹陷側壁134'。在懸伸硬遮罩層120下方之絕緣層130中的底切部分會提供在後續填充步驟或金屬化步驟期間造成挑戰的特徵部132'。
依照一實施例,如圖1F到1H所示,於步驟270中,以有機材料150填滿特徵部132;以及於步驟280中,使用乾式、非電漿蝕刻製程來移除覆蓋層120,並且移除特徵部132內的有機材料150。雖然在圖2以及圖1F到1H中有顯示並說明步驟270與280,但這些步驟可被省略,並且(在不利用特徵部填充步驟(即,270)以及填充材料移除步驟(即,280)的情況下)使用乾式、非電漿蝕刻製程來移除覆蓋層120。
吾人可使用旋轉塗佈製程來形成有機材料150。舉例來說,吾人可使用例如從Tokyo Electron Limited(TEL)所購得之CLEAN TRACK ACT 8、或ACT 12光阻/SOD塗佈與顯影系統的塗佈/顯影處理系統,將有機材料150塗佈至基板140。用以將有機材料塗佈至基板的其他系統與方法係熟習旋塗技術之技藝者所熟知。有機材料150可包含有機聚合物。舉例而言,此有機聚合物可為聚丙烯酸樹脂、環氧樹脂、酚樹脂、聚醯胺樹脂、聚醯亞胺樹脂、不飽和聚酯樹脂、聚苯醚(polyphenylenether)樹脂、聚苯硫醚(polyphenylenesulfide)樹脂、或苯環丁烯(BCB,benzocyclobutene)。此外,例如,有機材料150可包含光阻(例如248 nm光阻、193 nm光阻、157 nm光阻、或EUV光阻)、(頂部/底部)抗反射塗膜(TARC/BARC)、頂部塗膜、或有機平坦化層(OPL)。
乾式非電漿蝕刻製程包含用於製程控制的自限(self-limiting)移除製程,其允許以對於其他材料的高選擇性來精確地移除覆蓋層120。乾式、非電漿蝕刻製程包含化學製程,在此化學製程期間,可藉由包含HF、或氨(NH3 )、或HF與NH3 兩者的製程氣體,對覆蓋層120的至少一部分(如圖1F所示,例如覆蓋層120的曝露表面)進行化學處理。在化學處理製程之後,執行脫附製程以移除覆蓋層120的化學改質部分。此脫附製程可包含熱處理製程,在此熱處理製程中,將基板的溫度提高而足以允許覆蓋層120的化學改質部分揮發。例如,熱處理製程的溫度可高於化學處理製程的溫度。
其中,將覆蓋層120之部分曝露至製程氣體可使得覆蓋層120的化學改質到達一自限深度,並且在熱處理期間,移除覆蓋層120延伸到此自限深度之化學改質部分。如圖1G所示,重複進行曝露與熱處理,直到實質上移除覆蓋層120為止。或者,重複進行曝露與熱處理,直到將覆蓋層120移除到其剩餘厚度小於原來厚度的20%為止。或者,重複進行曝露與熱處理,直到將覆蓋層120移除到其剩餘厚度小於原來厚度的10%為止。又或者,重複進行曝露與熱處理,直到將覆蓋層120移除到其剩餘厚度小於原來厚度的5%為止。
在化學處理製程期間,可一起(即,混合)或彼此分開(即,獨立於NH3 而導入HF)導入製程氣體的每一個成分。此外,製程氣體可更包含惰性氣體,例如鈍氣(即,氬)。此惰性氣體可伴隨HF或NH3 一起導入,或者其可獨立於上述每一氣體而導入。關於伴隨NH3 一起導入鈍氣以控制介電膜之表層產生化學改質之程度的進一步細節,係描述於申請中之美國專利申請案第10/812,347號,標題為「Processing system and method for treating a substrate」,並公開為美國專利申請公開案第2005/0227494號,其整體內容係合併於此以供參照。
此外,在化學處理製程期間,吾人可選擇製程壓力以影響介電膜之表層受到化學改質的程度;製程壓力可從約1mtorr分佈到約100torr。再者,在化學處理製程期間,吾人可選擇基板溫度以影響介電膜之表層受到化學改質的程度;基板溫度可從約10℃分佈到約200℃。關於設定基板溫度以控制介電膜之表層受到化學改質之程度的進一步細節,係描述於申請中之美國專利申請案第10/817,417號,標題為「Method and system for performing a chemical oxide removal process」,並公開為美國專利申請公開案第2005/0218114號,其整體內容係合併於此以供參照。
在熱處理製程期間,可將基板溫度升高超過約50℃,或期望超過約100℃。此外,可在基板之熱處理期間導入惰性氣體。此惰性氣體可包含鈍氣或氮氣。
如圖1H所示,在移除覆蓋層120、有機材料150、以及側壁134之受損、曝露表面時,可留下具有絕緣層130的基板140,此絕緣層具有特徵部132'。吾人可在移除覆蓋層120之前、期間、或之後,移除(在例如蝕刻製程或灰化製程之後的)側壁134之受損、曝露表面。吾人可使用乾式或溼式清理製程來移除側壁134之受損、曝露表面。例如,可使用乾式、非電漿蝕刻製程來移除側壁134之受損、曝露表面。如上所述,乾式非電漿蝕刻製程包含用於製程控制的自限特徵,其可使絕緣層130中之特徵部132'之側壁的移除量降至最低。又,由於受損材料的移除會導致特徵部132之臨界尺寸(CD,critical dimension)增加,在一實施例中,可選擇比絕緣層130中之特徵部132之設計CD更小的原始圖案(即,光微影圖案112),以補償後續受損表面區域的移除。
以下參照圖4A與4B,其顯示溝渠-穿孔結構900。結構900包含第一介電層940、第一覆蓋層930、第二介電層920、以及第二覆蓋層910。其中,執行一連串的蝕刻製程,以產生穿孔970以及溝渠980,此可在後續步驟中進行金屬化時,允許第二金屬線 (形成在溝渠980中)與第一金屬線950(位在第一介電層940中)之間的電氣互連。第一與第二介電層920、940可包含低介電常數(low-k)材料。第一與第二覆蓋層910、930可包含SiOx 。在進行一連串蝕刻製程期間,第二介電層920可能會受損並且形成受損子層990。
如圖4B所示,當使用習知技術來移除受損子層990而產生實質上無損害的穿孔970'以及溝渠980'時,會對第二覆蓋層910造成底切,因此形成懸伸部992。懸伸部992可能會造成在金屬化溝渠-穿孔結構900時的困難。
以下參照圖5A與5B,其顯示溝渠-穿孔結構900'。依照一實施例,如圖5A與5B所示,從溝渠-穿孔結構900'移除第二覆蓋層910以及受損子層990。吾人可使用如上所述的乾式、非電漿製程來移除第二覆蓋層910。可使用溼式清理製程或乾式清理製程(例如上述乾式、非電漿製程)來移除受損子層990。藉由包含HF、或氨(NH3 )、或HF與NH3 兩者的製程氣體,對第二覆蓋層910的表層進行化學處理。在化學處理製程之後,執行脫附製程以移除化學改質表層。脫附可包含熱處理製程,在該熱處理製程中,將基板的溫度提高而足以允許化學改質表層揮發。使用乾式、非電漿蝕刻製程可在介電層920中產生對側壁具有降低損害的穿孔970"以及溝渠980"。
依照一種實施例,圖6A係呈現用以在基板上執行乾式、非電漿移除製程的處理系統400。處理系統400包含第一處理系統410、以及耦合至第一處理系統410的第二處理系統420。舉例而言,第一處理系統410可包含化學處理系統,而第二處理系統420可包含熱處理系統。或者,第二處理系統420可包含基板沖洗系統,例如水沖洗系統。
又,如圖6A所示,搬運系統430可耦合至第一處理系統410,以將基板搬入與搬出第一處理系統410以及第二處理系統420,並且與多元件製造系統440交換基板。第一與第二處理系統410、420以及搬運系統430可例如包含多元件製造系統440內的處理元件。舉例來說,多元件製造系統440可允許搬運基板出入包含例如蝕刻系統、沉積系統、塗佈系統、圖案化系統、量測系統等等之裝置的處理元件。為了隔開在第一與第二系統內所進行製程,隔離組件450可用以將每一系統耦合。例如,隔離組件450可包含用以提供熱隔離的絕熱組件以及用以提供真空隔離的閘閥組件至少其中一者。當然,吾人可以任何順序來放置處理系統410與420、以及搬運系統430。
或者,在另一實施例中,圖6B係呈現用以在基板上執行乾式、非電漿移除製程的處理系統500。處理系統500包含第一處理系統510、以及第二處理系統520。舉例而言,第一處理系統510可包含化學處理系統,而第二處理系統520可包含熱處理系統。或者,第二處理系統520可包含基板沖洗系統,例如水沖洗系統。處理系統500可更包含第三處理系統540,其可以有機材料填滿基板特徵部。
又,如圖6B所示,搬運系統530可耦合至第一處理系統510,以將基板搬入與搬出第一處理系統510;可耦合至第二處理系統520,以將基板搬入與搬出第二處理系統520;以及可耦合至第三處理系統540,以將基板搬入與搬出第三處理系統540。此外,搬運系統530可與一個以上的基板匣(未圖示)交換基板。雖然在圖6B中僅顯示兩個製程系統,但其他製程系統可使用包含例如蝕刻系統、沉積系統、塗佈系統、圖案化系統、量測系統等等之裝置的搬運系統530。為了隔開在第一與第二系統內所進行製程,隔離組件550可用以將每一系統耦合。例如,隔離組件550可包含用以提供熱隔離的絕熱組件以及用以提供真空隔離的閘閥組件至少其中一者。此外,例如,搬運系統530可作為隔離組件550的部分。
或者,在另一實施例中,圖6C係呈現用以在基板上執行乾式、非電漿移除製程的處理系統600。處理系統600包含第一處理系統610、第二處理系統620,其中如圖所示,第一處理系統610係在垂直方向上堆疊於第二處理系統620的頂端。例如,第一處理系統610可包含化學處理系統,而第二處理系統620可包含熱處理系統。或者,第二處理系統620可包含基板沖洗系統,例如水沖洗系統。
又,如圖6C所示,搬運系統630可耦合至第一處理系統610,以將基板搬入與搬出第一處理系統610;以及可耦合至第二處理系統620,以將基板搬入與搬出第二處理系統620。此外,搬運系統630可與一個以上的基板匣(未圖示)交換基板。雖然在圖6C中僅顯示兩個製程系統,但其他製程系統可使用包含例如蝕刻系統、沉積系統、塗佈系統、圖案化系統、量測系統等等之裝置的搬運系統630。為了隔開在第一與第二系統內所進行製程,隔離組件650可用以將每一系統耦合。例如,隔離組件650可包含用以提供熱隔離的絕熱組件以及用以提供真空隔離的閘閥組件至少其中一者。此外,例如,搬運系統630可作為隔離組件650的部分。
如圖7所示,化學處理系統710包含:溫度控制基板支座740,設置成實質上與化學處理腔室711熱隔離,並且用以支撐基板742;真空抽取系統750,耦合至化學處理腔室711,以抽空化學處理腔室711;以及氣體分配系統760,用以將製程氣體導入到化學處理腔室711中的處理空間762內。吾人可透過搬運口794,將基板742搬入與搬出化學處理腔室711。
此外,化學處理系統710包含腔室溫度控制元件766,其係耦合至腔室溫度控制系統768。腔室溫度控制元件766可包含加熱單元、或冷卻單元、或兩者。再者,化學處理系統710包含氣體分配溫度控制元件767,其係耦合至氣體分配溫度控制系統769。氣體分配溫度控制元件767可包含加熱單元、或冷卻單元、或兩者。
如圖7所示,化學處理系統710更包含具有基板支座組件744的基板支座740。基板支座組件744可提供用以熱控制與處理基板742的數個操作功能。舉例而言,基板支座740以及基板支座組件744可或可不包含基板夾固系統(即,電氣式或機械式夾固系統)、加熱系統、冷卻系統、用以改善基板742與基板支座740間之熱傳導的基板背側氣體供應系統等等。
依然參照圖7,控制器735可耦合至基板支座組件744、氣體分配系統760、真空抽取系統750、腔室溫度控制系統768、以及氣體分配溫度控制系統769。控制器735可包含微處理器、記憶體、以及數位I/O埠,其可產生控制電壓,此控制電壓足以傳輸並啟動到化學處理系統710的輸入,並且監測來自化學處理系統710的輸出。
關於化學處理系統710的進一步細節係描述於美國專利第6,951,821 A1號,標題為「Processing system and method for chemically treating a substrate」,其整體內容係合併於此以供參照。
如圖8所示,熱處理系統820更包含:溫度控制基板支座870,安裝在熱處理腔室821內,並且設置成實質上與熱處理腔室821熱隔離且用以支撐基板842';真空抽取系統880,用以抽空熱處理腔室821;以及基板升降機組件890,耦合至熱處理腔室821。升降機組件890可在夾持平面(實線)與基板支座870(虛線)或位於其間的搬運平面之間垂直地移動基板842"。熱處理腔室821可更包含上部組件884,其可用以在基板842'的熱處理期間導入例如沖洗氣體的製程氣體。吾人可透過搬運口898,將基板842'(或842")搬入與搬出熱處理腔室821。
此外,熱處理系統820包含腔室溫度控制元件883,其係耦合至腔室溫度控制系統881。腔室溫度控制元件883可包含加熱單元、或冷卻單元、或兩者。再者,熱處理系統820包含上部組件溫度控制元件885,其係耦合至上部組件溫度控制系統886。上部組件溫度控制元件885可包含加熱單元、或冷卻單元、或兩者。
如圖8所示,熱處理系統820包含具有基板支座溫度控制元件876以及基板支座溫度控制系統878的基板支座870。基板支座溫度控制元件876可包含加熱元件,例如電阻加熱元件。再者,例如,基板支座870可或可不包含基板夾固系統(即,電氣式或機械式夾固系統)、額外加熱系統、冷卻系統、用以改善基板842'與基板支座870間之熱傳導的基板背側氣體供應系統等等。
依然參照圖8,控制器875可耦合至上部組件884、真空抽取 系統880、腔室溫度控制系統881、上部組件溫度控制系統886、基板支座溫度控制系統878、以及基板升降機組件890。控制器875可包含微處理器、記憶體、以及數位I/O埠,其可產生控制電壓,此控制電壓足以傳輸並啟動到熱處理系統820的輸入,並且監測來自熱處理系統820的輸出。
關於熱處理系統820的進一步細節係描述於申請中之美國專利申請案第10/704,969號,標題為「Processing system and method for thermally treating a substrate」,其整體內容係合併於此以供參照。
雖然上述僅說明本發明之某些實施例,但熟習本項技藝者可輕易明白在實質上不背離本發明之新穎教示與優點的情況下,仍可進行許多修改。例如,雖然覆蓋層係覆蓋於絕緣層,但其亦可位於絕緣層之下。此外,例如,覆蓋層可為停止層。因此,意欲將所有此種修改包含在本發明之範圍內。
100...膜堆疊體
110...遮罩層
112...光微影圖案
114...側壁
120...覆蓋層
122...遮罩圖案
124...側壁
124'...側壁
130...絕緣層
132...特徵部
132'...特徵部
134...側壁
134'...側壁
140...基板
150...有機材料
200...流程圖
400...處理系統
410...第一處理系統
420...第二處理系統
430...搬運系統
440...多元件製造系統
450...隔離組件
500...處理系統
510...第一處理系統
520...第二處理系統
530...搬運系統
540...第三處理系統
550...隔離組件
600...處理系統
610...第一處理系統
620...第二處理系統
630...搬運系統
650...隔離組件
710...化學處理系統
711...化學處理腔室
735...控制器
740...溫度控制基板支座
742...基板
744...基板支座組件
750...真空抽取系統
760...氣體分配系統
762...處理空間
766...腔室溫度控制元件
767...氣體分配溫度控制元件
768...腔室溫度控制系統
769...氣體分配溫度控制系統
794...搬運口
820...熱處理系統
821...熱處理腔室
842'...基板
842"...基板
870...溫度控制基板支座
875...控制器
876...基板支座溫度控制元件
878...基板支座溫度控制系統
880...真空抽取系統
881...腔室溫度控制系統
883...腔室溫度控制元件
884...上部組件
885‧‧‧上部組件溫度控制元件
886‧‧‧上部組件溫度控制系統
890‧‧‧基板升降機組件
898‧‧‧搬運口
900‧‧‧溝渠-穿孔互連線結構
900'‧‧‧溝渠-穿孔互連線結構
910‧‧‧第二覆蓋層
920‧‧‧第二介電層
930‧‧‧第一覆蓋層
940‧‧‧第一介電層
950‧‧‧第一金屬線
970‧‧‧穿孔
970'‧‧‧穿孔
970"‧‧‧穿孔
980‧‧‧溝渠
980'‧‧‧溝渠
980"‧‧‧溝渠
990‧‧‧受損子層
992‧‧‧懸伸部
在隨附圖式中:圖1A到1H顯示依照一實施例在基板上製備絕緣層的示範順序;圖2顯示依照一實施例在基板上製備絕緣層的方法;圖3顯示位於基板上之出現一懸伸部的絕緣層;圖4A與4B顯示當在後段製程(BEOL,back-end-of-line)互連/內連線結構中形成溝渠或穿孔時之基板的示範處理順序;圖5A與5B顯示依照另一實施例之當在後段製程(BEOL)互連/內連線結構中形成溝渠或穿孔時之基板的示範處理順序;圖6A到6℃係呈現依照本發明之另一實施例之處理系統的示意圖;圖7係呈現依照本發明之另一實施例的化學處理系統;及圖8係呈現依照本發明之另一實施例的熱處理系統。
200...流程圖
210...在基板上形成絕緣層
220...在絕緣層上形成覆蓋層
230...形成具有圖案的遮罩層
240...將圖案轉印到覆蓋層
250...移除遮罩層
260...將圖案轉印到絕緣層
270...以有機材料填滿絕緣層中的圖案
280...移除覆蓋層
290...移除有機材料

Claims (16)

  1. 一種在基板上製備絕緣膜的方法,包含下列步驟:將一基板配置在一處理系統中,該基板具有一絕緣層以及覆蓋該絕緣層的一覆蓋層,其中已將一圖案轉印到該覆蓋層以及該絕緣層,以形成穿過該覆蓋層並位於該絕緣層內的一特徵部,且其中在該圖案轉印到該絕緣層期間,已將該絕緣層的一表層曝露於蝕刻電漿;於金屬化該特徵部之前以一有機材料填滿該絕緣層中的該特徵部;在該以一有機材料填滿該絕緣層中的該特徵部之後,使用一乾式非電漿蝕刻製程來移除該覆蓋層,其中該乾式非電漿蝕刻製程包含:將至少一部分的該覆蓋層曝露至包含HF或NH3 或其組合的一製程氣體,以化學改質該覆蓋層;及熱處理該覆蓋層的該化學改質部分,以脫附該覆蓋層的該化學改質表面部分;於在該覆蓋層上執行該乾式非電漿蝕刻製程的該步驟之後,移除該有機材料;在該絕緣層上執行另一乾式非電漿蝕刻製程,以移除該絕緣層之該曝露表層的至少一部分,其係藉由:將該絕緣層的該曝露表層曝露至包含HF或NH3 或其組合的一製程氣體,以化學改質該絕緣層的該曝露表層,以及熱處理該絕緣層的該化學改質曝露表層,以脫附該化學改質表層。
  2. 如申請專利範圍第1項所述之在基板上製備絕緣膜的方法,其中該乾式非電漿蝕刻製程包含一自限移除製程。
  3. 如申請專利範圍第1項所述之在基板上製備絕緣膜的方法,其中使用一旋轉塗佈製程來塗佈該有機材料。
  4. 如申請專利範圍第1項所述之在基板上製備絕緣膜的方法,其中該絕緣層包含一低介電常數介電層,該介電層具有小於4之數值的介電常數。
  5. 如申請專利範圍第4項所述之在基板上製備絕緣膜的方法,其中該低介電常數介電層具有從1.6分佈到2.7的介電常數。
  6. 如申請專利範圍第4項所述之在基板上製備絕緣膜的方法,其中該低介電常數介電層包含:一硬化的低介電常數介電材料;一未硬化的低介電常數介電材料;一有機材料;一無機材料;一無機-有機混合材料;一多孔性介電材料;一非多孔性介電材料;一單相材料;一雙相材料;一氧化有機矽烷材料;一倍半矽氧烷(silsesquioxane)材料;一以矽酸鹽為基礎的材料;包含矽、碳、以及氧的一集合材料;或包含矽、碳、氧、以及氫的一集合材料;或其兩者以上之任何組合。
  7. 如申請專利範圍第1項所述之在基板上製備絕緣膜的方法,其中將該覆蓋層的該部分曝露至該製程氣體的該步驟使得該覆蓋層的化學改質到達一自限深度,而其中在該熱處理期間移除到達該自限深度之該覆蓋層的該化學改質部分。
  8. 如申請專利範圍第1項所述之在基板上製備絕緣膜的方法,其中在從約1mtorr分佈到約100torr的製程壓力下,執行曝露該覆蓋層的該步驟,而同時該基板係處於從約10℃分佈到約200℃的溫度。
  9. 如申請專利範圍第1項所述之在基板上製備絕緣膜的方法,其中該製程氣體更包含一鈍氣。
  10. 如申請專利範圍第1項所述之在基板上製備絕緣膜的方法,其中熱處理該覆蓋層之該化學改質部分的該步驟包含將該基板的溫度升高超過約100℃。
  11. 如申請專利範圍第10項所述之在基板上製備絕緣膜的方法,其中在導入一鈍氣或氮氣期間,執行熱處理該覆蓋層之該化學改質部分的該步驟。
  12. 如申請專利範圍第1項所述之在基板上製備絕緣膜的方法,其中該覆蓋層包含矽氧化物(Six Oy )、矽氮化物(Six Ny )、矽氧氮化物(Six Oy Nz )、或矽氧碳化物(Six Oy Cz )、或其兩者以上之任何組合。
  13. 如申請專利範圍第1項所述之在基板上製備絕緣膜的方法,其中該製程氣體包含HF以及NH3 ,其中將該HF與NH3 彼此獨立地導入到該處理系統。
  14. 申請專利範圍第1項所述之在基板上製備絕緣膜的方法,其中該製程氣體包含NH3 、或NH3 與一鈍氣。
  15. 如申請專利範圍第1項所述之在基板上製備絕緣膜的方法,更包含:重複將該覆蓋層曝露至該製程氣體的該步驟以及熱處理該覆蓋層之該化學改質部分的該步驟,直到移除該覆蓋層為止。
  16. 如申請專利範圍第1項所述之在基板上製備絕緣膜的方法,其中該特徵部包含一溝渠、或一穿孔、或其組合。
TW099143257A 2009-12-11 2010-12-10 互連線結構中之絕緣介電質的覆蓋層之移除方法 TWI488239B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/636,430 US8202803B2 (en) 2009-12-11 2009-12-11 Method to remove capping layer of insulation dielectric in interconnect structures

Publications (2)

Publication Number Publication Date
TW201126605A TW201126605A (en) 2011-08-01
TWI488239B true TWI488239B (zh) 2015-06-11

Family

ID=44143415

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099143257A TWI488239B (zh) 2009-12-11 2010-12-10 互連線結構中之絕緣介電質的覆蓋層之移除方法

Country Status (3)

Country Link
US (1) US8202803B2 (zh)
TW (1) TWI488239B (zh)
WO (1) WO2011071825A1 (zh)

Families Citing this family (162)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8288271B2 (en) * 2009-11-02 2012-10-16 International Business Machines Corporation Method for reworking antireflective coating over semiconductor substrate
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20120009796A1 (en) * 2010-07-09 2012-01-12 Applied Materials, Inc. Post-ash sidewall healing
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
CN102931130A (zh) * 2011-08-11 2013-02-13 应用材料公司 灰化后侧壁修复
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8481341B2 (en) * 2011-11-05 2013-07-09 Tokyo Electron Limited Epitaxial film growth in retrograde wells for semiconductor devices
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US8987923B2 (en) 2012-07-31 2015-03-24 Taiwan Semiconductor Manufacturing Company Limited Semiconductor seal ring
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
TWI610361B (zh) 2015-06-26 2018-01-01 東京威力科創股份有限公司 具有可控制的含矽抗反射塗層或矽氮氧化物相對於不同薄膜或遮罩之蝕刻選擇性的氣相蝕刻
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
KR102372892B1 (ko) * 2017-08-10 2022-03-10 삼성전자주식회사 집적회로 소자의 제조 방법
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN112997326A (zh) * 2018-11-06 2021-06-18 加利福尼亚大学董事会 具有超低漏电流的微led
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11289371B2 (en) 2020-01-23 2022-03-29 International Business Machines Corporation Top vias with selectively retained etch stops
US11437317B2 (en) 2020-02-10 2022-09-06 International Business Machines Corporation Single-mask alternating line deposition
US11923133B2 (en) * 2021-11-30 2024-03-05 Ford Global Technologies, Llc Additive manufacturing of Nd-Fe-B magnets with insulating layers

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070238298A1 (en) * 2006-03-28 2007-10-11 Tokyo Electon Limited Method and system for patterning a dielectric film
US20090017616A1 (en) * 2007-07-10 2009-01-15 Stephan Grunow Method for forming conductive structures

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP3810309B2 (ja) 2001-12-03 2006-08-16 Necエレクトロニクス株式会社 半導体装置の製造方法
US8501632B2 (en) 2005-12-20 2013-08-06 Infineon Technologies Ag Methods of fabricating isolation regions of semiconductor devices and structures thereof
US7723237B2 (en) 2006-12-15 2010-05-25 Tokyo Electron Limited Method for selective removal of damaged multi-stack bilayer films

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070238298A1 (en) * 2006-03-28 2007-10-11 Tokyo Electon Limited Method and system for patterning a dielectric film
US20090017616A1 (en) * 2007-07-10 2009-01-15 Stephan Grunow Method for forming conductive structures

Also Published As

Publication number Publication date
US20110143542A1 (en) 2011-06-16
TW201126605A (en) 2011-08-01
WO2011071825A1 (en) 2011-06-16
US8202803B2 (en) 2012-06-19

Similar Documents

Publication Publication Date Title
TWI488239B (zh) 互連線結構中之絕緣介電質的覆蓋層之移除方法
US7795148B2 (en) Method for removing damaged dielectric material
US7723237B2 (en) Method for selective removal of damaged multi-stack bilayer films
US7611986B2 (en) Dual damascene patterning method
US20090311634A1 (en) Method of double patterning using sacrificial structure
JP5269317B2 (ja) 調整可能な反射防止コーティングを含む構造およびその形成方法。
US8445382B2 (en) Side wall pore sealing for low-k dielectrics
US7432191B1 (en) Method of forming a dual damascene structure utilizing a developable anti-reflective coating
JP2007523034A (ja) アモルファスカーボン膜を含む構造およびその形成方法。
US7288483B1 (en) Method and system for patterning a dielectric film
JP2005094014A (ja) 相互接続構造内での低抵抗バイア・コンタクトの形成
US7767386B2 (en) Method of patterning an organic planarization layer
US7862985B2 (en) Method for double patterning a developable anti-reflective coating
US7932017B2 (en) Method of double patterning a thin film using a developable anti-reflective coating and a developable organic planarization layer
US7282436B2 (en) Plasma treatment for silicon-based dielectrics
US20080073321A1 (en) Method of patterning an anti-reflective coating by partial etching
US7811747B2 (en) Method of patterning an anti-reflective coating by partial developing
EP1646083B1 (en) Alternative dual damascene patterning approach
US7452822B2 (en) Via plug formation in dual damascene process
KR101138082B1 (ko) 반도체 소자의 듀얼 다마신 패턴 형성방법