JP5269317B2 - 調整可能な反射防止コーティングを含む構造およびその形成方法。 - Google Patents

調整可能な反射防止コーティングを含む構造およびその形成方法。 Download PDF

Info

Publication number
JP5269317B2
JP5269317B2 JP2006539479A JP2006539479A JP5269317B2 JP 5269317 B2 JP5269317 B2 JP 5269317B2 JP 2006539479 A JP2006539479 A JP 2006539479A JP 2006539479 A JP2006539479 A JP 2006539479A JP 5269317 B2 JP5269317 B2 JP 5269317B2
Authority
JP
Japan
Prior art keywords
layer
pattern
tera
coating
hard mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006539479A
Other languages
English (en)
Other versions
JP2007511906A (ja
Inventor
ウェッツェル、ジェフレイ・ティー.
ワン、デイビッド・シー.
リー、エリック・エム.
トマ、ドレル・アイアン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2007511906A publication Critical patent/JP2007511906A/ja
Application granted granted Critical
Publication of JP5269317B2 publication Critical patent/JP5269317B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1031Dual damascene by forming vias in the via-level dielectric prior to deposition of the trench-level dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Description

本発明は、調整可能な(tunable)反射防止コーティング(anti−reflective coating:ARC)を含む構造、およびその構造を形成する方法に関するものであり、より詳しくは、本発明は調整可能なARC層を含む配線工程(back−end of line:BEOL)での構造、およびその構造を形成する方法に関するものである。
材料処理手順において、パターンエッチングは、基板の上面上の薄膜へのフォトレジストのような放射線感光性材料のパターン化されたマスクを適用し、エッチングによって下層の薄膜へマスクパターンを転写することを含む。一般に放射線感光性材料をパターニングすることは、基板の上面を放射線感光性材料の薄膜でコーティングすることと、そして、次に、例えば、フォトリソグラフィシステムを使用してレチクル(および、関連した光学部品)を介して放射源に放射線感光性材料の薄膜を曝す(露光する)こととを含む。それで、基剤の現像液または溶媒を使用して、放射線感光性材料の照射を受けた領域の除去がされ(ポジ型フォトレジストの場合)、または非照射領域の除去がされ(ネガ型レジストの場合)、現像プロセスは、実行される。残っている放射線感光性材料は、基板表面にエッチングを行われやすいように、パターン状に下層の基板表面を露出させる。上記の材料処理手順を実行するフォトリソグラフィシステムは、この30年の間、半導体デバイスパターニングの主力であり、そして、65nm若しくはより高分解能に至るまでその役割が続いていくと予想される。
フォトリソグラフィシステムの分解能(r)は、このシステムを使用して形成されることができるデバイスの最小サイズを決定する。所定のリソグラフィ定数k1を有する場合、分解能は、次の式で与えられる。
Figure 0005269317
kは、使用可能な波長であり、NAは、次の式によって与えられる開口数(numerical aperture)である。
Figure 0005269317
角度θは、システムの角度半開口(angular semi−aperture)であり、nは、システムと、パターニングされる基板との間の空間を埋めている材料の屈折率である。
従って、現在のリソグラフィの傾向は、よりさらに小さい構造をプリントするために、開口数(NA)を増加させることを含んでいる。しかしながら、増加するNAがより高分解能を可能にするが、感光材料に投射されるイメージの焦点深度は低下し、そして、より薄いマスク層へと至る。光感応層の厚さが薄くなると、パターニングされた光感応層は、パターンエッチングに対するマスクとしての効果が無くなり、すなわち、大部分の(光感応)マスク層は、エッチング中に消費される。エッチング選択比の劇的な改善なしに、単一層マスクは、高解像度リソグラフィに適している必要なリソグラフィおよびエッチング特性を提供することに対して不十分であるようになった。
単一層マスクのさらなる欠点は、限界寸法(CD)を制御することである。紫外線(UV)、および深紫外(DUV)波長での基板の反射は、薄膜干渉による光感応層内の定在波を生じさせることが知られている。この干渉は、光感応層内の垂直方向に間隔をおいた光条の露光による光感応層の光強度の周期的な変化として、そしてCDロスとして、現れる。
次のパターンエッチング転写に対してより厚いマスクを提供するのと同じように、光感応層内の定在波の影響を打ち消すために、二層または多層マスクは、底部反射防止コーティング(bottom anti−reflective coating:BARC)を取り入れて形成されることができる。BARC層は、薄膜干渉を減少させるように、薄い吸収膜を含み、しかしながら、BARC層は、スピンオン法堆積技術のため部分的に、劣った厚さ均一性を含むいくつかの限界が、依然として欠点であり得る。
別の形態として、膜の光学的性質を調整する能力を提供する気相堆積された薄膜ARC層は、上記の特定された問題の多数を軽減するために提案されている。調整可能なエッチング耐性ARC(tunable etch resistant ARC:TERA)層として知られているTERA膜は、基板の光学的性質を撮像(imaging)光感応層と整合させるように膜厚方向に沿って任意に傾斜付けされることができる調整可能な屈折率および減衰係数を有して作成されることができる;インターナショナルビジネスマシーンズ社が受けた米国特許番号6、316、167を参照。この特許にて説明されているように、TERA膜は、ゲート形成のような基板工程(front end of line:FEOL)のオペレーションに対するリソグラフィ構造において使用され、そこにおいて、限界寸法の制御は、非常に重要である。しかしながら、本発明者は、TERA膜が金属配線のような配線工程(BEOL)のオペレーションにおいては、おそらくこれらのオペレーションに対する限界寸法の重要性が少ないため、使用されなかったと認識していた。
本発明の一態様は、上記の問題のいずれかまたは全てを軽減するかまたは取り除くことである。
本発明の別の目的は、調整可能な反射防止コーティングを取り入れている構造を提供することであり、および、それを形成する方法を提供することである。
別の態様に係る上記の半導体デバイスは、半導体基板と;半導体基板上に形成され、構造式R:C:H:Xを有し、内部に調整可能な反射防止コーティングを含む膜スタックと具備し、そこにおいて、Rは、Si、Ge、B、Sn、Fe、Ti、およびそれらの組合せからなる群から選択され、Xは、存在しないかまたはO、N、S、およびFの1つ以上からなる群から選択され;前記膜スタック内に形成された金属配線のためのダマシン構造を更に具備する。
別の態様に係る、集積回路構造を形成するためのプロセスは、誘電体材料の層を基板上に形成することと;この誘電体材料の層上に調整可能なエッチング耐性反射防止(TERA)材料の層を形成することと;配線構造と、ハードマスクと、反射防止コーティングと、ケミカルメカニカルポリシング(CMP)ストップ層との形成のためのリソグラフィ構造のうちの少なくとも1つとしてTERA材料の層を使用することにより金属配線のためのダマシン構造を形成することとを具備する。
別の態様に係る上記の半導体デバイスは、半導体基板と;半導体基板上に形成された膜スタックと;この膜スタック内に形成された金属配線のためのダマシン構造で調整可能な反射防止コーティングを集積化する手段とを具備する。
上述の通り、調整可能なエッチング耐性反射防止(TERA)コーティングが、トランジスタデバイスのゲートの形成のような基板工程(FEOL)のアプリケーションにて集積化されることができ、そこにおいて、TERAコーティングは、65nmデバイスノードおよびより小さいノードでゲートデバイスを形成するリソグラフィ構造に実質的な改良を提供する。しかしながら、これらの膜は、金属配線のような配線工程(BEOL)オペレーションにて、おそらくこれらのオペレーションに対する限界寸法の重要性が低かったために、使用されなかった。本発明の発明者は、除去可能なエッチングハードマスク、犠牲層、またはケミカルメカニカルポリシング(CMP)ストップ層と同様に調整可能なARC層としてのTERA膜の使用が、金属配線のような配線工程(BEOL)オペレーションに対して有効な特性を提供することを認識した。本発明に係る少なくとも1つのTERAコーティングは、膜スタック内に形成されたシングルダマシンまたはデュアルダマシン金属配線構造内のような配線工程(BEOL)アプリケーションによって集積化され、そこにおいて、少なくとも1つのTERAコーティングは、配線構造と、ハードマスクと、反射防止コーティングと、犠牲層と、またはケミカルメカニカルポリシング(CMP)ストップ層の形成のためのリソグラフィ構造とのうちの少なくとも1つを提供する。
参照番号がいくつかの図の全体にわたって同一であるか対応する一部を示すように表示されている図面をここで参照して、図1Aから図1Hは、1つの実施形態に係る膜スタックの配線構造を形成する方法の概略表現を示す。方法は、第1のデュアルダマシン構造を介して複数のハードマスクにTERAコーティングの集積化として特徴づけられることができる。ここに使用される用語「ビアファースト(via―first)」は、トレンチのような別の形態の形成に対するエッチングの前にビアの形成に対するエッチングがなされるプロセスを示す。
図1Aに示すように、方法は、形成された金属ライン112を有する基板110と、基板110上に形成された金属キャップ層115と、金属キャップ層115上に形成された第1の誘電体層120と、第1の誘電体層120上に形成されたエッチストップ層125と、エッチストップ層125上に形成された第2の誘電体層130と、第2の誘電体層130上に形成されたハードマスク層135と、ハードマスク層135上に形成された調整可能なエッチング耐性反射防止(TERA)コーティング140と、TERAコーティング140上に形成された感光材料145の層とを有する膜スタック100を準備することで始まる。
前記膜スタック100は、ハードマスク層135を含んでもよいし含まなくても良い。膜スタック100がハードマスク層135を含むときに、ハードマスク層135は、ハードマスクまたはCMPストップ層のうちの少なくとも一方を提供することができ、TERAコーティング140は、上部ハードマスクと、調整可能なARC層と、CMPストップ層と、ハードマスク層135がデュアルダマシン構造のCMPストップ層として利用されるときの犠牲層とのうちの少なくとも1つを提供することができる。膜スタック100がハードマスク層135を含まないときに、TERAコーティング140は、シングルハードマスクと、調整可能なARC層と、CMPストップ層とのうちの少なくとも1つを提供する。膜スタック100の感光材料145と接触する層としてのTERAコーティング140の利用は、配線構造の限界寸法(CD)の制御、または配線構造内のCD変化(variation)(例えば、光感応層145の層のラインエッジ粗さのための)の制御の容易にすることができる。膜スタック100の形成は、ステップを含むことができ、層間(inter−level)に対する例えば(絶縁)膜スタック、および内層間(intra−level)、シングルダマシンおよびデュアルダマシン構造のような(金属)配線構造を準備する当業者にとって知られている技術を利用することができる。
例えば、金属ライン112は、タングステン、アルミニウムまたは銅を含むことができる。加えて、例えば、金属キャップ層115は、窒化シリコン(Si)、または(SiC)炭化珪素、またはシリコン炭窒化物(SiCN)、またはシリコン酸炭窒化物(SiCON)、またはそれらの組合せのような窒化物または炭化物材料を、または金属拡散バリアとして適切な他の膜を含むことができる。この層は、化学気相成長(CVD)またはプラズマ増強CVD(PECVD)を含むが、これに限られない方法を用いて、形成されてもよい。加えて、例えば、オプションの層であり得るエッチストップ層125(後述される)は、窒化シリコン(Si)のような窒化物材料、または炭化珪素(SiC)またはシリコン酸炭化物(SiCO)のような炭化物材料、または二酸化珪素(SiO)のような酸化物材料、またはそれらの組合せを含むことができる。この層は、化学気相成長(CVD)またはプラズマ増強CVD(PECVD)を含むが、これに限られない方法を用いて、形成されてもよい。
第1の誘電体層120および第2の誘電体層130は、同じ材料組成または異材質組成を含むことができる。各々の誘電体層は、例えば、二酸化珪素、またはほぼ4(例えば、サーマル二酸化珪素に対する誘電率は、3.8から3.9の範囲であり得る)のSiOの誘電率未満の公称誘電率(nominal dielectric)値を有する誘電材料を含むことができる。より詳しくは、第1および第2の誘電体層120,130は、3.7未満の誘電率、または1.6から3.7までの範囲である誘電率を有することができる。
各々の誘電体層120,130は、化学気相成長(CVD)またはプラズマ増強化学気相成長(PECVD)技術、または、東京エレクトロン株式会社(TEL)から市販されているClean Track ACT8 SODおよびACT12 SODコーティングシステム内でそれら誘電体層が提供されるようなスピンオン法誘電体(SOD)技術を使用して形成されることができる。Clean Track ACT8(200mm)、およびACT12(300mm)コーティングシステムは、SOD材料に対しコーティング、ベーキング、および硬化(cure)ツールを提供する。トラックシステムは、100mm、200mm、300mm、より大きい基板サイズを処理するために構成されることができる。誘電体膜を基板の上に形成する他のシステムおよび方法は、スピンオン法誘電体技術、および、CVD誘電体技術の当業者にとって周知である。
さらにまた、第1および第2の誘電体層120,130は、例えば、低い誘電率(またはlow−k)の誘電体膜として、特徴づけられることになる。これらの誘電体層は、有機、無機、および無機−有機ハイブリッド材料の少なくとも1つを含むことができる。加えて、これらの誘電体層は、ポーラスであることができ、または無孔(non−porus)であることができる。例えば、これらの誘電体層は、無機、CVD技術を使用して堆積されたカーボンドーピングした酸化シリコン(または有機金属シロキサン)のようなケイ酸塩ベースの材料を含むことにできる。このような膜の実施例は、アプライドマテリアルズ株式会社から市販されているブラックダイアモンド(登録商標)CVD有機珪酸塩ガラス(organosilicate glass:OSG)膜、またはノベラスシステムから市販されているコーラル(登録商標)CVD膜を含む。別の形態として、これらの誘電体層は、硬化または小さい空所(voids)または孔(pores))を生成する堆積プロセスの間、膜の完全な焼きしまり(densification)を防止するCH結合を有する酸化シリコンベースのマトリックスのような単一の相(single−phase)から成るポーラス無機−有機ハイブリッド膜を含むことができる。なおあるいは、これらの誘電体層は、硬化プロセスの間、分解されかつ蒸発された有機材料の孔(例えばポロゲン(porogen))を有するカーボンドープ酸化シリコンベースのマトリックスのような少なくとも2つの相から成るポーラス無機−有機ハイブリッド膜を含むことができる。なおあるいは、これらの誘電体層は、無機の、SOD技術を使用して堆積された水素シルセスキオキサン(HSQ)またはメチルシルセスキオキサン(MSQ)のようなケイ酸塩ベースの材料を含むことができる。このような膜の実施例は、ダウコーニングから市販されているFOx HSQ、ダウコーニングから市販されているXLKポーラスHSQ、およびJSRマイクロエレクトロニクスから市販されているJSR LKD−5109を含む。このような膜の実施例は、ダウケミカルから市販されているSiLK―I、SiLK−J、SiLK−H、SiLK−D、およびポーラスSiLK半導体誘電体樹脂と、ハネウェルから市販されているGX−3(登録商標)およびGX−3P(登録商標)半導体誘電体樹脂を含む。
加えて、例えば、(オプションの)ハードマスク層135は、窒化シリコン(Si)のような窒化物、炭化珪素(SiC)またはシリコン酸炭化物(SiCO)のような炭化物、または高融点金属またはタンタル窒化物(TaN)のような高融点金属窒化物、またはそれらの組合せを有することができる。この層は、化学気相成長(CVD)方法、プラズマ増強化学気相成長(PECVD)方法、および物理的気相成長(PVD)のスパッタリング方法を含むがこれに限られない方法を用いて、形成されることができる。
TERAコーティング140は、構造式R:C:H:Xを有し、そこにおいて、Rは、Si、Ge、B、Sn、Fe、Ti、およびそれらの組合せからなる群から選択され、Xは、存在しないかまたはO、N、S、およびFの1つ以上の群から選択される。TERAコーティング140は、ほぼ1.40<n<2.60の屈折率と、ほぼ0.01<k<0.78の減衰係数との光学的範囲を示すように製造されることができる。代わりとして、屈折率および減衰係数の少なくとも一方は、TERAコーティング140の厚さ方向に沿って、傾斜付け(または変化された)されることができる。さらなる詳細は、インターナショナルビジネスマシーンズが取得した米国特許番号6,316、167号のタイトル「反射防止コーティング、ハードマスク、および、組み合わせられた反射防止コーティング/ハードマスクとしての調整可能な気相堆積された材料、および、その製造およびそのアプリケーション方法」にて提供され、その全体の内容は、ここにそれら全体として取り入れられる。さらにまた、TERAコーティング140は、2003年8月21日に出願の「調整可能な光学的性質、および、エッチング特性を有する材料を堆積させるための方法および装置」と題された係属中の米国特許出願でより詳細に説明されているように、PECVDを使用して形成されることができる。その全体の内容は、ここにそれら全体として取り入れられる。TERAコーティング140の屈折率のような光学的性質は、下地の単層または多層の光学的性質に実質的に整合する(match)ように選ばれることができる。例えば、非多孔質誘電体膜のような下地層は、1.4<n<2.6の範囲の屈折率を達成することを必要とすることができ、および、ポーラス誘電体膜のような下地層は、1.2<n<2.6の範囲の屈折率を達成することを必要とすることができる。
加えて、例えば、感光材料145の層は、フォトレジストを含むことができ、そこにおいて、パターンは、マイクロリソグラフィを使用し、続いて光感応材料の照射を受けた領域(ポジ型フォトレジストの場合)または非照射領域(ネガ型レジストの場合)を現像溶媒を使用して除去することによって形成されることができる。例えば、感光材料145の単層(または多層)は、トラックシステムを使用して形成されることができる。トラックシステムは、248nmレジスト、193nmレジスト、157nmレジスト、EUVレジスト、(上部/下部)反射防止コーティング(TARC/BARC)、およびトップコートを処理するために構成されることができる。例えば、トラックシステムは、東京エレクトロン株式会社(TEL)から市販されているClean Track ACT8またはACT12のレジストコーティングおよび現像システムを含むことができる。フォトレジスト膜を基板上に形成する他のシステムと方法は、スピンオン法レジスト技術の当業者にとって周知である。加えて、例えば、マスクパターンは、なんらかの適切な従来のステッピングリソグラフィシステムまたはスキャンニングリソグラフィシステムを使用して形成されることができる。
光感応材料145の層が膜スタック100の上に形成され、それは、上記マイクロリソグラフィ使用して第1のパターニング180でパターニングされることができる。第1のパターン180は、例えば、ビアまたはコンタクトのパターンを含むことができる。図1Bに示すように、第1のパターン180は、例えば、ドライプラズマエッチングを使用してTERAコーティングへ転写されることができる。ドライプラズマエッチングプロセスは、酸素、弗素、塩素、臭素、水素、およびそれらの組合せからなる群から選択される少なくとも1つの種を含んでいるプラズマ化学を含むことができる。別の形態として、プラズマ化学は、窒素または希ガスのような不活性ガス(すなわちヘリウム、ネオン、アルゴン、キセノン、クリプトン、ラドン)を更に含むことができる。なお代わりとして、プラズマ化学は、TERAコーティングのエッチング速度と、感光材料の上に横たわっているパターン層のエッチング速度との間の高いエッチング選択比を呈するように選択される。なお代わりとしてプラズマ化学は、TERAコーティングのエッチング速度と、下層のハードマスク層のエッチング速度との間の高いエッチング選択比を呈するように選択される。一旦、第1のパターン180がTERAコーティング140へ転写されたならば、下層の膜スタックをエッチングするために、ハードマスクストップ層135とともに利用されるとき、パターン化されたTERAコーティング140は、シングルハードマスクまたは上部ハードマスクとして利用されることができる。残っている感光材料145は、それで、当業者にとって知られているプラズマまたは他の化学的技術を使用して取り除かれる。
ここで図1Cを参照して、感光材料146の別の層は、上で記載されている技術を使用して膜スタック100の上に形成される。その中で、第2のパターン190は、マイクロリソグラフィを使用して形成される。第2のパターン190は、例えば、トレンチパターンを含むことができる。一旦第2のパターン190が感光材料146の層に形成されるならば、第2のパターン190は、TERAコーティング140に転写され、図1Dに示すように、第1のパターン180は、ハードマスク層135に転写される。例えば、第2のパターンおよび第1のパターンの、TERAコーティングおよびハードマスク層に対するそれぞれの転写は、同時に実行されることができる。
TERAコーティング140およびハードマスク層135にパターン転写に続いて、図1Eは、第2の誘電体層130への第1のパターン180の転写を示す。図1Eの中間のビアエッチングステップがエッチストップ層125で止められるので、図1Eの構造は、部分的なビア構造を示す。第2の誘電体層130への第1のパターン180の転写は、ドライプラズマエッチングを含むことができ、そこにおいて、プロセスは、下層のエッチストップ層125上のストップするように設定されている。
例えば、酸化シリコン、二酸化珪素などのような酸化物誘電体膜をエッチングするときに、あるいは、カーボンドープされた酸化シリコン材料のような無機low−k誘電体膜をエッチングするときに、エッチング気体組成は、一般に、C、C、C、C、CFの少なくとも1つのようなフルオロカーボンベースの化学と、不活性ガス、酸素またはCOの少なくとも1つとを含む。加えて、例えば、有機low−k誘電体膜をエッチングするときに、エッチング気体組成は、フルオロカーボンガス、窒素含有ガス、水素含有ガス、または酸素含有ガスのうちの少なくとも1つを含むことができる。選択的に誘電体膜をエッチングする技術(例えば前に記載されているような)は、誘電体のエッチングプロセスの当業者にとって周知である。プラズマ化学は、エッチストップ層125に対する第2の誘電体層130のエッチングの高選択性を呈するように選ばれることができる。エッチングプロセスは、形状および限界寸法(CD)制御、エッチング均一性(基板全体の)、マイクロトレンチングを防ぐために平坦なエッチングフロント、感光材料の層に対するエッチング選択比、および、CMPストップ層、および、エッチストップ層に対するエッチング選択比のどれか一つを呈するように選ばれることができる。
ここで図1Fを参照して、第1のパターン180は、エッチストップ層125に転写され、第2のパターン190は、ハードマスク層135に転写される。例えば、第1のパターンおよび第2のパターンのエッチストップ層およびハードマスク層に対するそれぞれの転写は、同時に実行されることができる。パターン転写は、ドライプラズマエッチングを利用することができ、そこにおいて、プラズマ化学は、NF3、SF6、HBr、フルオロカーボンガス、ハイドロフルオロカーボンガス、または酸素含有ガスのうちの少なくとも1つを含んでいる。エッチングプロセスは、形状および限界寸法(CD)制御、感光材料の層に対するエッチング選択比、エッチング均一性(基板全体)、および、完全なハードマスク層の層およびエッチストップ層の除去のどれか1つでも呈するように選ばれることができる。
図1Gにおいて、第2のパターン190は、第2の誘電体層130に転写され、第1のパターン180は、第1の誘電体層120に転写される。例えば、第2のパターンおよび第1のパターンの第2の誘電体層および第1の誘電体層に対する、それぞれの転写は、同時に実行されることができ、そこにおいて、第2の誘電体層に対するエッチングプロセスは、エッチストップ層125で止まり、第1の誘電体層に対するエッチングプロセスは、金属キャップ層115で止まる。エッチングプロセスは、誘電体層に対して上記されたようなプラズマ化学を利用するドライプラズマエッチングを含むことができる。エッチングプロセスは、形状および限界寸法(CD)制御、エッチング均一性(基板全体)、マイクロトレンチングを防ぐ平坦なエッチングフロント、および第1のパターン/第2のパターンコーナー選択性のどれか1つでも呈するように選ばれることができる。なんらかの残っている感光材料146でも、当業者にとって知られているプラズマまたは他の化学的技術を使用して取り除かれることができる。
その後、図1Hに示すように、第1のパターン180は、例えば、ビア構造155およびトレンチ構造150の形成を完了する金属キャップ層115に転写される。
別の実施形態に係る図2A〜図2Fは、膜スタック200の配線構造を形成する方法の概略表現を示す。方法は、全ビアファースト(full via first)デュアルダマシン構造内にTERAコーティングの集積化として特徴づけられることができる。ここで使用しているように、「全ビアファースト」用語は、ビアの完全な形成に対するエッチングが、別の形態(例えばトレンチ)の形成に対するエッチングの前になされるプロセスを言うものである。膜スタック200は、形成された金属ライン212を有する基板210と、基板210上に形成された金属キャップ層215と、金属キャップ層215上に形成された第1の誘電体層220と、第1の誘電体層220上に形成されたエッチストップ層225と、エッチストップ層225上に形成された第2の誘電体層230と、第2の誘電体層230上に形成されたハードマスク層235と、ハードマスク層235上に形成された調整可能なエッチング耐性反射防止(TERA)コーティング240と、TERAコーティング240上に形成された感光材料245の層とを具備し、それは、図1Aに記載された膜スタック100とほぼ同じ方法で準備されることができる。膜スタック200は、ハードマスク層235を含んでもよいし含まなくても良い。膜スタック200がハードマスク層235を含むときに、ハードマスク層235は、ハードマスクまたはCMPストップ層のうちの少なくとも一方を提供することができ、TERAコーティング240は、上部ハードマスクと、調整可能なARC層と、CMPストップ層と、ハードマスク層235がデュアルダマシン構造のCMPストップ層として利用されるときの犠牲層とのうちの少なくとも1つを提供することができる。膜スタック200がハードマスク層235を含まないときに、TERAコーティング240は、シングルハードマスクと、調整可能なARC層と、CMPストップ層とのうちの少なくとも1つを提供する。
一旦、感光材料245の層が膜スタック200の上に形成されるならば、上記の通り、それは、マイクロリソグラフィを使用して第1のパターン280でパターニングされることができる。第1のパターン280は、例えば、ビアまたはコンタクトのパターンを含むことができる。図2Bに示すように、第1のパターン280は、TERAコーティング240、(オプションの)ハードマスク層235、第二誘電体層230、エッチストップ層225、および第1の誘電体層220へ、例えば、ドライプラズマエッチングを使用して転写されることができる。各々の層のエッチングプロセスは、ステップを含むことができ、化学は、上記に記載したのと同様である。図2Bの中間のビアエッチングステップが金属キャップ層215で止められるので、図2Bの構造は、全ビア構造と称される。なんらか残っている感光材料245でも、それで、当業者にとって知られているプラズマまたは他の化学的技術を使用して取り除かれる。
ここで図2Cを参照して、感光材料246の別の層は、上で記載されている技術を使用して膜スタック200上に形成される。その中で、第2のパターン290は、マイクロリソグラフィを使用して形成される。第2のパターン290は、例えば、トレンチパターンを含むことができる。一旦第2のパターン290が感光材料246の層に形成されるならば、第2のパターン290は、TERAコーティング240、ハードマスク層235、および第2の誘電体層230に転写される。なんらかの残っている感光材料246でも、それで、当業者にとって知られているプラズマまたは他の化学的技術を使用して取り除かれる。
その後、図2Dに示すように、第1のパターン280は、例えば、ビア構造250およびトレンチ構造255の形成を完了して、それで、金属キャップ層215に転写される。
第1のパターン280のパターン転写に続いて光感応層245の除去の間、アッシング(またはストリッピング)プロセスがTERAコーティング240の特性に影響を及ぼし得る。従って、本発明の1つの実施形態で、TERAコーティング240は、取り除かれることができ、図2Eに示すように、再堆積されることができる。その後、第2のパターン290のパターン転写は、2C図および2Dに示すように実行されることができる。しかしながら、このエッチングプロセスの間、TERAコーティング240は、第1の誘電体膜220の曝露された側壁から、完全に取り除かれることができるかまたは取り除かれることができない。従って、代わりの実施形態で、図2Fに示すように、TERAコーティング240は、第1のパターン転写に続いて取り除かれ、BARC層材料270は、例えば、スピンコート技術を使用して塗布される。ビア構造250を埋めているBARC層材料270は、それでドライプラズマエッチングを使用して部分的に取り除かれることができるかまたはへこませることができる。エッチング気体組成は、フルオロカーボンガス、窒素含有ガス、水素含有ガスまたは酸素含有ガスのうちの少なくとも1つを含むことができる。その後、第2のパターン290のパターン転写は、当業者にとって知られている標準の技術を使用して実行され得る。
別の実施形態に係る図3A〜図3Fは、膜スタック300の配線構造を形成する方法の概略表現を示す。方法は、にストップ層のない全ビアファーストデュアルダマシン構造にTERAコーティングの集積化として特徴づけられることができる。膜スタック300は、形成された金属ライン312を有する基板310と、基板310上に形成された金属キャップ層315と、金属キャップ層315上に形成された誘電体層320と、誘電体層320上に形成された調整可能なエッチング耐性反射防止(TERA)コーティング340と、TERAコーティング340上に形成された感光材料345の層とを具備し、それは、図1Aに記載された膜スタック100と、膜スタック300の各々の層はほぼ同じ方法で準備されることができる。ここに、TERAコーティング340は、CMPストップ層および調整可能なARC層を提供することができる。
一旦感光材料345の層が膜スタック300上に形成されるならば、上記の通り、それは、マイクロリソグラフィを使用して第1のパターン380でパターニングされることができる。第1のパターン380は、例えば、ビアまたはコンタクトのパターンを含むことができる。図3Bに示すように、第1のパターン380は、例えば、ドライプラズマエッチングを使用して、TERAコーティング340および誘電体層320へ転写されることができる。各々の層のエッチングプロセスは、ステップを含むことができ、化学は上記に記載されたのと同様である。なんらかの残っている感光材料345でも、それで、当業者にとって知られているプラズマまたは他の化学的技術を使用して取り除かれる。
ここで図3Cを参照して、感光材料346の別の層は、上で記載されている技術を使用して膜スタック300上に形成される。その中で、第2のパターン390は、マイクロリソグラフィを使用して形成される。第2のパターン390は、例えば、トレンチパターンを含むことができる。一旦第2のパターン390が感光材料346の層に形成されるならば、第2のパターン390は、TERAコーティング340および誘電体層320の上部へ転写される。第2のパターン390が誘電体層320に転写される深さは、エッチングプロセス中のエッチング時間を増加または減少させることによって調整されることができる。なんらかの残っている感光材料346でも、それで、当業者にとって知られているプラズマまたは他の化学的技術を使用して取り除かれる。その後、図3Dに示すように、第1のパターン380は、例えば、ビア構造350およびトレンチ構造355の形成を完了して、それで金属キャップ層315に転写される。
上述の通り、第1のパターン380のパターン転写に続いて光感応層345の除去の間、アッシング(またはストリッピング)プロセスがTERAコーティング340の特性に影響を及ぼし得る。従って、実施例において、TERAコーティング340は、取り除かれることができ、図3Eに示すように再堆積されることができる。その後、第2のパターン390のパターン転写は、図3Cおよび3Dに示すように実行されることができる。しかしながら、このエッチングプロセスの間、TERAコーティング340は、誘電体層320の曝露された側壁から、完全に取り除かれることができるかまたは取り除かれることができない。代わりの実施形態では、図3Fに示すように、TERAコーティング340は第1のパターン転写に引き続いて取り除かれ、BARC層370は、例えば、スピンコート技術を使用して塗布される。ビア構造350を埋めているBARC層材料370は、ドライプラズマエッチングを使用して、それで部分的に取り除かれることができるかまたはへこまされることができる。エッチング気体組成は、フルオロカーボンガス、窒素含有ガス、水素含有ガス、または酸素含有ガスのうちの少なくとも1つを含むことができる。その後、第2のパターン390のパターン転写は、当業者にとって知られている標準の技術を使用して実行され得る。
さらにもう一つの実施形態に係る、図4A〜図4Kは、膜スタック400の配線構造を形成する方法の概略表現を示す。方法は、複数のハードマスクトレンチファーストデュアルダマシン構造にTERAコーティングの集積化として特徴づけられることができる。膜スタック400は、形成された金属ライン412を有する基板410と、基板410上に形成された金属キャップ層415と、金属キャップ層415上に形成された第1の誘電体層420と、第1の誘電体層420上に形成された(オプションの)エッチストップ層425と、(オプションの)エッチストップ層425上に形成された第2の誘電体層430と、第2の誘電体層430上に形成された(オプションの)ハードマスク層435と、(オプションの)ハードマスク層435上に形成された調整可能なエッチング耐性反射防止(TERA)コーティング440と、第1のTERAコーティング440上に形成された第2のTERAコーティング441と、TERAコーティング441上に形成された感光材料445の層とを具備し、それは、図1Aに記載された膜スタック100と、ほぼ同じ方法で準備されることができる。膜スタック400は、ハードマスク層435を含んでもよいし含まなくても良い。膜スタック400がハードマスク層435を含むときに、ハードマスク層435は、ハードマスクまたはCMPストップ層のうちの少なくとも一方を提供することができ、第2のTERAコーティング441は、上部ハードマスクと、調整可能なARC層と、CMPストップ層と、ハードマスク層435がデュアルダマシン構造のCMPストップ層として利用されるときの犠牲層とのうちの少なくとも1つを提供することができる。膜スタック400がハードマスク層435を含まないときに、第2のTERAコーティング441は、シングルハードマスク、調整可能なARC層、およびCMPストップ層のうちの少なくとも1つを提供する。
一旦感光材料445の層が膜スタック400の上に形成されるならば、上記の通り、それは、マイクロリソグラフィを使用して第1のパターン480でパターニングされることができる。第1のパターン480は、例えば、トレンチのパターンを含むことができる。図4Bに示すように、第1のパターン480は、例えば、ドライプラズマエッチングを使用して第2のTERAコーティング441へ転写されることができる。エッチングプロセスは、ステップを含むことができ、化学は、上記に記載したのと同様である。なんらかの残っている感光材料445でも、当業者にとって知られているプラズマまたは他の化学的技術を使用して取り除かれることができる。
ここで図4Cを参照して、感光材料446の別の層は、上で記載されている技術を使用して膜スタック400上に形成される。その中で、第2のパターン490は、マイクロリソグラフィを使用して形成される。第2のパターン490は、例えば、ビアパターンを含むことができる。図4Dに示すように、一旦第2のパターン490が感光材料445の層に形成されるならば、第2のパターン490は、第1のTERAコーティング440および(オプションの)ハードマスク層435に転写される。
その後、図4Eに示すように、第2のパターン490は、第2の誘電体層430に転写される。図4Fを、ここで参照する。なんらかの残っている感光材料446でも、それで、当業者にとって知られているプラズマまたは他の化学的技術を使用して取り除かれる。
図4Gにおいて、第1のパターン480は、第1のTERAコーティングへ転写され、第2のパターン490は、(オプションの)エッチストップ層425に転写される。第1のパターンおよび第2のパターンの第1のTERAコーティングおよび(オプションの)エッチストップ層に対するそれぞれの転写は、同時に実行されることができ、そこにおいて、第1のTERAコーティングのエッチングプロセスは、ハードマスク層435に止まり、および、(オプションの)エッチストップ層のエッチングプロセスは、第1の誘電体層420で止まる。エッチングプロセスは、誘電体層に対する上記のようなプラズマ化学を利用するドライプラズマエッチングを含むことができる。エッチングプロセスは、形状および限界寸法(CD)制御、エッチング均一性(基板全体)、マイクロトレンチングを防ぐ平坦なエッチングフロント、および第1のパターン/第2のパターンコーナー選択性のどれか1つを呈するように選ばれることができる。
ここで図4Hを参照して、第1のパターン480は、ハードマスク層435に転写され、第2のパターン490は、第一誘電体層420に部分的に転写される。その後、図41に示すように、第1のパターン480は、第2の誘電体層430に転写され、第2のパターン490は、第1の誘電体層420に転写される。第1のパターンおよび第2のパターンの第2の誘電体層および第1の誘電体層に対するそれぞれの転写は、同時に実行されることができ、そこにおいて、第2の誘電体層のエッチングプロセスは、エッチストップ層425で止まり、第1の誘電体層のエッチングプロセスは、金属キャップ層415で止まる。エッチングプロセスは、誘電体層に対して上記のようなプラズマ化学を利用するドライプラズマエッチングを含むことができる。エッチングプロセスは、形状および限界寸法(CD)制御、エッチング均一性(基板全体に)、マイクロトレンチングを防ぐ平坦なエッチングフロント、および第1のパターン/第2のパターンコーナー選択性のどれか1つでも呈するように選ばれることができる。
その後、図4Jに示すように、第2のパターン490は、例えば、ビア構造455およびトレンチ構造450の形成を完了して、それで金属キャップ層415に転写される。
別の実施形態に係る図5A〜図5Dは、膜スタック500の配線構造を形成する方法の概略表現を示す。方法は、埋込み型ビアマスクデュアルダマシン構造でTERAコーティングの集積化として特徴づけられることができる。膜スタック500は、形成された金属ライン512を有する基板510と、基板510上に形成された金属キャップ層515と、金属キャップ層515上に形成された第1の誘電体層520と、第1の誘電体層520上に形成された第1の調整可能なエッチング耐性反射防止(TERA)コーティング540と、第1のTERAコーティング540上に形成された感光材料545の層とを具備する。各々の層は、図1Aに記載された膜スタック100とほぼ同じ方法で準備されることができる。
一旦光感応材料545の層が膜スタック500の上に形成されると、それは、上記の通り、マイクロリソグラフィを使用して第1のパターニング580でパターニングされることができる。第1のパターン580は、例えば、ビアまたはコンタクトのパターンを含むことができる。図5Bに示すように、第1のパターン580は、例えば、ドライプラズマエッチングを使用して、第1のTERAコーティング540へ転写されることができる。第1のTERA層のエッチングプロセスは、ステップを含むことができ、化学は、上記に記載したものと同様である。エッチングプロセスに続き、感光材料545の残っている層は、当業者にとって知られているプラズマまたは他の化学的技術を使用して取り除かれる。
ここで図5Cを参照して、第2の誘電体層530は、パターン化された第1のTERAコーティング540上に形成され、ハードマスク層535は、第2の誘電体層530上に形成され、第2のTERAコーティング542は、ハードマスク層535上に形成され、感光材料546の別の層は、第2のTERAコーティング542上に形成される。各々の層は、図1Aに記載された膜スタック100とほぼ同じ方法で準備されることができる。膜スタック500は、ハードマスク層535を含んでもよいし含まなくても良い。膜スタック500がハードマスク層535を含むときに、ハードマスク層535は、ハードマスクまたはCMPストップ層のうちの少なくとも一方を提供することができ、TERAコーティング540は、上部ハードマスクと、調整可能なARC層と、CMPストップ層と、およびハードマスク層535がデュアルダマシン構造のCMPストップ層として利用されるときの犠牲層とのうちの少なくとも1つを提供することができる。膜スタック500がハードマスク層535を含まないときに、TERAコーティング540は、シングルハードマスクと、調整可能なARC層と、CMPストップ層とのうちの少なくとも1つを提供する。
一旦感光材料546の追加の層が膜スタック500上に形成されると、第2のパターン590は、マイクロリソグラフィを使用して形成される。第2のパターン590は、例えば、トレンチのパターンを含むことができる。図5Dに示すように、一旦第2のパターン590が感光材料546の層に形成されるならば、第2のパターン590は、例えば、ドライプラズマエッチングを使用して、第2のTERAコーティング542、(オプションの)ハードマスク層535、および第2の誘電体層530に転写される。第1のTERAコーティング540がエッチストップ層として役立つとともに、第1のパターン580は、例えば、ドライプラズマエッチングを使用して第1の誘電体層520に転写される。何らかの残っている感光材料546でも、当業者にとって知られているプラズマまたは他の化学的技術を使用して取り除かれることができる。その後、金属キャップ層515は、例えば、ビア構造550およびトレンチ構造555の形成を完了して、それで取り除かれることができる。各々の層のエッチングプロセスは、ステップを含むことができ、化学は、上記に記載したのと同様である。
別の実施形態に係る図6A〜図6Iは、膜スタック600の配線構造を形成する方法の概略表現を示す。方法は、シングルダマシン構造でTERAコーティングの集積化として特徴づけられることができる。膜スタック600は、形成された金属ライン612を有する基板610と、基板610上に形成された金属キャップ層615と、金属キャップ層615上に形成された第1の誘電体層620と、第1の誘電体層620上に形成された(オプションの)第1のハードマスク625と、第1の(オプションの)ハードマスク625上に形成された第1のTERAコーティング640と、第1のTERAコーティング640上に形成された感光材料645の層とを具備する。各々の層は、図1Aに記載された膜スタック100とほぼ同じ方法で準備されることができる。
前記膜スタック600は、ハードマスク層625を含んでもよいし含まなくても良い。膜スタック600がハードマスク層625を含むときに、ハードマスク層625は、ハードマスクまたはCMPストップ層のうちの少なくとも一方を提供することができ、TERAコーティング640は、上部ハードマスクと、調整可能なARC層と、CMPストップ層とのうちの少なくとも1つを提供することができる。膜スタック600がハードマスク層625を含まないときに、TERAコーティング640は、シングルハードマスクと、調整可能なARC層と、CMPストップ層とのうちの少なくとも1つを提供する。
一旦感光材料645の層が膜スタック600上に形成されると、上記の通り、それは、マイクロリソグラフィを使用して第1のパターン680でパターニングされることができる。第1のパターン680は、例えば、ビアまたはコンタクトのパターンを含むことができる。図6Bに示すように、第1のパターン680は、例えば、ドライプラズマエッチングを使用して、第1のTERAコーティング640および(オプションの)第1のハードマスク625に転写されることができる。エッチングプロセス(単一のプロセスまたは複数のプロセス)は、ステップを含むことができ、化学は、上記に記載されたのと同様である。その後、図6Cに示すように、第1のパターン680は、例えば、ドライプラズマエッチングを使用して第1の誘電体層620に転写される。エッチングプロセスは、ステップを含むことができ、化学は、上記に記載されたのと同様である。エッチングプロセスに続いて、図6Dにて図示したように、感光材料645の残っている層は、当業者にとって知られているプラズマまたは他の化学的技術を使用して取り除かれる。
ここで図6Eを参照して、第1のパターン680は、例えば、ドライプラズマエッチングを使用して金属キャップ層615に転写される。エッチングプロセスは、ステップを含むことができ、化学は、上記に記載されたのと同様である。
ここで図6Fを参照して、アルミニウムまたは銅のような金属は、物理気相成長法(PVD)、CVD、PECVD、電気メッキ、またはそれらのなんらかの組合せの少なくとも1つを使用して、第1の誘電体層620に第1のパターン(またはビア)を埋めるように、膜スタック600上に堆積される。一旦金属堆積が完了すると、例えば、第1のハードマスク層625に対しCMPを使用して、金属はポリッシュされる。その後、第2の金属キャップ層626は、第1の(オプションの)ハードマスク層625および金属が埋め込まれた第1のパターン(またはビア)613の上に形成され、第2の誘電体層630は、第2の金属キャップ層626上に形成され、第2の(オプションの)ハードマスク層635は、第2の誘電体層630上に形成され、第2のTERAコーティング641は、第2の(オプションの)ハードマスク層635上に形成され、および、光感応材料646の別の層は、第2のTERAコーティング641上に形成される。各々の層は、図1Aに記載された膜スタック100と、ほぼ同じ方法で準備されることができる。膜スタック600は、第2のハードマスク層635を含んでもよいし含まなくても良い。膜スタック600がハードマスク層635を含むときに、ハードマスク層635は、ハードマスクまたはCMPストップ層のうちの少なくとも一方を提供することができ、TERAコーティング641は、上部ハードマスクと、調整可能なARC層と、CMPストップ層とのうちの少なくとも1つを提供することができる。膜スタック600がハードマスク層635を含まないときに、TERAコーティング640は、シングルハードマスクと、調整可能なARC層と、CMPストップ層とのうちの少なくとも1つを提供する。
一旦感光材料646の追加の層が膜スタック600上に形成されると、第2のパターン690は、マイクロリソグラフィを使用して形成される。第2のパターン690は、例えば、トレンチのパターンを含むことができる。図6Gに示すように、一旦第2のパターン690が感光材料646の層に形成されるならば、第2のパターン690は、例えば、ドライプラズマエッチングを使用して、第2のTERAコーティング641、第2の(オプションの)ハードマスク層635、および第2の誘電体層630に転写される。図6Hにて図示したように、なんらかの残っている感光材料646でも、当業者にとって知られているプラズマまたは他の化学的技術を使用して取り除かれることができる。その後、第2の金属キャップ層626は、例えば、ビア構造650(金属で埋められる)およびトレンチ構造655(金属埋め込みのために準備される)の形成を完了して、それで、取り除かれることができる。各々の層のエッチングプロセスは、ステップを含むことができ、化学は、上記に記載されたのと同様である。
本発明の特定の典型的な実施形態だけが上で詳述されており、当業者は、多くの変更態様が本発明の新規進歩の事項から逸脱することなく例示的実施態様で可能であると容易に理解する。したがって、全てのこのような変更態様は、本発明の範囲内に包含されることを目的とするものである。
本発明の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の別の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の別の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の別の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の別の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の別の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の別の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の別の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の別の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の別の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の別の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の別の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の別の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の別の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の別の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の別の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の別の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の別の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の別の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の別の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の別の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の別の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の別の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の別の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の別の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の別の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の別の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の別の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の別の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の別の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の別の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の別の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の別の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の別の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の別の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。 本発明の別の実施形態に係る配線構造を形成する方法の簡略化された概略表現を示す図である。

Claims (32)

  1. 半導体基板と、
    前記半導体基板上に形成され、ハードマスク層および前記ハードマスク層上に構造式R:C:H:Xを有して形成された少なくとも1つの調整可能なエッチング耐性反射防止コーティング(TERA膜)を備えた多層ハードマスク内部に含む膜スタックとを具備し、
    前記Rは、Si、Ge、B、Sn、Fe、Ti、およびそれらの組合せからなる群から選択され、
    前記Xは、存在しないかまたはO、N、S、およびFの1つ以上からなる群から選択されており、
    前記TERA膜は、膜厚方向に沿って傾斜付けされる屈折率および減衰係数を有しており、
    前記膜スタック内に形成される金属配線のためのダマシン構造を更に具備する半導体デバイス。
  2. 前記調整可能な反射防止コーティングは、前記膜スタックの前記金属配線の形成の間のリソグラフィ構造の一部である請求項1のデバイス。
  3. 前記調整可能な反射防止コーティングは、前記ダマシン構造のためのケミカルメカニカルポリシング(CMP)のストップ層である請求項1のデバイス。
  4. 前記調整可能な反射防止コーティングは、シングルハードマスクと、複数の層のハードマスクの上部層と、反射防止コーティングとのうちの少なくとも1つを含んでいる請求項1のデバイス。
  5. 前記調整可能な反射防止コーティングは、前記膜スタックの光学的性質に実質的に整合する光学的性質を有するように構成されている請求項1のデバイス。
  6. 前記光学的性質は、屈折率と、減衰係数とのうちの少なくとも一方である請求項5のデバイス。
  7. 前記屈折率は、1.4から2.6までの範囲の値である請求項6のデバイス。
  8. 前記減衰係数は、0.01から0.78までの範囲の値である請求項6のデバイス。
  9. 前記屈折率と、前記減衰係数とのうちの少なくとも一方は、前記調整可能な反射防止コーティングの厚さ方向に沿って傾斜付けされている請求項6のデバイス。
  10. 前記屈折率は、1.2から2.6までの範囲の値である請求項6のデバイス。
  11. 前記調整可能な反射防止コーティングは、化学気相成長(CVD)コーティングと、プラズマ増強CVDコーティングとのうちの少なくとも一方である請求項1のデバイス。
  12. 前記調整可能な反射防止コーティングは、前記シングルダマシン構造の限界寸法の制御と、前記ダマシン構造の限界寸法の変化の制御とのうちの少なくとも一方を提供するように構成されている請求項1のデバイス。
  13. 前記ダマシン構造は、シングルダマシン構造である請求項1の半導体デバイス。
  14. 前記ダマシン構造は、デュアルダマシン構造である請求項1の半導体デバイス。
  15. 前記膜スタックは、low―k誘電体層を更に含んでいる請求項1の半導体デバイス。
  16. 基板上に誘電体材料層を形成することと、
    この誘電体材料層上に多層ハードマスクを形成するようにハードマスク層および前記ハードマスク層上に構造式R:C:H:Xを有して形成された調整可能なエッチング耐性反射防止(TERA)材料の少なくとも1つの層を形成することと、
    配線構造と、ハードマスクと、反射防止コーティングと、ケミカルメカニカルポリシング(CMP)のストップ層との形成のためのリソグラフィ構造のうちの少なくとも1つに前記TERA材料の層を使用することにより金属配線のためのデュアルダマシン構造を形成することとを具備し、
    前記Rは、Si、Ge、B、Sn、Fe、Ti、およびそれらの組合せからなる群から選択され、
    前記Xは、存在しないかまたはO、N、S、およびFの1つ以上からなる群から選択されており、
    前記TERA材料の層は、膜厚方向に沿って傾斜付けされる屈折率および減衰係数を有している、集積回路構造を形成するためのプロセス。
  17. 前記TERA材料の層の上に、前記TERA材料の層の光学的性質と実質的に同じ光学的性質を有する感光材料の層を形成することと、
    放射線のパターンに前記感光材料の層を露光させることとを更に具備し、
    前記TERA材料の層を前記形成することは、前記放射線のパターンと実質的に同様の前記感光材料の層にパターンを作成するのを容易にする請求項16のプロセス。
  18. 前記TERA材料の層を前記形成することは、デバイス構造に対する金属配線の形成のためのリソグラフィ構造の一部を提供することを有している請求項17のプロセス。
  19. 前記TERA材料の層を前記形成することは、化学気相成長(CVD)と、プラズマ増強CVDとのうちの少なくとも一方を使用して前記TERA材料の層を堆積させることを有している請求項17のプロセス。
  20. ダマシン構造を前記形成することは、シングルダマシン構造で調整可能な反射防止コーティングを集積化することを有している請求項16のプロセス。
  21. ダマシン構造を前記形成することは、デュアルダマシン構造で調整可能な反射防止コーティングを集積化することを有している請求項16のプロセス。
  22. ダマシン構造を前記形成することは、ビアファースト方法と、全ビアファースト方法と、ストップ層の無い全ビア方法と、トレンチファースト方法と、埋込みビアマスク方法とのうちの少なくとも1つを有する方法を使用して形成されたデュアルダマシン構造で調整可能な反射防止コーティングを集積化することを有している請求項21のプロセス。
  23. 金属ラインと、
    基板上に形成された金属キャップ層と、
    前記金属キャップ層上に形成された第1の誘電体層と、
    前記第1の誘電体層上に形成された第2の誘電体層と、
    前記誘電体層上に形成されたハードマスク層および前記ハードマスク層上に形成され、構造式R:C:H:Xを有して形成された調整可能なエッチング耐性反射防止(TERA)コーティングを含む多層ハードマスクと
    前記TERAコーティング上に形成された光感応材料の第1の層と、を有する、前記基板を含む膜スタックを準備することと;
    前記感光材料の第1の層に第1のパターンを形成することと;
    前記第1のパターンを前記TERAコーティングに転写することと;
    感光材料の第2の層を前記TERAコーティング上に形成することと;
    前記感光材料の第2の層に第2のパターンを形成することと;
    前記第2のパターンを前記TERAコーティングに転写すること;
    前記第1のパターンを前記ハードマスク層に転写することと;
    前記第1のパターンを前記第2の誘電体層に転写すること;
    前記第2のパターンを前記ハードマスク層に転写すること;
    前記第2のパターンを前記第2の誘電体層に転写すること;
    前記第1のパターンを前記第1の誘電体層に転写すること;
    前記第1のパターンを前記金属キャップ層に転写することを具備し、
    前記Rは、Si、Ge、B、Sn、Fe、Ti、およびそれらの組合せからなる群から選択され、
    前記Xは、存在しないかまたはO、N、S、およびFの1つ以上からなる群から選択されており、
    前記TERA膜は、膜厚方向に沿って傾斜付けされる屈折率および減衰係数を有している、配線構造を形成する方法。
  24. 前記感光材料の第1の層を取り除くことを更に具備する請求項23の方法。
  25. 前記感光材料の第2の層を取り除くことを更に具備する請求項23の方法。
  26. 前記エッチストップ層上に形成される前記第2の誘電体層の前に、前記第1の誘電体層上に形成されるエッチストップ層を有する前記膜スタックを準備することと;
    前記第1のパターンを前記エッチストップ層に転写することとを更に具備する請求項23の方法。
  27. 前記TERAコーティング上に下部の反射防止コーティング(BARC)層を形成することと;
    前記BARC層を取り除くこととを更に具備する請求項23の方法。
  28. 金属ラインと、
    基板上に形成された金属キャップ層と、
    前記金属キャップ層上に形成された第1の誘電体層と、
    前記第1の誘電体層上に形成された第2の誘電体層と、
    前記誘電体層上に形成されたハードマスク層前記ハードマスク層上に形成された第1の調整可能なエッチング耐性反射防止(TERA)コーティングおよび前記第1のTERAコーティング上に形成された第2のTERAコーティングを含む多層ハードマスクと
    前記TERAコーティング上に形成された光感応材料の第1の層と、を有する、前記基板を含む膜スタックを準備することと;
    前記感光材料の第1の層に第1のパターンを形成することと;
    前記第1のパターンを前記第2のTERAコーティングに転写することと;
    感光材料の第2の層を前記TERAコーティング上に形成することと;
    前記感光材料の第2の層に第2のパターンを形成することと;
    前記第2のパターンを前記第1のTERAコーティングに転写することと;
    前記第2のパターンを前記ハードマスク層に転写することと;
    前記第2のパターンを前記第2の誘電体層に転写することと;
    前記第2のパターンを前記第1の誘電体層に転写することと;
    前記第1のパターンを前記第1のTERAコーティングに転写することと;
    前記第1のパターンを前記ハードマスク層に転写することと;
    前記第1のパターンを前記第2の誘電体層に転写することと;
    前記第2のパターンを前記金属キャップ層に転写することとを具備し、
    前記TERAコーティングは、構造式R:C:H:Xを有しており、
    前記Rは、Si、Ge、B、Sn、Fe、Ti、およびそれらの組合せからなる群から選択され、
    前記Xは、存在しないかまたはO、N、S、およびFの1つ以上からなる群から選択されており、
    前記TERAコーティングは、膜厚方向に沿って傾斜付けされる屈折率および減衰係数を有している、配線構造を形成する方法。
  29. 前記第2のTERAコーティングに前記第1のパターンを前記転写した後に、前記光感応材料の第1の層を取り除くことを更に具備する請求項28の方法。
  30. 前記第2の誘電体層に前記第2のパターンを前記転写した後に、前記光感応材料の第2の層を取り除くことを更に具備する請求項28または29の方法。
  31. 前記エッチストップ層上に形成される前記第2の誘電体層の前に、前記第1の誘電体層上に形成されるエッチストップ層を有する前記膜スタックを準備することと;
    前記第2のパターンを前記エッチストップ層に転写することとを更に具備する請求項28,29または30の方法。
  32. 金属ラインと、
    基板上に形成された金属キャップ層と、
    前記金属キャップ層上に形成された第1の誘電体層と、
    前記第1の誘電体層上に形成されたハードマスクおよび前記ハードマスク層上に形成された調整可能なエッチング耐性反射防止(TERA)コーティングを含む第1の多層ハードマスクと
    前記TERAコーティング上に形成された光感応材料の第1の層と、を有する、前記基板を含む膜スタックを準備することと;
    前記感光材料の第1の層に第1のパターンを形成することと;
    前記第1のパターンを前記TERAコーティングに転写することと;
    前記第1のパターンを前記ハードマスク層に転写することと;
    前記第1のパターンを前記第1の誘電体層に転写することと;
    前記第1のパターンを前記金属キャップ層に転写することと;
    前記TERAコーティングを取り除くことと;
    前記第1の誘電体層および前記金属キャップ層の前記第1のパターンを金属で埋めることと;
    第2の金属キャップ層を前記膜スタック上に形成することと;
    第2の誘電体層を前記第2の金属キャップ層上に形成することと;
    第2の多層ハードマスクを形成するように第2のハードマスク層を前記第2の誘電体層上に形成し、第2のTERAコーティングを前記第2のハードマスク層上に形成することと;
    感光材料の第2の層を前記第2のTERAコーティング上に形成することと;
    前記感光材料の第2の層に第2のパターンを形成することと;
    前記第2のパターンを前記第2のTERAコーティングに転写することと;
    前記第2のパターンを前記第2のハードマスク層に転写することと;
    前記第2のパターンを前記第2の誘電体層に転写することと;
    前記第2のパターンを前記第2の金属キャップ層に転写することとを具備し、
    前記TERAコーティングは、構造式R:C:H:Xを有しており、
    前記Rは、Si、Ge、B、Sn、Fe、Ti、およびそれらの組合せからなる群から選択され、
    前記Xは、存在しないかまたはO、N、S、およびFの1つ以上からなる群から選択されており、
    前記TERAコーティングは、膜厚方向に沿って傾斜付けされる屈折率および減衰係数を有している、配線構造を形成する方法。
JP2006539479A 2003-11-14 2004-10-12 調整可能な反射防止コーティングを含む構造およびその形成方法。 Expired - Fee Related JP5269317B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/706,968 2003-11-14
US10/706,968 US7199046B2 (en) 2003-11-14 2003-11-14 Structure comprising tunable anti-reflective coating and method of forming thereof
PCT/US2004/030915 WO2005053011A1 (en) 2003-11-14 2004-10-12 Structure comprising tunable anti-reflective coating and method of forming thereof

Publications (2)

Publication Number Publication Date
JP2007511906A JP2007511906A (ja) 2007-05-10
JP5269317B2 true JP5269317B2 (ja) 2013-08-21

Family

ID=34573418

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006539479A Expired - Fee Related JP5269317B2 (ja) 2003-11-14 2004-10-12 調整可能な反射防止コーティングを含む構造およびその形成方法。

Country Status (5)

Country Link
US (2) US7199046B2 (ja)
JP (1) JP5269317B2 (ja)
KR (1) KR101044984B1 (ja)
TW (1) TWI307544B (ja)
WO (1) WO2005053011A1 (ja)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7176571B2 (en) * 2004-01-08 2007-02-13 Taiwan Semiconductor Manufacturing Company Nitride barrier layer to prevent metal (Cu) leakage issue in a dual damascene structure
KR100743745B1 (ko) * 2004-01-13 2007-07-27 동경 엘렉트론 주식회사 반도체장치의 제조방법 및 성막시스템
US7497959B2 (en) * 2004-05-11 2009-03-03 International Business Machines Corporation Methods and structures for protecting one area while processing another area on a chip
US7504727B2 (en) * 2004-05-14 2009-03-17 International Business Machines Corporation Semiconductor interconnect structure utilizing a porous dielectric material as an etch stop layer between adjacent non-porous dielectric materials
US20060049139A1 (en) * 2004-08-26 2006-03-09 Tokyo Electron Limited Method and system for etching a gate stack
US7067435B2 (en) * 2004-09-29 2006-06-27 Texas Instruments Incorporated Method for etch-stop layer etching during damascene dielectric etching with low polymerization
JP4357434B2 (ja) * 2005-02-25 2009-11-04 株式会社東芝 半導体装置の製造方法
US7371684B2 (en) * 2005-05-16 2008-05-13 International Business Machines Corporation Process for preparing electronics structures using a sacrificial multilayer hardmask scheme
US7682516B2 (en) * 2005-10-05 2010-03-23 Lam Research Corporation Vertical profile fixing
US7514347B2 (en) * 2005-10-13 2009-04-07 United Microelectronics Corp. Interconnect structure and fabricating method thereof
US7485573B2 (en) * 2006-02-17 2009-02-03 International Business Machines Corporation Process of making a semiconductor device using multiple antireflective materials
US20070205507A1 (en) * 2006-03-01 2007-09-06 Hui-Lin Chang Carbon and nitrogen based cap materials for metal hard mask scheme
US20070218681A1 (en) * 2006-03-16 2007-09-20 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
KR100781422B1 (ko) * 2006-05-24 2007-12-03 동부일렉트로닉스 주식회사 듀얼 다마신 패턴 형성 방법
US7781332B2 (en) * 2007-09-19 2010-08-24 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics using a protective sidewall spacer
US8618663B2 (en) * 2007-09-20 2013-12-31 International Business Machines Corporation Patternable dielectric film structure with improved lithography and method of fabricating same
DE102007052048A1 (de) * 2007-10-31 2009-05-14 Advanced Micro Devices, Inc., Sunnyvale Doppelintegrationsschema für Metallschicht mit geringem Widerstand
KR100907890B1 (ko) * 2007-12-03 2009-07-15 주식회사 동부하이텍 반도체 소자의 제조 방법
US8003522B2 (en) * 2007-12-19 2011-08-23 Fairchild Semiconductor Corporation Method for forming trenches with wide upper portion and narrow lower portion
EP2306498A1 (en) * 2008-06-17 2011-04-06 Ulvac, Inc. Method for manufacturing multistep substrate
US8597531B2 (en) 2009-04-02 2013-12-03 Infineon Technologies Ag Method for manufacturing a device on a substrate
US20110151222A1 (en) * 2009-12-22 2011-06-23 Agc Flat Glass North America, Inc. Anti-reflective coatings and methods of making the same
US8896120B2 (en) * 2010-04-27 2014-11-25 International Business Machines Corporation Structures and methods for air gap integration
US8298954B1 (en) * 2011-05-06 2012-10-30 International Business Machines Corporation Sidewall image transfer process employing a cap material layer for a metal nitride layer
JP6096470B2 (ja) * 2012-10-29 2017-03-15 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6132525B2 (ja) 2012-11-30 2017-05-24 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
WO2014134124A1 (en) * 2013-03-01 2014-09-04 Kleptsyn Vladimir Anti-reflective coating
US8809185B1 (en) * 2013-07-29 2014-08-19 Tokyo Electron Limited Dry etching method for metallization pattern profiling
CN108122822B (zh) * 2016-11-29 2021-04-23 中芯国际集成电路制造(上海)有限公司 半导体器件的制备方法
JP6945385B2 (ja) * 2017-08-14 2021-10-06 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
CN110718506A (zh) * 2019-09-30 2020-01-21 上海华力集成电路制造有限公司 一种制作14nm节点后段制程32nm线宽金属的方法
CN113161284A (zh) * 2020-01-07 2021-07-23 台湾积体电路制造股份有限公司 用于制造互连结构的方法
WO2021173421A1 (en) * 2020-02-25 2021-09-02 Tokyo Electron Limited Dielectric etch stop layer for reactive ion etch (rie) lag reduction and chamfer corner protection

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6117619A (en) * 1998-01-05 2000-09-12 Micron Technology, Inc. Low temperature anti-reflective coating for IC lithography
TW392324B (en) * 1998-01-23 2000-06-01 United Microelectronics Corp Dual damascene process
US6316167B1 (en) * 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US6284149B1 (en) * 1998-09-18 2001-09-04 Applied Materials, Inc. High-density plasma etching of carbon-based low-k materials in a integrated circuit
JP2001068455A (ja) * 1999-08-30 2001-03-16 Hitachi Ltd 半導体装置の製造方法
JP2001077196A (ja) * 1999-09-08 2001-03-23 Sony Corp 半導体装置の製造方法
US20010051420A1 (en) * 2000-01-19 2001-12-13 Besser Paul R. Dielectric formation to seal porosity of low dielectic constant (low k) materials after etch
JP2002093778A (ja) * 2000-09-11 2002-03-29 Toshiba Corp 有機膜のエッチング方法およびこれを用いた半導体装置の製造方法
US6441491B1 (en) * 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6500773B1 (en) * 2000-11-27 2002-12-31 Applied Materials, Inc. Method of depositing organosilicate layers
KR100837100B1 (ko) * 2001-01-03 2008-06-13 다우 코닝 코포레이션 금속 이온 확산 차단층을 포함하는 집적회로 및 금속 이온 이동 억제방법
US6309955B1 (en) * 2001-02-16 2001-10-30 Advanced Micro Devices, Inc. Method for using a CVD organic barc as a hard mask during via etch
JP2002252222A (ja) * 2001-02-22 2002-09-06 Nec Corp 半導体装置の製造方法、及び半導体装置
US6486059B2 (en) * 2001-04-19 2002-11-26 Silicon Intergrated Systems Corp. Dual damascene process using an oxide liner for a dielectric barrier layer
US6777171B2 (en) * 2001-04-20 2004-08-17 Applied Materials, Inc. Fluorine-containing layers for damascene structures
US6620727B2 (en) * 2001-08-23 2003-09-16 Texas Instruments Incorporated Aluminum hardmask for dielectric etch
JP4085648B2 (ja) * 2002-02-22 2008-05-14 ソニー株式会社 半導体装置の製造方法
US7105460B2 (en) * 2002-07-11 2006-09-12 Applied Materials Nitrogen-free dielectric anti-reflective coating and hardmask
US6903023B2 (en) * 2002-09-16 2005-06-07 International Business Machines Corporation In-situ plasma etch for TERA hard mask materials
US6803313B2 (en) * 2002-09-27 2004-10-12 Advanced Micro Devices, Inc. Method for forming a hardmask employing multiple independently formed layers of a pecvd material to reduce pinholes
US6853043B2 (en) * 2002-11-04 2005-02-08 Applied Materials, Inc. Nitrogen-free antireflective coating for use with photolithographic patterning
US6917108B2 (en) * 2002-11-14 2005-07-12 International Business Machines Corporation Reliable low-k interconnect structure with hybrid dielectric
US6869542B2 (en) * 2003-03-12 2005-03-22 International Business Machines Corporation Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials
US20050062164A1 (en) * 2003-09-23 2005-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving time dependent dielectric breakdown lifetimes
US7553769B2 (en) * 2003-10-10 2009-06-30 Tokyo Electron Limited Method for treating a dielectric film
US7611758B2 (en) * 2003-11-06 2009-11-03 Tokyo Electron Limited Method of improving post-develop photoresist profile on a deposited dielectric film

Also Published As

Publication number Publication date
KR20070005912A (ko) 2007-01-10
US7199046B2 (en) 2007-04-03
US20050104150A1 (en) 2005-05-19
JP2007511906A (ja) 2007-05-10
WO2005053011A1 (en) 2005-06-09
TWI307544B (en) 2009-03-11
TW200527164A (en) 2005-08-16
US20050230677A1 (en) 2005-10-20
KR101044984B1 (ko) 2011-06-29

Similar Documents

Publication Publication Date Title
JP5269317B2 (ja) 調整可能な反射防止コーティングを含む構造およびその形成方法。
US7115993B2 (en) Structure comprising amorphous carbon film and method of forming thereof
US7795148B2 (en) Method for removing damaged dielectric material
TWI488239B (zh) 互連線結構中之絕緣介電質的覆蓋層之移除方法
US20090311634A1 (en) Method of double patterning using sacrificial structure
JP4763600B2 (ja) エッチング・パターンを形成する方法及びデュアル・ダマシン相互接続構造体を形成する方法
JP5186086B2 (ja) デュアル・ダマシン・パターニング・アプローチ
US7432191B1 (en) Method of forming a dual damascene structure utilizing a developable anti-reflective coating
US7723237B2 (en) Method for selective removal of damaged multi-stack bilayer films
US20070283883A1 (en) System and method for imprint lithography to facilitate dual damascene integration with two imprint acts
US20040087164A1 (en) Scum solution for chemically amplified resist patterning in cu/low k dual damascene
US6306769B1 (en) Use of dual patterning masks for printing holes of small dimensions
US7767386B2 (en) Method of patterning an organic planarization layer
US7862985B2 (en) Method for double patterning a developable anti-reflective coating
US20080073321A1 (en) Method of patterning an anti-reflective coating by partial etching
US7883835B2 (en) Method for double patterning a thin film
US7932017B2 (en) Method of double patterning a thin film using a developable anti-reflective coating and a developable organic planarization layer
US7811747B2 (en) Method of patterning an anti-reflective coating by partial developing
US7858293B2 (en) Method for double imaging a developable anti-reflective coating
TWI231528B (en) Method of preventing photoresist residues

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070926

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110906

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111104

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120110

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120410

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20120529

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20120706

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130508

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees