KR20070005912A - 가변 반사방지 코팅을 포함하는 구조 및 그의 형성 방법 - Google Patents

가변 반사방지 코팅을 포함하는 구조 및 그의 형성 방법 Download PDF

Info

Publication number
KR20070005912A
KR20070005912A KR1020067005435A KR20067005435A KR20070005912A KR 20070005912 A KR20070005912 A KR 20070005912A KR 1020067005435 A KR1020067005435 A KR 1020067005435A KR 20067005435 A KR20067005435 A KR 20067005435A KR 20070005912 A KR20070005912 A KR 20070005912A
Authority
KR
South Korea
Prior art keywords
film
pattern
forming
coating
tera
Prior art date
Application number
KR1020067005435A
Other languages
English (en)
Other versions
KR101044984B1 (ko
Inventor
제프리 티 Ÿ‡젤
데이비드 씨 왕
에릭 엠 리
도렐 이오안 토마
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20070005912A publication Critical patent/KR20070005912A/ko
Application granted granted Critical
Publication of KR101044984B1 publication Critical patent/KR101044984B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1031Dual damascene by forming vias in the via-level dielectric prior to deposition of the trench-level dielectric

Abstract

가변 내식각성 반사방지 (TERA) 코팅을 포함하는 BEOL(back end of line) 소자에 있어서의 배선 구조가 기술된다. TERA 코팅은, 예를 들어 싱글 다마신 구조 또는 듀얼 다마신 구조 내에 집적될 수 있다. TERA 코팅은 배선 구조를 형성하기 위한 리쏘그래피 마스크의 역할로써 제공될 수도 있고, 하드 마스크, 화학 기계적 연마(CMP) 정지막 또는 CMP 동안의 희생막으로서 제공될 수도 있다.

Description

가변 반사방지 코팅을 포함하는 구조 및 그의 형성 방법{STRUCTURE COMPRISING TUNABLE ANTI-REFLECTIVE COATING AND METHOD OF FORMING THEREOF}
본 발명은 가변 반사방지 코팅(ARC) 및 그 구조를 형성하는 방법에 관한 것이며, 더욱 상세하게는 가변 ARC 막을 포함하는 BEOL(back-end of line) 구조 및 그 구조를 형성하는 방법에 관한 것이다.
물질 처리 방법론에 있어서, 패턴 식각은 포토레지스트와 같은 광감성 물질의 패터닝된 마스크를 기판의 상부면 상의 박막에 적용시키는 공정과, 그 마스크 패턴을 식각에 의해 하부의 박막으로 전달시키는 공정을 포함한다. 광감성 물질의 패터닝은 통상적으로 기판의 상부면을 광감성 물질의 박막으로 코팅하는 공정과 그 다음, 예컨대 포토리쏘그래피 시스템을 사용하여 광감성 물질의 박막을 레티클(광학 관련됨)을 통한 발광 소스에 노출시키는 것을 포함한다. 그 다음, 현상 공정이 수행되는데, 이때에는 주 현상 용액 또는 용매를 사용하여 광감성 물질의 빛이 조사된 영역의 제거(포지티브 포토레지스트의 경우), 또는 빛이 조사되지 않은 영역의 제거(네거티브 포토레지스트의 경우)가 일어난다. 잔존한 광감성 물질은 표면 속으로 식각 되어질 패턴 중 그 하부의 기판 표면을 노출시킨다. 전술한 물질 처리 방법론을 수행하기 위한 포토리쏘그래피 시스템은 지난 30년간 반도체 소자 패터닝 에 주로 사용되어 왔으며, 65nm 해상도 이하까지에서도 그 역할은 계속할 것으로 예상된다.
포토리쏘그래피 시스템의 해상도(ro)는 그 시스템을 사용하여 만들어 질수 있는 소자의 최소 사이즈를 결정한다. 리쏘그래피 상수 k1 이 주어진 경우, 해상도는 수학식 1로 표현된다.
rO = klλ/NA,
여기서, λ 는 동작 파장(operational wavelength)이며, NA는 개구수(numerical aperture)로서 수학식 2로 표현된다.
NA = n·sinθ0,
여기서, 각 θ0 는 시스템의 앵귤러 세미-개구(angular semi-aperture)이고, n은 시스템과 패터닝된 기판 사이의 공간을 채우는 물질의 굴절률이다.
그러므로, 현재의 리쏘그래피 경향은 더욱 더 작아지는 구조를 인화하기 위해 개구수(NA)를 증가시키는 것과 관련이 있다. 그러나, 비록 증가된 NA 가 더 큰 해상도를 허용하더라도, 광감성 물질 내로 투영된 이미지의 초점 깊이는 감소되어 마스크막은 얇아지게 된다. 광감성막 두께가 감소함에 따라, 패터닝된 광감성막은 패턴 식각을 위한 마스크로써 덜 효과적이게 된다. 즉, 대부분의 (광감성) 마스크 막은 식각 동안 소진된다. 식각 선택성에 있어서 급격한 향상이 없이는, 단일막 마스크들은 고해상도 리쏘그래피에 적합한 리쏘그래피 및 식각 특성을 제공하기 어렵게 되었다.
단일막 마스크들의 다른 단점은 임계치수(CD)의 제어이다. 자외선(UV) 및 깊은 자외선(DUV) 파장에서의 기판 반사는 박막 간섭에 기인한 광감성 막에의 정재파(standing waves)를 유발하는 것으로 알려져 있다. 이 간섭은 노출 동안 광감성막의 광강도(light intensity)의 주기적인 변화로써 나타나며, 광감성 막에서의 수직적으로 이격된 줄 무늬 및 CD의 손실을 초래한다.
연이은 패턴 식각 전달을 위한 두꺼워진 마스크를 제공할 뿐만 아니라 광감성막 내의 정재파의 효과를 막기 위해서, 하부 반사방지 코팅(BARC)을 포함한 이중막 또는 다중막 마스크가 형성될 수 있다. BARC 막은 박막 간섭을 감소시키기 위해 얇은 흡수막을 포함한다. 그러나, BARC 막은 부분적으로 스핀-온 증착 기술에 기인한 열악한 두께 균일성을 포함하는 여러 한계를 여전히 갖는다.
또는, 전술한 많은 문제들을 해결하기 위해 막의 광학 특성을 조정할 수 있는 기상 증착된 박막 ARC 막들이 제안되었다. 가변 내식각성 ARC (TERA) 막으로 알려진 TERA 막은 기판의 광학 특성을 이미지화하는 광감성막에 매칭시키도록 막 두께에 따라 선택적으로 변화될 수 있는 가변 굴절률 및 흡광 계수 갖도록 제공될 수 있다. IBM사(International Business Machines Corporation)의 미국 특허 번호 제6,316,167호를 참고해 볼 수 있다. 이 특허에 기술된 바와 같이, TERA 막은, 임계치수의 조절이 매우 중요한 게이트 형성과 같은 FEOL(front end of line) 동작을 위한 리쏘그래피 구조에 사용된다. 그러나, 본 발명자들은 TERA 막이 금속 배선(metal interconnect)과 같은 BEOL(back end of line) 동작에서는 사용되지 않았음을 발견했다. 아마도, 이러한 동작에서 임계치수가 덜 중요한 데서 기인했을 것이다.
본 발명의 일 양태는 전술한 문제점들의 전부 또는 일부를 감소시키거나 제거하기 위한 것이다.
본 발명의 다른 목적은 가변 반사방지 코팅을 포함하는 구조 및 그의 형성 방법을 제공하는 것이다.
본 발명의 다른 양태에 따르면, 반도체 기판, 반도체 기판 상에 형성되고 구조식 R: C: H: X를 갖는 가변 반사방지 코팅이 그 내부에 형성된 막 적층체, 그리고 막 적층체 내에 형성된 금속 배선을 위한 다마신(damascene) 구조를 포함하는 반도체 소자가 기술된다. 상기 구조식에서, R은 Si, Ge, B, Sn, Fe, Ti 및 이들의 조합으로 구성된 그룹으로부터 선택되고, X는 존재하지 않거나 O, N, S 및 F 중 하나 이상으로 구성된 그룹으로부터 선택된다.
본 발명의 다른 양태에 따르면, 기판 상에 유전 물질의 막을 형성하고, 이 유전 물질의 막 상에 가변 내식각성 반사방지 (TERA) 물질의 막을 형성하고, TERA 물질의 막을 배선 구조, 하드 마스크, 반사방지 코팅 및 화학 기계적 연마(CMP) 정지막의 형성을 위한 리쏘그래피 구조 중 적어도 하나로써 사용하여 금속 배선을 위한 다마신 구조를 형성하는 것을 포함하는 집적 회로 구조를 형성하는 공정이 기술된다.
본 발명의 다른 양태에 따르면, 반도체 기판, 반도체 기판 상에 형성된 막 적층체 및 막 적층체 내에 형성된 금속 배선을 위한 다마신 구조를 갖는 가변 반사방지 코팅을 구비하는 수단을 포함하는 반도체 소자가 기술된다.
도 1a 내지 1h는 본 발명의 일 실시예에 따라 배선 구조를 형성하는 방법의 단순화된 개략도.
도 2a 내지 2f는 본 발명의 다른 실시예에 따라 배선 구조를 형성하는 방법의 단순화된 개략도.
도 3a 내지 3f는 본 발명의 다른 실시예에 따라 배선 구조를 형성하는 방법의 단순화된 개략도.
도 4a 내지 4j는 본 발명의 다른 실시예에 따라 배선 구조를 형성하는 방법의 단순화된 개략도.
도 5a 내지 5d는 본 발명의 다른 실시예에 따라 배선 구조를 형성하는 방법의 단순화된 개략도.
도 6a 내지 6i는 본 발명의 다른 실시예에 따라 배선 구조를 형성하는 방법의 단순화된 개략도.
전술한 바와 같이, 가변 내식각성 반사방지(tunable etch resistant anti-reflective; TERA) 코팅은 트랜지스터 소자의 게이트 형성과 같은 FEOL(front end of line) 어플리케이션에 집적될 수 있다. 이때, TERA 코팅은 65nm 이하의 소자 노드에서 게이트 소자들을 형성하기 위한 리쏘그래피 구조를 상당히 향상시킬 수 있다. 그러나, 이러한 막들은 금속 배선과 같은 BEOL(back end of line) 공정에서는 사용되지 않았었다. 이는 이러한 공정에서 임계 치수가 덜 중요한 것에 기인한다. 본 발명자들은 TERA 막들을 제거 가능한 식각 하드 마스크, 희생막 또는 화학 기계적 연마(CMP) 정지막 뿐만 아니라 가변 ARC막으로 사용함으로써 금속 배선(metal interconnect)과 같은 BEOL 공정에 유용한 특성을 제공할 수 있음을 발견하였다. 본 발명에 따르면, 적어도 하나의 TERA 코팅은 막 적층체에 형성된 싱글 다마신 또는 듀얼 다마신 금속 배선(metal interconnect) 구조와 같은 BEOL 어플리케이션에 적용된다. 이때, 적어도 하나의 TERA 코팅은 배선(interconnect) 구조, 하드 마스크, 반사방지 코팅, 희생막 또는 화학 기계적 연마(CMP) 정지막의 형성을 위한 적어도 하나의 리쏘그래피 구조를 제공한다.
도면들을 참조하면, 여러 도면들에서 같은 참조 번호는 동일하거나 대응하는 부분을 나타내며, 도 1a 내지 1h는 일 실시예에 따른 막 적층체 내의 배선(interconnect) 구조를 형성하는 방법의 개략적인 묘사를 나타낸다. 상기 방법은 TERA 코팅을 다중 하드 마스크 비아 우선(via first) 듀얼 다마신 구조 내로 집적시키는 것을 특징으로 한다. 여기서 사용된 "비아-우선"이라는 용어는, 트렌치와 같은 다른 구조의 형성에 관련된 식각 전에 비아의 형성에 관련된 식각이 이루어지는 공정을 의미한다.
도 1a에 도시한 바와 같이, 상기 방법은 그 내부에 형성된 금속 배선(112)을 갖는 기판(110), 기판(110) 상에 형성된 금속 캐핑막(115; metal cap layer), 금속 캐핑막(115) 상에 형성된 제1 유전막(120), 제1 유전막(120) 상에 형성된 식각 정지막(125), 식각 정지막(125) 상에 형성된 제2 유전막(130), 제2 유전막(130) 상에 형성된 하드 마스크막(135), 하드 마스크막(135) 상에 형성된 가변 내식각성 반사방지(TERA) 코팅(140) 및 TERA 코팅(140) 상에 형성된 광감성 물질의 막(145)을 포함하는 막 적층체(100)를 형성한다.
막 적층체(100)는 하드 마스크막(135)을 포함할 수도 있고, 포함하지 않을 수도 있다. 막 적층체(100)가 하드 마스크막(135)을 포함하면, 하드 마스크막(135)은 적어도 하나의 하드 마스크, 또는 CMP 정지막을 제공할 수 있고, 하드 마스크막(135)이 듀얼 다마신 구조에서 CMP 정지막으로써 이용되는 경우 TERA 코팅(140)은 적어도 하나의 상부 하드 마스크, 가변 ARC막, CMP 정지막 및 희생막을 제공할 수 있다. 막 적층체(100)가 하드 마스크막(135)을 포함하지 않으면, TERA 코팅(140)은 단일의 하드 마스크, 가변 ARC막 및 CMP 정지막 중 적어도 하나를 제공한다. 막 적층체(100)의 광감성 물질(145)과 접촉하는 막으로써 TERA 코팅(140)을 사용하는 것은 배선 구조의 임계 치수(CD)의 제어, 또는 배선 구조 내에서의 CD 변화의 제어(예를 들어 광감성막(145) 내의 선 가장자리 거칠기에 기인)을 쉽게 할 수 있다. 막 적층체(100)의 형성은 여러 단계를 포함할 수 있고, 레벨간 및 레벨 내에서의 (절연) 막 적층체, 싱글 다마신 및 듀얼 다마신 구조와 같은 (금속) 배선 구조를 형성하는 데에 있어서 당업자에게 잘 알려진 기술을 이용할 수 있다.
예를 들어 금속 배선(112)은 텅스텐, 알루미늄 또는 구리를 포함할 수 있다. 또한, 예를 들어 금속 캐핑막(115)은 실리콘 질화물(Si3N4), 실리콘 탄화물(SiC), 실리콘 탄질화물(SiCN), 또는 실리콘 산화탄질화물(SiCON)과 같은 질화물질 또는 탄화물질, 또는 이들의 조합물, 또는 금속 확산 방지막으로써 적절한 다른 막들을 포함할 수 있다. 이 막은 화학 기상 증착(CVD), 또는 플라즈마 강화CVD(PECVD)를 포함하는 방법들을 사용하여 형성될 수 있으나, 꼭 이에 한정되진 않는다. 또한, 예를 들어 선택적인 막(나중에 기술됨)일 수 있는 식각 정지막(125)은 실리콘 질화물(Si3N4)과 같은 질화 물질, 실리콘 탄화물(SiC), 실리콘 산탄화물(SiCO)과 같은 탄화 물질, 또는 실리콘 이산화물(SiO2)과 같은 산화 물질, 또는 이들의 조합물을 포함할 수 있다. 이 막은 화학 기상 증착(CVD), 또는 플라즈마 강화CVD(PECVD)를 포함하는 방법들을 사용하여 형성될 수 있으나, 꼭 이에 한정되진 않는다.
제1 유전막(120) 및 제2 유전막(130)은 동일한 물질 구성 또는 다른 물질 구성을 포함할 수 있다. 예를 들어 각 유전막은 실리콘 이산화물, 또는 SiO2의 유전상수보다 작은 유전상수 값, 즉 약 4 (예를 들어 열이산화막의 유전상수는 3.8 내지 3.9의 범위일 수 있음)를 갖는 유전 물질을 포함할 수 있다. 더욱 상세하게는, 제1 및 제2 유전막(120, 130)은 3.7보다 작은 유전 상수 또는 1.6 내지 3.7 범위의 유전 상수를 가질 수 있다.
각 유전막(120, 130)은 화학 기상 증착(CVD), 플라즈마 강화 화학 기상 증착(PECVD) 기술, 또는 도쿄 일렉트론사(Tokyo Electron Limited; TEL)로부터 구입 가능한 Clean Track ACT 8 SOD 및 ACT 12 SOD 코팅 시스템에 제공된 것들과 같은 스 핀-온 유전물(spin-on dielectric; SOD) 기술들을 사용하여 형성될 수 있다. Clean Track ACT 8 (200mm) 및 ACT 12 (300mm) 코팅 시스템은 SOD 물질들을 위한 코팅, 베이킹 및 큐어링 도구들을 제공한다. 트랙 시스템은 100mm, 200mm, 300mm 및 그 이상의 크기를 갖는 기판을 처리하는 데에 배치될 수 있다. 기판 상에 유전막을 형성하기 위한 다른 시스템 및 방법은 스핀-온 유전물 기술 및 CVD 유전물 기술의 당업자에게 잘 알려져 있다.
또한, 제1 및 제2 유전막(120, 130)은, 예를 들어 저유전상수(또는 low-k) 유전막으로써 특징지어질 수 있다. 이러한 유전막들은 유기, 무기 및 무기-유기 혼성 물질 중 적어도 하나를 포함할 수 있다. 또한, 이러한 유전막들은 다공성 또는 비다공성일 수 있다. 예를 들어 이러한 유전막들은 CVD 기술을 사용하여 증착되는 탄소 도핑된 실리콘 산화물 (또는 유기 실록산)과 같은 무기의(inorganic), 실리케이트 기반 물질을 포함할 수 있다. 그러한 막들의 예들은 어플라이드 머터리얼사(Applied Materials, Inc.)로부터 구입 가능한 Black DiamondTM CVD 유기실리케이트 글래스(organosilicate glass; OSG)막, 또는 노벨러스 시스템사(Novellus Systems)으로부터 구입 가능한 CoralTM CVD 막을 포함한다. 이와 달리, 이러한 유전막들은 작은 보이드(세공)를 생성하는 큐어링 또는 증착 공정 동안, 막의 완전 치밀화를 저해하는 CH3 결합을 갖는 실리콘 산화물 기반의 매트릭스와 같은 단일 상으로 구성되는 다공성 무기-유기 혼성의 막들을 포함할 수 있다. 또는, 이러한 유전막들은 큐어링 공정 동안 분해되고 증발되는 유기 물질(예를 들어 포로젠(porogen))의 세 공들을 갖는 탄소 도핑된 실리콘 산화물 기반의 매트릭스와 같은 적어도 두가지 상으로 구성되는 다공성 무기-유기 혼성의 막들을 포함할 수 있다. 또는, 이러한 유전막들은 SOD 기술을 이용하여 증착되는 수소 실세스퀴옥산(hydrogen silsesquioxane; HSQ) 또는 메틸 실세스퀴옥산(methyl silsesquioxane; MSQ)과 같은 무기의 실리케이트 기반 물질을 포함할 수 있다. 그러한 막들의 예들은 다우 코닝(Dow Corning)사로부터 구입 가능한 FOx HSQ, XLK 다공성 HSQ 및 JSR 마이크로일렉트로닉스사(JSR Microelectronics)로부터 구입 가능한 JSR LKD-5109를 포함한다. 또는, 이러한 유전막들은 SOD 기술을 이용하여 증착된 유기 물질을 포함할 수 있다. 그러한 막들의 예들은 다우 캐미컬(Dow Chemical)로부터 구입 가능한 SiLK-I, SiLK-J, SiLK-H, SiLK-D 및 다공성 SiLK 반도체 유전 수지, 그리고 허니웰사(Honeywell)로부터 구입 가능한 GX-3TM 및 GX-3PTM 반도체 유전 수지를 포함한다.
또한, 예를 들어 (선택적인) 하드 마스크막(135)은 실리콘 질화물(Si3N4)과 같은 질화물, 실리콘 탄화물(SiC) 또는 실리콘 산탄화물(SiCO)과 같은 탄화물, 또는 내화성(refractory) 금속 또는 탄탈륨 질화물(TaN)과 같은 내화성 금속 질화물, 또는 그들의 조합물을 포함할 수 있다. 이 막은 화학 기상 증착(CVD) 방법, 플라즈마 강화 화학 기상 증착(PECVD) 방법 및 물리 기상 증착(PVD) 스퍼터링 방법을 포함하는 방법을 채택하여 형성될 수 있으나, 이에 한정되지는 않는다.
TERA 코팅(140)은 구조식 R:C:H:X 를 포함하며, 여기서 R은 Si, Ge, B, Sn, Fe, Ti 및 이들의 조합물을 포함하는 그룹으로부터 선택되고, X는 존재하지 않거나 O, N, S 및 F 중 하나 이상을 포함하는 그룹으로부터 선택된다. TERA 코팅(140)은 약 1.40 < n < 2.60 인 굴절률(index of refraction) 및 약 0.01 < k < 0.78 인 흡광 계수(extinction coefficient)의 광학 범위를 나타내도록 제조될 수 있다. 또는, 굴절률 및 흡광 계수 중 적어도 하나는 TERA 코팅(140)의 두께에 따라 변화될 수 있다. 상세한 내용은 "반사방지 코팅, 하드 마스크 및 결합된 방사방지 코팅/하드 마스크로써 가변 기상 증착된 물질 및 그의 제조방법 및 그의 적용방법(Tunable vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof)"을 제목으로 하고, IBM사(International Business Machines Corporation)에게 부여된 미국특허번호 제 6,316,167호에 제공된다. 상기 특허의 전체 내용은 여기에 병합되어 있다. 뿐만 아니라, TERA 코팅(140)은 "가변 광학 특성들 및 식각 특성들을 갖는 물질의 증착 방법 및 장치(Method and apparatus for depositing materials with tunable optical properties and etching characteristics)"라는 제목으로 2003년 8월 21일에 출원되어 계류중인 미국특허출원에 더 상세하게 기술된 PECVD를 사용하여 형성될 수 있다. 상기 출원의 전체 내용은 여기에 병합되어 있다. TERA 코팅(140)의 굴절률과 같은 광학 특성은 하지막 또는 하지막들의 광학 특성에 충분히 적합하도록 선택될 수 있다. 예를 들어 비다공성 유전막과같은 하지막들은 1.4 < n < 2.6의 범위의 굴절률을 얻도록 요구될 수 있다. 그리고, 다공성 유전막과 같은 하지막들은 1.2 < n < 2.6 범위의 굴절률을 얻도록 요구될 수 있다.
또한, 예를 들어 광감성 물질(145)의 막은 포토레지스트를 포함할 수 있으며, 여기에 패턴이 마이크로-리쏘그래피를 이용하여 형성되고, 현상 용매를 사용하여 광감성 물질의 빛이 조사된 영역을 제거하는 공정(포지티브 포토레지스트인 경우) 또는 빛이 조사되지 않은 영역을 제거하는 공정(네거티브 포토레지스트인 경우)이 뒤따른다. 예를 들어 광감성 물질(145)의 막(또는 막들)은 트랙 시스템을 사용하여 형성될 수 있다. 트랙 시스템은 248nm 레지스트, 193nm 레지스트, 157nm 레지스트, EUV 레지스트, (상부/하부) 반사방지 코팅(TARC/BARC) 및 상부 코팅을 처리하기 위해 구성된다. 예를 들어 트랙 시스템은 도쿄 일렉트론사(TEL)로부터 구입 가능한 Clean Track ACT 8, 또는 ACT 12 레지스트 코팅 및 현상 시스템을 포함할 수 있다. 기판 상에 포토레지스트를 형성하는 다른 시스템 및 방법은 스핀-온 레지스트 기술 분야의 당업자에게 잘 알려져 있다. 또한, 예를 들어 마스크 패턴은 어떠한 적합한 종래의 스텝핑 리쏘그래피 시스템 또는 스캐닝 리쏘그래피 시스템을 사용하더라도 상관 없다.
광감성 물질(145)의 막이 막 적층체(100) 상에 형성되면, 전술한 바와 같이 마이크로-리쏘그래피를 사용하여 제1 패턴(180)으로 패터닝된다. 제1 패턴(180)은, 예를 들어 비아 또는 콘택을 위한 패턴을 포함할 수 있다. 도 1b에 도시한 바와 같이, 제1 패턴(180)은, 예를 들어 건식 플라즈마 식각을 사용하여 TERA 코팅으로 전달될 수 있다. 건식 플라즈마 식각 공정은 산소, 불소, 염소, 브롬, 수소 및 이들의 조합물로 구성된 그룹으로부터 선택된 종들 중 적어도 하나를 함유하는 플라즈마 화학 반응을 포함할 수 있다. 또는, 플라즈마 화학 반응은 질소 또는 희소 기체(즉, 헬륨, 네온, 아르곤, 제논, 크립톤, 라돈)와 같은 불활성 기체를 더 포함할 수 있다. 또는, 플라즈마 화학 반응은 TERA 코팅의 식각율과 상부의 패터닝된 광감성 물질의 막의 식각율 사이의 높은 식각 선택성을 나타내도록 선택될 수 있다. 또는, 플라즈마 화학 반응은 TERA 코팅의 식각율과 하부의 하드 마스크막의 식각율 사이의 높은 식각 선택성을 나타내도록 선택될 수 있다. 제1 패턴(180)이 TERA 코팅(140)으로 전달되고 나서, 패터닝된 TERA 코팅(140)은 하부의 막 적층체를 식각하기 위하여, 하드 마스크 정지막(135)과 함께 이용될 경우, 단일 하드 마스크 또는 상부 하드 마스크로써 이용될 수 있다. 그 다음 잔존한 광감성 물질(145)은 당업자에게 잘 알려진 플라즈마 또는 다른 화학적 기술을 사용하여 제거된다.
도 1c를 참조하면, 또 다른 광감성 물질(146)의 막이 전술한 바와 같은 기술들을 사용하여 막 적층체(100) 상에 형성된다. 여기에서, 제2 패턴(190)이 마이크로-리쏘그래피를 사용하여 형성된다. 제2 패턴(190)은, 예를 들어 트렌치 패턴을 포함할 수 있다. 제2 패턴(190)이 광감성 물질(146)의 막에 형성되고, 제2 패턴(190)은 TERA 코팅(140)으로 전달되며, 도 1d에 도시한 바와 같이 제1 패턴(180)은 하드 마스크막(135)으로 전달된다. 예를 들어 제2 패턴 및 제1 패턴의 TERA 코팅 및 하드 마스크막 각각으로의 전달은 동시에 수행될 수 있다.
TERA 코팅(140) 및 하드 마스크막(135)의 패턴 전달에 이어서, 도 1e는 제1 패턴(180)의 제2 유전막(130)으로의 전달을 도시한다. 도 1e의 중간 비아 식각 단계가 식각 정지막(125)에서 정지되기 때문에, 도 1e의 구조는 여기서 부분 비아 구 조로 언급된다. 제1 패턴(180)의 제2 유전막(130)으로의 전달은 건식 플라즈마 식각을 포함할 수 있는데, 이때 상기 공정은 하부의 식각 정지막(125)상에서 정지하도록 설계된다.
예를 들어 실리콘 산화막, 실리콘 이산화막, 등과 같은 산화 유전막을 식각할 때, 또는 탄소 도핑된 실리콘 산화 물질과 같은 무기 low-k 유전막을 식각할 때, 식각 가스 조성은 일반적으로 C4f8, C5F8, C3f6, C4f6, CF4 등의 물질들 중 적어도 하나와 같은 탄화불소계의 화합물 및 불활성 기체, 산소 또는 CO 중 적어도 하나를 포함한다. 또한, 예를 들어 유기 low-k 유전막을 식각할 때, 식각 가스 조성은 탄화불소 기체, 질소 함유 기체, 수소 함유 기체, 또는 산소 함유 기체 중 적어도 하나를 포함할 수 있다. 전술한 바와 같은 유전막을 선택적으로 식각하기 위한 기술들은 유전막 식각 공정 분야의 당업자에게 잘 알려져 있다. 플라즈마 화학 반응은 제2 유전막(130)이 식각 정지막(125)에 대하여 높은 식각 선택성을 나타내도록 선택될 수 있다. 식각 공정들은 프로파일 및 임계치수(CD) 조절, 식각 균일성(기판 전면에 걸쳐), 마이크로-트렌칭(micro-trenching)을 피하기 위한 플랫 에치 프런트(flat etch front), 광감성 물질의 막에 대한 식각 선택성 및 CMP 정지막 및 식각 정지막에 대한 식각 선택성 중 어느 하나를 나타내도록 선택될 수 있다.
도 1f를 참조하면, 제1 패턴(180)은 식각 정지막(125)으로 전달되고, 제2 패턴(190)은 하드 마스크막(135)으로 전달된다. 예를 들어 제1 패턴 및 제2 패턴의 식각 정지막 및 하드 마스크막으로의 각각의 전달은 동시에 수행될 수 있다. 패턴 전달은 건식 플라즈마 식각을 이용할 수 있으며, 플라즈마 화학 반응은 NF3, SF6, HBr 탄화불소 기체, 수소탄화불소 기체 또는 산소 함유 기체 중 적어도 하나를 포함한다. 식각 공정들은 프로파일 및 임계치수(CD) 조절, 광감성 물질의 막에 대한 식각 선택성, 식각 균일성(기판 전면에 걸쳐) 및 하드 마스크막 및 식각 정지막의 완전한 제거 중 어느 하나를 나타내도록 선택될 수 있다.
도 1g에서, 제2 패턴(190)은 제2 유전막(130)으로 전달되고, 제1 패턴(180)은 제1 유전막(120)으로 전달된다. 예를 들어 제2 패턴 및 제1 패턴의 제2 유전막 및 제1 유전막으로의 각각의 전달은 동시에 수행될 수 있으며, 제2 유전막을 식각하기 위한 식각 공정은 식각 정지막(125) 상에서 정지하고, 제1 유전막을 식각하기 위한 식각 공정은 금속 캐핑막(115) 상에서 정지한다. 식각 공정들은 유전막에 대해서 설명한 것과 같은 플라즈마 화학 반응을 이용하는 건식 플라즈마 에칭을 포함할 수 있다. 식각 공정들은 프로파일 및 임계치수(CD) 조절, 식각 균일성(기판 전면에 걸쳐), 마이크로-트렌칭을 피하기 위한 플랫 에치 프런트(flat etch front) 및 제1 패턴/제2 패턴 코너 선택성 중 어느 하나를 나타내도록 선택될 수 있다. 이후, 잔존한 광감성 물질(146)이 당업자에게 잘 알려진 플라즈마 또는 다른 화학적 기술들을 이용하여 제거된다.
그 후에, 도 1h에 도시한 바와 같이, 제1 패턴(180)이 금속 캐핑막으로 전달되며, 이에 따라, 예컨대 비아 구조(155) 및 트렌치 구조(150)의 형성이 완성된다.
다른 실시예에 따르면, 도 2a 내지 2f는 막 적층체(200) 내의 배선 구조를 형성하는 방법을 개략적으로 도시한다. 이 방법은 TERA 코팅을 완전 비아 우선 듀얼 다마신 구조 내로 집적시키는 것을 특징으로 한다. 여기서 사용되는, "완전-비아-우선(full-via-first)"이라는 용어는 비아의 완전한 형성에 관련된 식각이 트렌치와 같은 다른 형상의 형성에 관련된 식각 전에 일어나는 공정을 의미한다. 막 적층체(200)는 기판 내에 형성된 금속 배선(212)을 갖는 기판(210), 기판(210) 상에 형성된 금속 캐핑막(215), 금속 캐핑막(215) 상에 형성된 제1 유전막(220), 제1 유전막(220) 상에 형성된 식각 정지막(225), 식각 정지막(225) 상에 형성된 제2 유전막(230), 제2 유전막(230) 상에 형성된 하드 마스크막(235), 하드 마스크막(235) 상에 형성된 가변 내식각성 반사방지(TERA) 코팅(240) 및 TERA 코팅(240) 상에 형성된 광감성 물질(245)의 막을 포함하며, 이는 도 1a에서 기술된 막 적층체(100)에서와 거의 동일한 방법으로 형성할 수 있다. 막 적층체(200)는 하드 마스크막(235)을 포함할 수도 있고, 포함하지 않을 수도 있다. 막 적층체(200)가 하드 마스크막(235)을 포함하면, 하드 마스크막(235)은 하드 마스크 또는 CMP 정지막 중 적어도 하나를 제공할 수 있으며, 하드 마스크막(235)이 듀얼 다마신 구조에서 CMP 정지막으로 이용될 경우 TERA 코팅(240)은 하드 마스크, 가변 ARC막, CMP 정지막 및 희생막 중 적어도 하나를 제공할 수 있다. 막 적층체(200)가 하드 마스크막(235)을 포함하지 않으면, TERA 코팅(240)은 싱글 하드 마스크, 가변 ARC막 및 CMP 정지막 중 적어도 하나를 제공한다.
광감성 물질(245)의 막이 막 적층체(200) 상에 형성된 후, 전술한 바와 같이 마이크로-리쏘그래피를 사용하여 제1 패턴(280)으로 패터닝될 수 있다. 제1 패턴 (280)은, 예를 들어 비아 또는 콘택을 위한 패턴을 포함할 수 있다. 도 2b에 도시한 바와 같이, 제1 패턴(280)은, 예를 들어 건식 플라즈마 식각을 사용하여 TERA 코팅(240), (선택적인) 하드 마스크막(235), 제2 유전막(230), 식각 정지막(225) 및 제1 유전막(220)으로 전달될 수 있다. 각 막들의 식각 공정은 전술한 바와 유사한 여러 단계 및 화학 반응을 포함할 수 있다. 도 2b의 중간 비아 식각 단계는 금속 캐핑막(215)에서 정지되기 때문에, 본 명세서에서는 도 2b의 구조를 완전 비아 구조로 지칭한다. 그 다음 잔존한 광감성 물질(245)이 당업자에게 알려진 플라즈마 또는 다른 화학적 기술들을 이용하여 제거된다.
도 2c를 참조하면, 다른 광감성 물질(246)의 막이 막 적층체(200) 상에 전술한 기술들을 사용하여 형성된다. 이때, 제2 패턴(290)은 마이크로-리쏘그래피를 사용하여 형성된다. 제2 패턴(290)은, 예를 들어 트렌치 패턴을 포함할 수 있다. 제2 패턴(290)이 광감성 물질(246)의 막에 형성되고, 제2 패턴(290)은 TERA 코팅(240), 하드 마스크막(235) 및 제2 유전막(230)으로 전달된다. 그 다음 잔존한 광감성 물질(246)은 당업자에게 잘 알려진 플라즈마 또는 다른 화학적 기술들을 사용하여 제거된다. 그 후에, 도 2d에 도시한 바와 같이, 제1 패턴(280)은 금속 캐핑막(215)으로 전달되며, 그러므로, 예컨대 비아 구조(250) 및 트렌치 구조(255)의 형성이 완성된다.
제1 패턴(280)의 패턴 전달 이후의 광감성 물질막(245)의 제거 동안, 애싱(ashing)[또는 스트리핑(stripping)] 공정이 TERA 코팅(240)의 특성에 영향을 줄 수 있다. 따라서, 본 발명의 일 실시예에서, TERA 코팅(240)이 도 2e에서 보여지는 바와 같이 제거되어 재증착될 수 있다. 그 후, 제2 패턴(290)의 패턴 전달은 도 2c 및 2d에 보여지는 바와 같이 수행될 수 있다. 그러나, 이 식각 공정 동안, TERA 코팅(240)은 제1 유전막(220)의 노출된 측벽들로부터 완전히 제거될 수도 있고 제거되지 않을 수도 있다. 따라서, 다른 실시예에서는, 도 2f에 도시한 바와 같이, TERA 코팅(240)이 제1 패턴 전달 후에 제거되고, BARC막 물질(270)이, 예컨대 스핀 코팅 기술들을 사용하여 도포된다. 비아 구조(250)를 채우고 있는 BARC막 물질(270)이 그후 건식 플라즈마 식각을 사용하여 부분적으로 제거되거나 리세스될 수 있다. 식각 가스 조성물은 탄화불소 기체, 질소 함유 기체, 수소 함유 기체 또는 산소 함유 기체 중 적어도 하나를 포함할 수 있다. 그 후, 제2 패턴(290)의 패턴 전달은 당업자에게 알려진 기술들을 사용하여 수행될 수 있다.
다른 실시예에 따르면, 도 3a 내지 3f는 막 적층체(300) 내의 배선 구조를 형성하는 방법의 개략적 묘사를 보여준다. 이 방법은 정지막 없는 완전 비아 우선(full via first) 듀얼 다마신 구조 내로 TERA 코팅을 집적시키는 것을 특징으로 한다. 막 적층체(300)는 기판 내에 형성된 금속 배선(312)을 갖는 기판(310), 기판(310) 상에 형성된 금속 캐핑막(315), 금속 캐핑막(315) 상에 형성된 유전막(320), 유전막(320) 상에 형성된 가변 내식각성 반사방지(TERA) 코팅(340) 및 TERA 코팅(340) 상에 형성된 광감성 물질(345)의 막을 포함하고, 막 적층체(300)의 각 막들은 도 1a에서 기술된 막 적층체(100)와 거의 동일한 방법으로 형성할 수 있다. 여기서, TERA 코팅(340)은 CMP 정지막 및 가변 ARC막을 제공할 수 있다.
광감성 물질(345)의 막이 막 적층체(300) 상에 형성되고, 전술한 바와 같이 마이크로-리쏘그래피를 사용하여 제1 패턴(380)으로 패터닝될 수 있다. 제1 패턴(380)은, 예를 들어 비아 또는 콘택을 포함할 수 있다. 도 3b에 도시한 바와 같이, 제1 패턴(380)은, TERA 코팅(340), 예컨대 건식 플라즈마 식각을 사용하여 유전막(320)으로 전달될 수 있다. 각 막들의 식각 공정은 전술한 것과 유사한 여러 단계 및 화학 반응을 포함할 수 있다. 잔존한 광감성 물질(345)은 당업자에게 알려진 플라즈마 또는 다른 화학적 기술들을 이용하여 그 후 제거된다.
도 3c를 참조하면, 다른 광감성 물질(346)의 막이 막 적층체(300) 상에 전술한 기술들을 사용하여 형성된다. 이때, 제2 패턴(390)은 마이크로-리쏘그래피를 사용하여 형성된다. 제2 패턴(390)은, 예를 들어 트렌치 패턴을 포함할 수 있다. 제2 패턴(390)이 광감성 물질(346)의 막 내에 형성되고, 제2 패턴(390)은 TERA 코팅(340) 및 상부 유전막(320)으로 전달된다. 제2 패턴(390)이 유전막(320)으로 전달되는 깊이는 식각 공정 동안 식각 시간을 감소 또는 증가시킴으로써 조절될 수 있다. 잔존한 광감성 물질(346)은 그 후 당업자에게 알려진 플라즈마 또는 다른 화학적 기술들을 사용하여 제거된다. 그 후, 도 3d에 도시한 바와 같이, 제1 패턴(380)은 금속 캐핑막(315)으로 전달되며, 그러므로, 예를 들어 비아 구조(350) 및 트렌치 구조(355)의 형성이 완성된다.
전술한 바와 같이, 제1 패턴(380)의 패턴 전달 후의 광감성 물질막(345)의 제거 동안 애싱(ashing)[또는 스트리핑(stripping)] 공정은 TERA 코팅(340)의 특성에 영향을 줄 수 있다. 따라서, 일 실시예에서는, TERA 코팅(340)이 도 3e에 도시한 바와 같이 제거되어 재증착될 수 있다. 그 후, 제2 패턴(390)의 패턴 전달은 도 3c 및 3d에 도시한 바와 같이 수행될 수 있다. 그러나, 이 식각 공정 동안 TERA 코팅(340)은 유전막(320)의 노출된 측벽들로부터 완전히 제거될 수도 있고 제거되지 않을 수도 있다. 이와 선택적인 실시예에서, 도 3f에 도시한 바와 같이, TERA 코팅(340)은 제1 패턴 전달 후에 제거되고, BARC막(370)은, 예컨대 스핀 코팅 기술을 사용하여 적용된다. 비아 구조(350)를 채우는 BARC막 물질(370)은 그 후 건식 플라즈마 식각을 사용하여 부분적으로 제거되거나 리세스될 수 있다. 식각 기체 조성물은 탄화불소 기체, 질소 함유 기체, 수소 함유 기체 또는 산소 함유 기체 중 적어도 하나를 포함할 수 있다. 그 후, 제2 패턴(390)의 패턴 전달은 당업자에게 알려진 기술을 사용하여 수행될 수 있다.
또 다른 실시예에 따르면, 도 4a 내지 4k는 막 적층체(400) 내의 배선 구조를 형성하는 방법의 개략적 묘사를 보여준다. 이 방법은 다중(multiple) 하드 마스크 트렌치 우선(trench first) 듀얼 다마신 구조 내로 TERA 코팅을 집적시키는 것을 특징으로 한다. 막 적층체(400)는 기판 내에 형성된 금속 배선(412)을 갖는 기판(410), 기판(410) 상에 형성된 금속 캐핑막(415), 금속 캐핑막(415) 상에 형성된 제1 유전막(420), 제1 유전막(420) 상에 형성된 (선택적인) 식각 정지막(425), (선택적인) 식각 정지막(425) 상에 형성된 제2 유전막(430), 제2 유전막(430) 상에 형성된 (선택적인) 하드 마스크막(435), (선택적인) 하드 마스크막(435) 상에 형성된 가변 내식각성 반사방지(TERA) 코팅(440), 제1 TERA 코팅(440) 상에 형성된 제2 TERA 코팅(441) 및 TERA 코팅(441) 상에 형성된 광감성 물질(445)의 막을 포함하고, 도 1a에서 기술된 막 적층체(100)에서와 거의 동일한 방법으로 형성할 수 있 다. 막 적층체(400)는 하드 마스크막(435)을 포함할 수도 있고, 포함하지 않을 수도 있다. 막 적층체(400)가 하드 마스크막(435)을 포함하면, 하드 마스크막(435)은 하드 마스크 또는 CMP 정지막 중 적어도 하나를 제공할 수 있고, 하드 마스크막(435)이 듀얼 다마신 구조에서 CMP 정지막으로 사용되는 경우 제2 TERA 코팅(441)은 상부 하드 마스크, 가변 ARC막, CMP 정지막 및 희생막 중 적어도 하나를 제공할 수 있다. 막 적층체(400)가 하드 마스크막(435)을 포함하지 않는 경우, 제2 TERA 코팅(441)은 단일 하드 마스크, 가변 ARC막 및 CMP 정지막 중 적어도 하나를 제공한다.
광감성 물질(445)의 막이 막 적층체(400) 상에 형성되고, 전술된 바와 같은 마이크로-리쏘그래피를 사용하여 제1 패턴(480)으로 패터닝될 수 있다. 제1 패턴(480)은, 예를 들어 트렌치의 패턴을 포함할 수 있다. 도 4b에 도시한 바와 같이, 제1 패턴(480)은, 예컨대 건식 플라즈마 식각을 사용하여 제2 TERA 코팅(441)으로 전달될 수 있다. 식각 공정은 전술한 바와 유사한 여러 단계 및 화학 반응들을 포함할 수 있다. 잔존한 광감성 물질(445)은 그 후 당업자에게 알려진 플라즈마 또는 다른 화학적 기술들을 사용하여 제거될 수 있다.
도 4c를 참조하면, 다른 광감성 물질(446)의 막이 막 적층체(400) 상에 전술한 기술들을 사용하여 형성된다. 이때, 제2 패턴(490)은 마이크로-리쏘그래피를 사용하여 형성된다. 제2 패턴(490)은, 예를 들어 비아 패턴을 포함할 수 있다. 도 4d에 도시한 바와 같이, 제2 패턴(490)이 광감성 물질(445)의 막 내에 형성되고, 제2 패턴(490)은 제1 TERA 코팅(440) 및 (선택적인) 하드 마스크막(435)으로 전달된다.
그 후, 도 4e에 도시한 바와 같이, 제2 패턴(490)은 제2 유전막(430)으로 전달된다. 도 4f를 참조하면, 잔존한 감광성 물질(446)은 그 후 당업자에게 알려진 플라즈마 또는 다른 화학적 기술들을 사용하여 제거된다.
도 4g에서, 제1 패턴(480)은 제1 TERA 코팅으로 전달되고, 제2 패턴(490)은 (선택적인) 식각 정지막(425)으로 전달된다. 제1 패턴 및 제2 패턴의 제1 TERA 코팅 및 (선택적인) 식각 정지막으로의 각각의 전달는 동시에 수행될 수 있으며, 이때, 제1 TERA 코팅의 식각 공정은 하드 마스크막(435) 상에서 정지하고, (선택적인) 식각 정지막(425)의 식각 공정은 제1 유전막(420) 상에서 정지한다. 식각 공정들은 유전막들에 대하여 전술된 바와 같이 플라즈마 화학 반응들을 사용한 건식 플라즈마 식각을 포함할 수 있다. 식각 공정들은 프로파일 및 임계치수(CD) 조절, 식각 균일성(기판 전면에 걸쳐), 마이크로-트렌칭을 피하기 위한 플랫 에치 프런트(flat etch front) 및 제1 패턴/제2 패턴 코너 선택성 중 어느 하나를 나타내도록 선택될 수 있다.
도 4h를 참조하면, 제1 패턴(480)은 하드 마스크막(435)으로 전달되고, 제2 패턴(490)은 제1 유전막(420)으로 부분적으로 전달된다. 그 후, 도 4i에 도시한 바와 같이, 제1 패턴(480)은 제2 유전막(430)으로 전달되고, 제2 패턴(490)은 제1 유전막(420)으로 전달된다. 제1 패턴 및 제2 패턴의 제2 유전막 및 제1 유전막으로의 각각의 전달는 동시에 수행될 수 있으며, 이때, 제2 유전막의 식각 공정은 식각 정지막(425) 상에서 정지하고, 제1 유전막의 식각 공정은 금속 캐핑막(415) 상에서 정지한다. 식각 공정들은 유전막들에 대하여 전술된 바와 같이 플라즈마 화학 반응 들을 사용한 건식 플라즈마 식각을 포함할 수 있다. 식각 공정들은 프로파일 및 임계치수(CD) 조절, 식각 균일성(기판 전면에 걸쳐), 마이크로-트렌칭을 피하기 위한 플랫 에치 프런트(flat etch front) 및 제1 패턴/제2 패턴 코너 선택성 중 어느 하나를 나타내도록 선택될 수 있다.
그 후, 도 4j에 도시한 바와 같이, 제2 패턴(490)은 금속 캐핑막(415)으로 전달되고, 그러므로, 예컨대 비아 구조(455) 및 트렌치 구조(450)의 형성이 완성된다.
다른 실시예에 따르면, 도 5a 내지 5d는 막 적층체(500) 내의 배선 구조를 형성하는 방법의 개략적 묘사를 보여준다. 이 방법은 TERA 코팅의 매립 비아(buried via) 마스크 듀얼 다마신 구조로의 집적을 특징으로 한다. 막 적층체(500)는 기판 내에 형성된 금속 배선(512)을 갖는 기판(510), 기판(510) 상에 형성된 금속 캐핑막(515), 금속 캐핑막(515) 상에 형성된 제1 유전막(520), 제1 유전막(520) 상에 형성된 제1 가변 내식각성 반사방지(TERA) 코팅(540) 및 제1 TERA 코팅(540) 상에 형성된 광감성 물질(545)의 막을 포함한다. 각 막들은 도 1a에 기술된 막 적층체(100)와 거의 동일한 방법으로 형성할 수 있다.
광감성 물질(545)의 막이 막 적층체(500) 상에 형성되고, 전술된 바와 같은 마이크로-리쏘그래피를 사용하여 제1 패턴(580)으로 패터닝될 수 있다. 제1 패턴(580)은, 예컨대 비아 또는 콘택의 패턴을 포함할 수 있다. 도 5b에 도시한 바와 같이, 제1 패턴(580)은, 예컨대 건식 플라즈마 식각을 사용하여 제1 TERA 코팅(540)으로 전달될 수 있다. 제1 TERA 막의 식각 공정들은 전술된 바와 유사한 여러 단계 및 화학 반응들을 포함할 수 있다. 식각 공정 후, 잔존한 광감성 물질(545)은 당업자에게 알려진 플라즈마 또는 다른 화학적 기술들을 사용하여 제거된다.
도 5c를 참조하면, 제2 유전막(530)이 패터닝된 제1 TERA 코팅(540) 상에 형성되고, 하드 마스크막(535)이 제2 유전막(530) 상에 형성되고, 제2 TERA 코팅(542)이 하드 마스크막(535) 상에 형성되고, 다른 광감성 물질(546)의 막이 제2 TERA 코팅(542) 상에 형성된다. 각 막들은 도 1a에서 기술된 막 적층체(100)에서와 거의 동일한 방법으로 형성될 수 있다. 막 적층체(500)는 하드 마스크막(535)을 포함할 수도 있고, 포함하지 않을 수도 있다. 막 적층체(500)가 하드 마스크막(535)을 포함하면, 하드 마스크막(535)은 하드 마스크 또는 CMP 정지막 중 적어도 하나를 제공할 수 있고, 하드 마스크막(535)이 듀얼 다마신 구조에서 CMP 정지막으로 사용되는 경우 TERA 코팅(540)은 상부 하드 마스크, 가변 ARC막, CMP 정지막 및 희생막 중 적어도 하나를 제공할 수 있다. 막 적층체(500)가 하드 마스크막(535)을 포함하지 않는 경우, TERA 코팅(540)은 단일 하드 마스크, 가변 ARC막 및 CMP 정지막 중 적어도 하나를 제공한다.
추가의 광감성 물질(546)의 막이 막 적층체(500) 상에 형성되고, 제2 패턴(590)은 마이크로-리쏘그래피를 사용하여 형성된다. 제2 패턴(590)은, 예를 들어 트렌치의 패턴을 포함할 수 있다. 도 5d에 도시한 바와 같이, 제2 패턴(590)이 광감성 물질막(546) 내에 형성되고, 상기 제2 패턴(590)은, 예컨대 건식 플라즈마 식각을 사용하여, 제2 TERA 코팅(542), (선택적인) 하드 마스크막(535) 및 제2 유전막(530)으로 전달된다. 제1 TERA 코팅(540)이 식각 정지막으로 제공되는 동안, 제1 패턴(580)은, 예컨대 건식 플라즈마 식각을 사용하여 제1 유전막(520)으로 전달된다. 잔존한 광감성 물질(546)은 그 다음 당업자에게 알려진 플라즈마 또는 다른 화학적 기술들을 사용하여 제거된다. 그 후, 금속 캐핑막(515)은 제거될 수 있고, 그러므로, 예컨대 비아 구조(550) 및 트렌치 구조(555)의 형성을 완성한다. 각 막들의 식각 공정들은 전술된 바와 유사한 여러 단계 및 화학 반응들을 포함할 수 있다.
다른 실시예에 따르면, 도 6a 내지 6i는 막 적층체(600) 내의 배선 구조를 형성하는 방법의 개략적인 묘사를 보여준다. 이 방법은 싱글 다마신 구조로의 TERA 코팅의 집적을 특징으로 한다. 막 적층체(600)는 기판 내에 형성된 금속 배선(612)을 갖는 기판(610), 기판(610) 상에 형성된 금속 캐핑막(615), 금속 캐핑막(615) 상에 형성된 제1 유전막(620), 제1 유전막(620) 상에 형성된 (선택적인) 하드 마스크(625), (선택적인) 하드 마스크(625) 상에 형성된 제1 TERA 코팅(640) 및 제1 TERA 코팅(640) 상에 형성된 광감성 물질(645)의 막을 포함한다. 각 막들은 도 1a에 기술된 막 적층체(100)에서와 거의 동일한 방법으로 형성할 수 있다.
막 적층체(600)는 하드 마스크막(625)을 포함할 수도 있고, 포함하지 않을 수도 있다. 막 적층체(600)가 하드 마스크막(625)을 포함하면, 하드 마스크막(625)은 하드 마스크 또는 CMP 정지막 중 적어도 하나를 제공할 수 있고, TERA 코팅(640)은 상부 하드 마스크, 가변 ARC막 및 CMP 정지막 중 적어도 하나를 제공할 수 있다. 막 적층체(600)가 하드 마스크막(625)을 포함하지 않으면, TERA 코팅(640)은 단일 하드 마스크, 가변 ARC막 및 CMP 정지막 중 적어도 하나를 제공한다.
광감성 물질(645)의 막이 막 적층체(600) 상에 형성되고, 전술된 바와 같은 마이크로-리쏘그래피를 사용하여 제1 패턴(680)으로 패터닝될 수 있다. 제1 패턴(680)은, 예를 들어 비아 또는 콘택의 패턴을 포함할 수 있다. 도 6b에 도시한 바와 같이, 제1 패턴(680)은, 예컨대 건식 플라즈마 식각을 사용하여 제1 TERA 코팅(640) 및 (선택적인) 제1 하드 마스크(625)로 전달될 수 있다. 식각 공정들은 전술한 바와 유사한 여러 단계 및 화학 반응들을 포함할 수 있다. 그 후, 도 6c에 도시한 바와 같이, 제1 패턴(680)은, 예컨대 건식 플라즈마 식각을 사용하여 제1 유전막(620)으로 전달된다. 식각 공정은 전술한 바와 유사한 여러 단계 및 화학 반응들을 포함할 수 있다. 식각 공정 후에, 도 6d에 도시된 바와 같이, 잔존한 광감성 물질(645)은 당업자에게 알려진 플라즈마 또는 다른 화학적 기술들을 사용하여 제거된다.
도 6e를 참조하면, 제1 패턴(680)은, 예컨대 건식 플라즈마 식각을 사용하여 금속 캐핑막(615)으로 전달된다. 식각 공정은 전술한 바와 유사한 여러 단계 및 화학 반응들을 포함할 수 있다.
도 6f를 참조하면, 알루미늄 또는 구리와 같은 금속이 물리적 기상 증착(PVD), CVD, PECVD, 전기 도금, 또는 이들을 조합한 방법 중 적어도 하나를 사용하여 제1 유전막(620) 내의 제1 패턴(또는 비아)를 채우기 위해 막 적층체(600) 상에 증착된다. 금속 증착이 완성되고, 금속은, 예컨대 CMP를 사용하여 제1 하드 마스크막(625)까지 평탄화된다. 그 후, 제2 금속 캐핑막(626)이 제1 (선택적인) 하드 마스크막(625) 및 금속으로 채워진 제1 패턴 (또는 비아)(613) 상에 형성되고, 제2 유전막(630)이 제2 금속 캐핑막(626) 상에 형성되고, 제2 (선택적인) 하드 마스크막(635)이 제2 유전막(630) 상에 형성되고, 제2 TERA 코팅(641)이 제2 (선택적인) 하드 마스크막(635) 상에 형성되고, 다른 광감성 물질(646)의 막이 제2 TERA 코팅(641) 상에 형성된다. 각 막들은 도 1a에서 기술된 막 적층체(100)에서와 거의 동일한 방법으로 형성할 수 있다. 막 적층체(600)는 제2 하드 마스크막(635)을 포함할 수도 있고, 포함하지 않을 수도 있다. 막 적층체(600)가 하드 마스크막(635)을 포함하면, 하드 마스크막(635)은 하드 마스크 또는 CMP 정지막 중 적어도 하나를 포함할 수 있으며, TERA 코팅(641)은 상부 하드 마스크, 가변 ARC막, CMP 정지막 및 희생막 중 적어도 하나를 제공할 수 있다. 막 적층체(600)가 하드 마스크막(635)을 포함하지 않으면, TERA 코팅(640)은 단일 하드 마스크, 가변 ARC막 및 CMP 정지막 중 적어도 하나를 제공한다.
추가의 광감성 물질(646)의 막이 막 적층체(600) 상에 형성되고, 제2 패턴(690)은 마이크로-리쏘그래피를 사용하여 형성된다. 제2 패턴(690)은, 예를 들어 트렌치의 패턴을 포함할 수 있다. 도 6g에서 도시한 바와 같이, 제2 패턴(690)이 광감성 물질막(646)에 형성되고, 제2 패턴(690)은, 예컨대 건식 플라즈마 식각을 사용하여 제2 TERA 코팅(641), 제2 (선택적인) 하드 마스크막(635) 및 제2 유전막(630)으로 전달된다. 도 6h에 도시한 바와 같이, 잔존한 광감성 물질(646)은 그 후 당업자에게 잘 알려진 플라즈마 또는 다른 화학적 기술들을 사용하여 제거될 수 있다. 그 후에, 제2 금속 캐핑막(626)은 제거될 수 있으며, 그러므로, 예컨대 (금속으로 채워진) 비아 구조(650) 및 (금속이 채워지도록 준비된) 트렌치 구조(655)의 형성이 완성된다. 각 막들의 식각 공정들은 전술한 바와 유사한 여러 단계 및 화학 반응들을 포함할 수 있다.
본 발명에서는 본 발명의 몇몇 예시적인 실시예들만을 상세하게 기재하였으나, 당업자라면 본 발명의 신규한 기술적 사상 및 효과의 범위를 크게 벗어나지 않는 한도 내에서 상기의 예시적인 실시예들에 많은 변형을 가할 수 있음을 쉽게 알 수 있을 것이다. 따라서, 그러한 모든 수정들은 이 발명의 범위 내에 포함되는 것이라고 할 수 있다.

Claims (35)

  1. 반도체 기판;
    상기 반도체 기판 상에 형성되고, 가변 반사방지 코팅(tunable anti-reflective coating)을 구비하는 막 적층체 - 여기서, 상기 가변 반사방지 코팅은 상기 막 적층체 내에 형성되고, 구조식 R: C: H: X를 갖되, R은 Si, Ge, B, Sn, Fe, Ti 및 이들의 조합물로 구성된 그룹으로부터 선택되고, X는 존재하지 않거나 O, N, S 및 F 중 하나 이상으로 구성된 그룹으로부터 선택됨 - ; 및
    상기 막 적층체 내에 형성된 금속 배선(metal interconnect)용 다마신(damascene) 구조
    를 포함하는 반도체 소자.
  2. 제1항에 있어서, 상기 가변 반사방지 코팅은 상기 막 적층체 내에 상기 금속 배선을 형성하는 동안 리쏘그래피 구조의 일부분을 포함하는 것인 반도체 소자.
  3. 제1항에 있어서, 상기 가변 반사방지 코팅은 상기 다마신 구조를 위한 화학 기계적 연마(CMP) 정지막을 포함하는 것인 반도체 소자.
  4. 제1항에 있어서, 상기 가변 반사방지 코팅은 단일 하드 마스크, 다중막 하드 마스크 중에서의 상부막 및 반사방지 코팅 중 적어도 하나를 포함하는 것인 반도체 소자.
  5. 제1항에 있어서, 상기 가변 반사방지 코팅은 상기 막 적층체의 광학 특성과 실질적으로 매칭하는 광학 특성을 갖도록 구성되는 것인 반도체 소자.
  6. 제5항에 있어서, 상기 광학 특성은 굴절률(index of refraction) 및 흡광 계수(extinction coefficient) 중 적어도 하나를 포함하는 것인 반도체 소자.
  7. 제6항에 있어서, 상기 굴절률은 1.4 내지 2.6 의 범위의 값을 포함하는 것인 반도체 소자.
  8. 제6항에 있어서, 상기 흡광 계수는 0.01 내지 0.78의 범위의 값을 포함하는 것인 반도체 소자.
  9. 제6항에 있어서, 상기 굴절률 및 상기 흡광 계수 중 적어도 하나는 상기 가변 반사방지 코팅의 두께에 따라 변하는 것인 반도체 소자.
  10. 제6항에 있어서, 상기 굴절률은 1.2 내지 2.6의 범위의 값을 포함하는 것인 반도체 소자.
  11. 제1항에 있어서, 상기 가변 반사방지 코팅은 화학 기상 증착(CVD) 코팅 및 플라즈마 강화 CVD 코팅 중 적어도 하나를 포함하는 것인 반도체 소자.
  12. 제1항에 있어서, 상기 가변 반사방지 코팅은 상기 다마신 구조의 임계 치수(critical dimension)의 제어 및 상기 다마신 구조의 임계 치수 변화의 제어 중 적어도 하나를 제공할 수 있도록 구성되는 것인 반도체 소자.
  13. 제1항에 있어서, 상기 다마신 구조는 싱글 다마신 구조인 반도체 소자.
  14. 제1항에 있어서, 상기 다마신 구조는 듀얼 다마신 구조인 반도체 소자.
  15. 제1항에 있어서, 상기 막 적층체는 low-k 유전막을 더 포함하는 것인 반도체 소자.
  16. 기판 상에 유전 물질의 막을 형성하는 단계와,
    상기 유전 물질의 막 상에 가변 내식각성 반사방지 (TERA) 물질의 막을 형성하는 단계와,
    상기 TERA 물질의 막을 배선 구조, 하드 마스크, 반사방지 코팅 및 화학 기계적 연마(CMP) 정지막의 형성을 위한 리쏘그래피 구조 중 적어도 하나로 사용하여 금속 배선(metal interconnect)용 다마신 구조를 형성하는 단계
    를 포함하는 집적 회로 구조를 형성하는 방법.
  17. 제16항에 있어서, 상기 TERA 물질의 막 상에 광감성 물질의 막을 형성하는 단계 - 여기서, 상기 광감성 막 및 상기 TERA 막의 광학 특성은 실질적으로 동일함 - 와,
    상기 광감성 물질의 막을 조사 패턴으로 노출시키는 단계를 더 포함하며, 상기 TERA 물질의 막을 형성하는 단계는 상기 조사 패턴과 실질적으로 동일한 광감성 물질의 막에 패턴 형성을 용이하게 하는 것인 집적 회로 구조를 형성하는 방법.
  18. 제17항에 있어서, 상기 TERA 물질의 막을 형성하는 단계는 상기 소자 구조에 대한 금속 배선의 형성을 위한 리쏘그래피 구조의 일부분을 제공하는 단계를 포함하는 것인 집적 회로 구조를 형성하는 방법.
  19. 제17항에 있어서, 상기 TERA 물질의 막을 형성하는 단계는 화학 기상 증착 (CVD) 및 플라즈마 강화 CVD 중 적어도 하나를 사용하여 상기 TERA 물질의 막을 증착시키는 단계를 포함하는 것인 집적 회로 구조를 형성하는 방법.
  20. 제16항에 있어서, 상기 다마신 구조를 형성하는 단계는 가변 반사방지 코팅을 싱글 다마신 구조로 집적시키는 단계를 포함하는 것인 집적 회로 구조를 형성하는 방법.
  21. 제16항에 있어서, 상기 다마신 구조를 형성하는 단계는 가변 반사방지 코팅을 듀얼 다마신 구조로 집적시키는 단계를 포함하는 것인 직접 회로 구조를 형성하는 방법.
  22. 제21항에 있어서, 상기 다마신 구조를 형성하는 단계는 가변 반사방지 코팅을 비아 우선(via-first) 방법, 완전한 비아 우선(full-via-first) 방법, 정지막 없는 완전한 비아(full-via) 방법, 트렌치 우선(trench-first) 방법 및 매립 비아 마스크(buried via mask) 방법 중 적어도 하나를 포함하는 방법을 사용하여 형성된 듀얼 다마신 구조로 집적시키는 단계를 포함하는 것인 직접 회로 구조를 형성하는 방법.
  23. 금속 배선을 갖는 기판, 상기 기판 상에 형성된 금속 캐핑막(metal cap layer), 상기 금속 캐핑막 상에 형성된 제1 유전막, 상기 제1 유전막 상에 형성된 제2 유전막, 상기 유전막 상에 형성된 하드 마스크막, 상기 하드 마스크막 상에 형성된 가변 내식각성 반사방지 (TERA) 코팅 및 상기 TERA 코팅 상에 형성된 제1 광감성 물질의 막을 포함하는 막 적층체를 형성하는 단계와,
    상기 제1 광감성 물질의 막에 제1 패턴을 형성하는 단계와,
    상기 제1 패턴을 상기 TERA 코팅으로 전달시키는 단계와,
    상기 TERA 코팅 상에 제2 광감성 물질의 막을 형성하는 단계와,
    상기 제2 광감성 물질의 막에 제2 패턴을 형성하는 단계와,
    상기 제2 패턴을 상기 TERA 코팅으로 전달시키는 단계와,
    상기 제1 패턴을 상기 하드 마스크막으로 전달시키는 단계와,
    상기 제1 패턴을 상기 제2 유전막으로 전달시키는 단계와,
    상기 제2 패턴을 상기 하드 마스크막으로 전달시키는 단계와,
    상기 제2 패턴을 상기 제2 유전막으로 전달시키는 단계와,
    상기 제1 패턴을 상기 제1 유전막으로 전달시키는 단계와,
    상기 제1 패턴을 상기 금속 캐핑막으로 전달시키는 단계
    를 포함하는 배선(interconnect) 구조 형성 방법.
  24. 제23항에 있어서, 상기 제1 광감성 물질의 막을 제거하는 단계를 더 포함하는 배선 구조 형성 방법.
  25. 제23항에 있어서, 상기 제2 광감성 물질의 막을 제거하는 단계를 더 포함하는 배선 구조 형성 방법.
  26. 제23항에 있어서, 상기 제2 유전막을 형성하기 전에 상기 제1 유전막 상에 식각 정지막을 갖는 막 적층체를 형성하는 단계와,
    상기 제1 패턴을 상기 식각 정지막으로 전달시키는 단계를 더 포함하는 배선 구조 형성 방법.
  27. 제 23 항에 있어서, 상기 TERA 코팅 상에 하부 반사방지 코팅(bottom anti-reflective coating; BARC)의 막을 형성하는 단계와,
    상기 BARC 막을 제거하는 단계를 더 포함하는 배선 구조 형성 방법.
  28. 반도체 기판;
    상기 반도체 기판 상에 형성된 막 적층체; 및
    상기 막 적층체 내에 형성된 금속 배선(metal interconnect)용 다마신(damascene) 구조로 가변 반사방지 코팅을 집적시키는 수단
    을 포함하는 반도체 소자.
  29. 금속 배선을 갖는 기판, 상기 기판 상에 형성된 금속 캐핑막(metal cap layer), 상기 금속 캐핑막 상에 형성된 제1 유전막, 상기 제1 유전막 상에 형성된 제2 유전막, 상기 유전막 상에 형성된 하드 마스크막, 상기 하드 마스크막 상에 형성된 제1 가변 내식각성 반사방지 (TERA) 코팅, 상기 제1 TERA 코팅 상에 형성된 제2 TERA 코팅 및 상기 TERA 코팅 상에 형성된 제1 광감성 물질의 막을 포함하는 막 적층체를 형성하는 단계와,
    상기 제1 광감성 물질의 막에 제1 패턴을 형성하는 단계와,
    상기 제1 패턴을 상기 제2 TERA 코팅으로 전달시키는 단계와,
    상기 TERA 코팅 상에 제2 광감성 물질의 막을 형성하는 단계와,
    상기 제2 광감성 물질의 막에 제2 패턴을 형성하는 단계와,
    상기 제2 패턴을 상기 제1 TERA 코팅으로 전달시키는 단계와,
    상기 제2 패턴을 상기 하드 마스크막으로 전달시키는 단계와,
    상기 제2 패턴을 상기 제2 유전막으로 전달시키는 단계와,
    상기 제2 패턴을 상기 제1 유전막으로 전달시키는 단계와,
    상기 제1 패턴을 상기 제1 TERA 코팅으로 전달시키는 단계와,
    상기 제1 패턴을 상기 하드 마스크막으로 전달시키는 단계와,
    상기 제1 패턴을 상기 제2 유전막으로 전달시키는 단계와,
    상기 제2 패턴을 상기 금속 캐핑막으로 전달시키는 단계
    를 포함하는 배선(interconnect) 구조 형성 방법.
  30. 제29항에 있어서, 상기 제1 패턴을 상기 제2 TERA 코팅으로 전달시는 단계 후에 상기 제1 광감성 물질의 막을 제거하는 단계를 더 포함하는 배선 구조 형성 방법.
  31. 제29항 또는 제30항에 있어서, 상기 제2 패턴을 상기 제2 유전막으로 전달시키는 단계 후에 상기 제2 광감성 물질의 막을 제거하는 단계를 더 포함하는 배선 구조 형성 방법.
  32. 제29항 내지 제31항 중 어느 한 항에 있어서, 상기 제2 유전막을 형성하는 단계 전에 상기 제1 유전막 상에 식각 정지막을 갖는 상기 막 적층체를 형성하는 단계와,
    상기 제2 패턴을 상기 식각 정지막으로 전달시키는 단계를 더 포함하는 배선 구조 형성 방법.
  33. 금속 배선을 갖는 기판, 상기 기판 상에 형성된 금속 캐핑막, 상기 금속 캐핑막 상에 형성된 제1 유전막, 상기 제1 유전막 상에 형성된 가변 내식각성 반사방지 (TERA) 코팅, 상기 TERA 코팅 상에 형성된 제1 광감성 물질의 막을 포함하는 막 적층체를 형성하는 단계와,
    상기 제1 광감성 물질의 막에 제1 패턴을 형성하는 단계와,
    상기 제1 패턴을 상기 TERA 코팅으로 전달시키는 단계와,
    상기 TERA 코팅 상에 제2 유전막을 형성하는 단계와,
    상기 막 적층체 상에 제2 TERA 코팅을 형성하는 단계와,
    상기 제2 TERA 코팅 상에 제2 광감성 물질의 막을 형성하는 단계와,
    상기 제2 광감성 물질의 막에 제2 패턴을 형성하는 단계와,
    상기 제2 패턴을 상기 제2 TERA 코팅으로 전달시키는 단계와,
    상기 제2 패턴을 상기 제2 유전막으로 전달시키는 단계와,
    상기 제1 패턴을 상기 제1 유전막으로 전달시키는 단계와,
    상기 제1 패턴을 상기 금속 캐핑막으로 전달시키는 단계
    를 포함하는 배선(interconnect) 구조 형성 방법.
  34. 제33항에 있어서, 상기 제2 유전막 상에 하드 마스크막을 형성하는 단계와,
    상기 하드 마스크막 상에 제2 TERA 코팅을 형성하는 단계와,
    상기 제2 패턴을 상기 하드 마스크막으로 전달시키는 단계를 더 포함하는 배선 구조 형성 방법.
  35. 금속 배선을 갖는 기판, 상기 기판 상에 형성된 금속 캐핑막(metal cap layer), 상기 금속 캐핑막 상에 형성된 제1 유전막, 상기 제1 유전막 상에 형성된 하드 마스크막, 상기 하드 마스크막 상에 형성된 가변 내식각성 반사방지 (TERA) 코팅 및 상기 TERA 코팅 상에 형성된 제1 광감성 물질의 막을 포함하는 막 적층체를 형성하는 단계와,
    상기 제1 광감성 물질의 막에 제1 패턴을 형성하는 단계와,
    상기 제1 패턴을 상기 TERA 코팅으로 전달시키는 단계와,
    상기 제1 패턴을 상기 하드 마스크막으로 전달시키는 단계와,
    상기 제1 패턴을 제1 유전막으로 전달시키는 단계와,
    상기 제1 패턴을 상기 금속 캐핑막으로 전달시키는 단계와,
    상기 TERA 코팅을 제거하는 단계와,
    상기 제1 유전막 및 상기 금속 캐핑막 내의 제1 패턴을 금속으로 채우는 단계와,
    상기 막 적층체 상에 제2 금속 캐핑막을 형성하는 단계와,
    상기 제2 금속 캐핑막 상에 제2 유전막을 형성하는 단계와,
    상기 제2 유전막 상에 제2 하드 마스크막을 형성하는 단계와,
    상기 제2 하드 마스크막 상에 제2 TERA 코팅을 형성하는 단계와,
    상기 제2 TERA 코팅 상에 제2 광감성 물질의 막을 형성하는 단계와,
    상기 제2 광감성 물질의 막에 제2 패턴을 형성하는 단계와,
    상기 제2 패턴을 상기 제2 TERA 코팅으로 전달시키는 단계와,
    상기 제2 패턴을 상기 제2 하드 마스크막으로 전달시키는 단계와,
    상기 제2 패턴을 상기 제2 유전막으로 전달시키는 단계와,
    상기 제2 패턴을 상기 제2 금속 캐핑막으로 전달시키는 단계
    를 포함하는 배선(interconnect) 구조 형성 방법.
KR1020067005435A 2003-11-14 2004-10-12 조정 가능한 반사방지 코팅을 포함하는 구조 및 그의 형성 방법 KR101044984B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/706,968 US7199046B2 (en) 2003-11-14 2003-11-14 Structure comprising tunable anti-reflective coating and method of forming thereof
US10/706,968 2003-11-14

Publications (2)

Publication Number Publication Date
KR20070005912A true KR20070005912A (ko) 2007-01-10
KR101044984B1 KR101044984B1 (ko) 2011-06-29

Family

ID=34573418

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067005435A KR101044984B1 (ko) 2003-11-14 2004-10-12 조정 가능한 반사방지 코팅을 포함하는 구조 및 그의 형성 방법

Country Status (5)

Country Link
US (2) US7199046B2 (ko)
JP (1) JP5269317B2 (ko)
KR (1) KR101044984B1 (ko)
TW (1) TWI307544B (ko)
WO (1) WO2005053011A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100907890B1 (ko) * 2007-12-03 2009-07-15 주식회사 동부하이텍 반도체 소자의 제조 방법
KR20150014387A (ko) * 2013-07-29 2015-02-06 도쿄엘렉트론가부시키가이샤 금속화 패턴 프로파일링을 위한 건식 에칭 방법

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7176571B2 (en) * 2004-01-08 2007-02-13 Taiwan Semiconductor Manufacturing Company Nitride barrier layer to prevent metal (Cu) leakage issue in a dual damascene structure
US7803705B2 (en) * 2004-01-13 2010-09-28 Tokyo Electron Limited Manufacturing method of semiconductor device and film deposition system
US7497959B2 (en) 2004-05-11 2009-03-03 International Business Machines Corporation Methods and structures for protecting one area while processing another area on a chip
US7504727B2 (en) * 2004-05-14 2009-03-17 International Business Machines Corporation Semiconductor interconnect structure utilizing a porous dielectric material as an etch stop layer between adjacent non-porous dielectric materials
US20060049139A1 (en) * 2004-08-26 2006-03-09 Tokyo Electron Limited Method and system for etching a gate stack
US7067435B2 (en) * 2004-09-29 2006-06-27 Texas Instruments Incorporated Method for etch-stop layer etching during damascene dielectric etching with low polymerization
JP4357434B2 (ja) * 2005-02-25 2009-11-04 株式会社東芝 半導体装置の製造方法
US7371684B2 (en) * 2005-05-16 2008-05-13 International Business Machines Corporation Process for preparing electronics structures using a sacrificial multilayer hardmask scheme
US7682516B2 (en) * 2005-10-05 2010-03-23 Lam Research Corporation Vertical profile fixing
US7514347B2 (en) * 2005-10-13 2009-04-07 United Microelectronics Corp. Interconnect structure and fabricating method thereof
US7485573B2 (en) * 2006-02-17 2009-02-03 International Business Machines Corporation Process of making a semiconductor device using multiple antireflective materials
US20070205507A1 (en) * 2006-03-01 2007-09-06 Hui-Lin Chang Carbon and nitrogen based cap materials for metal hard mask scheme
US20070218681A1 (en) * 2006-03-16 2007-09-20 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
KR100781422B1 (ko) * 2006-05-24 2007-12-03 동부일렉트로닉스 주식회사 듀얼 다마신 패턴 형성 방법
US7781332B2 (en) * 2007-09-19 2010-08-24 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics using a protective sidewall spacer
US8618663B2 (en) * 2007-09-20 2013-12-31 International Business Machines Corporation Patternable dielectric film structure with improved lithography and method of fabricating same
DE102007052048A1 (de) * 2007-10-31 2009-05-14 Advanced Micro Devices, Inc., Sunnyvale Doppelintegrationsschema für Metallschicht mit geringem Widerstand
US8003522B2 (en) * 2007-12-19 2011-08-23 Fairchild Semiconductor Corporation Method for forming trenches with wide upper portion and narrow lower portion
WO2009154173A1 (ja) * 2008-06-17 2009-12-23 株式会社アルバック 多段型基板の製造方法
US8597531B2 (en) 2009-04-02 2013-12-03 Infineon Technologies Ag Method for manufacturing a device on a substrate
US20110151222A1 (en) * 2009-12-22 2011-06-23 Agc Flat Glass North America, Inc. Anti-reflective coatings and methods of making the same
US8896120B2 (en) * 2010-04-27 2014-11-25 International Business Machines Corporation Structures and methods for air gap integration
US8298954B1 (en) * 2011-05-06 2012-10-30 International Business Machines Corporation Sidewall image transfer process employing a cap material layer for a metal nitride layer
JP6096470B2 (ja) * 2012-10-29 2017-03-15 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6132525B2 (ja) * 2012-11-30 2017-05-24 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
KR20150126885A (ko) * 2013-03-01 2015-11-13 블라디미르 클렙신 반사 방지 코팅
CN108122822B (zh) * 2016-11-29 2021-04-23 中芯国际集成电路制造(上海)有限公司 半导体器件的制备方法
JP6945385B2 (ja) * 2017-08-14 2021-10-06 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
CN110718506A (zh) * 2019-09-30 2020-01-21 上海华力集成电路制造有限公司 一种制作14nm节点后段制程32nm线宽金属的方法
CN113161284A (zh) * 2020-01-07 2021-07-23 台湾积体电路制造股份有限公司 用于制造互连结构的方法
WO2021173421A1 (en) * 2020-02-25 2021-09-02 Tokyo Electron Limited Dielectric etch stop layer for reactive ion etch (rie) lag reduction and chamfer corner protection

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6117619A (en) * 1998-01-05 2000-09-12 Micron Technology, Inc. Low temperature anti-reflective coating for IC lithography
TW392324B (en) * 1998-01-23 2000-06-01 United Microelectronics Corp Dual damascene process
US6316167B1 (en) * 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US6284149B1 (en) * 1998-09-18 2001-09-04 Applied Materials, Inc. High-density plasma etching of carbon-based low-k materials in a integrated circuit
JP2001068455A (ja) * 1999-08-30 2001-03-16 Hitachi Ltd 半導体装置の製造方法
JP2001077196A (ja) * 1999-09-08 2001-03-23 Sony Corp 半導体装置の製造方法
US20010051420A1 (en) * 2000-01-19 2001-12-13 Besser Paul R. Dielectric formation to seal porosity of low dielectic constant (low k) materials after etch
JP2002093778A (ja) * 2000-09-11 2002-03-29 Toshiba Corp 有機膜のエッチング方法およびこれを用いた半導体装置の製造方法
US6441491B1 (en) * 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6500773B1 (en) * 2000-11-27 2002-12-31 Applied Materials, Inc. Method of depositing organosilicate layers
US20020137323A1 (en) * 2001-01-03 2002-09-26 Loboda Mark Jon Metal ion diffusion barrier layers
US6309955B1 (en) * 2001-02-16 2001-10-30 Advanced Micro Devices, Inc. Method for using a CVD organic barc as a hard mask during via etch
JP2002252222A (ja) * 2001-02-22 2002-09-06 Nec Corp 半導体装置の製造方法、及び半導体装置
US6486059B2 (en) * 2001-04-19 2002-11-26 Silicon Intergrated Systems Corp. Dual damascene process using an oxide liner for a dielectric barrier layer
US6777171B2 (en) * 2001-04-20 2004-08-17 Applied Materials, Inc. Fluorine-containing layers for damascene structures
US6620727B2 (en) * 2001-08-23 2003-09-16 Texas Instruments Incorporated Aluminum hardmask for dielectric etch
JP4085648B2 (ja) * 2002-02-22 2008-05-14 ソニー株式会社 半導体装置の製造方法
US7105460B2 (en) * 2002-07-11 2006-09-12 Applied Materials Nitrogen-free dielectric anti-reflective coating and hardmask
US6903023B2 (en) * 2002-09-16 2005-06-07 International Business Machines Corporation In-situ plasma etch for TERA hard mask materials
US6803313B2 (en) * 2002-09-27 2004-10-12 Advanced Micro Devices, Inc. Method for forming a hardmask employing multiple independently formed layers of a pecvd material to reduce pinholes
US6853043B2 (en) * 2002-11-04 2005-02-08 Applied Materials, Inc. Nitrogen-free antireflective coating for use with photolithographic patterning
US6917108B2 (en) * 2002-11-14 2005-07-12 International Business Machines Corporation Reliable low-k interconnect structure with hybrid dielectric
US6869542B2 (en) * 2003-03-12 2005-03-22 International Business Machines Corporation Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials
US20050062164A1 (en) * 2003-09-23 2005-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving time dependent dielectric breakdown lifetimes
US7553769B2 (en) * 2003-10-10 2009-06-30 Tokyo Electron Limited Method for treating a dielectric film
US7611758B2 (en) * 2003-11-06 2009-11-03 Tokyo Electron Limited Method of improving post-develop photoresist profile on a deposited dielectric film

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100907890B1 (ko) * 2007-12-03 2009-07-15 주식회사 동부하이텍 반도체 소자의 제조 방법
KR20150014387A (ko) * 2013-07-29 2015-02-06 도쿄엘렉트론가부시키가이샤 금속화 패턴 프로파일링을 위한 건식 에칭 방법

Also Published As

Publication number Publication date
US20050230677A1 (en) 2005-10-20
JP2007511906A (ja) 2007-05-10
WO2005053011A1 (en) 2005-06-09
US7199046B2 (en) 2007-04-03
US20050104150A1 (en) 2005-05-19
JP5269317B2 (ja) 2013-08-21
KR101044984B1 (ko) 2011-06-29
TW200527164A (en) 2005-08-16
TWI307544B (en) 2009-03-11

Similar Documents

Publication Publication Date Title
KR101044984B1 (ko) 조정 가능한 반사방지 코팅을 포함하는 구조 및 그의 형성 방법
US7115993B2 (en) Structure comprising amorphous carbon film and method of forming thereof
US7935477B2 (en) Double patterning strategy for contact hole and trench
JP5186086B2 (ja) デュアル・ダマシン・パターニング・アプローチ
US6787452B2 (en) Use of amorphous carbon as a removable ARC material for dual damascene fabrication
KR101283837B1 (ko) 유전체 막의 처리 방법 및 피처 형성 방법
US20090311634A1 (en) Method of double patterning using sacrificial structure
US7109119B2 (en) Scum solution for chemically amplified resist patterning in cu/low k dual damascene
KR100876808B1 (ko) 반도체 소자의 패턴 형성 방법
US8470708B2 (en) Double patterning strategy for contact hole and trench in photolithography
US7432191B1 (en) Method of forming a dual damascene structure utilizing a developable anti-reflective coating
US20080020327A1 (en) Method of formation of a damascene structure
TWI397108B (zh) 可顯影之抗反射塗層的雙重圖形化方法
US7767386B2 (en) Method of patterning an organic planarization layer
US7883835B2 (en) Method for double patterning a thin film
US7932017B2 (en) Method of double patterning a thin film using a developable anti-reflective coating and a developable organic planarization layer
US20080073321A1 (en) Method of patterning an anti-reflective coating by partial etching
US7811747B2 (en) Method of patterning an anti-reflective coating by partial developing
EP1646083B1 (en) Alternative dual damascene patterning approach
US7858293B2 (en) Method for double imaging a developable anti-reflective coating

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140603

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150515

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee