DE102007052048A1 - Doppelintegrationsschema für Metallschicht mit geringem Widerstand - Google Patents

Doppelintegrationsschema für Metallschicht mit geringem Widerstand Download PDF

Info

Publication number
DE102007052048A1
DE102007052048A1 DE102007052048A DE102007052048A DE102007052048A1 DE 102007052048 A1 DE102007052048 A1 DE 102007052048A1 DE 102007052048 A DE102007052048 A DE 102007052048A DE 102007052048 A DE102007052048 A DE 102007052048A DE 102007052048 A1 DE102007052048 A1 DE 102007052048A1
Authority
DE
Germany
Prior art keywords
layer
forming
metallization
cover layer
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE102007052048A
Other languages
English (en)
Inventor
Carsten Peters
Frank Feustel
Kai Frohberg
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Dresden Module One LLC and Co KG
Advanced Micro Devices Inc
AMD Fab 36 LLC
Original Assignee
AMD Fab 36 LLC and Co KG
Advanced Micro Devices Inc
AMD Fab 36 LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by AMD Fab 36 LLC and Co KG, Advanced Micro Devices Inc, AMD Fab 36 LLC filed Critical AMD Fab 36 LLC and Co KG
Priority to DE102007052048A priority Critical patent/DE102007052048A1/de
Priority to US12/104,692 priority patent/US20090108462A1/en
Publication of DE102007052048A1 publication Critical patent/DE102007052048A1/de
Ceased legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Durch Bilden einer Metallleitung, die sich durch das gesamte dielektrische Zwischenschichtmaterial in widerstandsempfindlichen Metallisierungsschichten erstreckt, kann eine verbesserte Gleichmäßigkeit dieser Metallisierungsschichten erreicht werden. Das Strukturieren entsprechender Kontaktdurchführungsöffnungen kann auf der Grundlage einer Vertiefung bewerkstelligt werden, die in einer Deckschicht gebildet ist, die zusätzlich als eine effiziente Ätzstoppschicht während des Strukturierens der Gräben dient, die sich durch das gesamte dielektrische Zwischenschichtmaterial erstrecken. Folglich kann für eine vorgegebene Entwurfsbreite der Metallleitungen in widerstandsempfindlichen Metallisierungsschichten eine maximale Querschnittsfläche für die Metallleitung mit einem hohen Maß an Prozessgleichmäßigkeit unabhängig von der Kontaktdichte erreicht werden.

Description

  • Gebiet der vorliegenden Offenbarung
  • Im Allgemeinen betrifft der hierin offenbarte Gegenstand die Herstellung integrierter Schaltungen und betrifft insbesondere die Herstellung von Metallisierungsschichten oder Metallschichten in einem Doppeleinlege-Integrationsschema für moderne integrierte Halbleiterbauelement.
  • Beschreibung des Stands der Technik
  • In modernen integrierten Schaltungen haben die minimalen Strukturgrößen, etwa die Kanallänge von Feldeffekttransistoren, nunmehr den Bereich deutlich 1 μm erreicht, wodurch das Leistungsverhalten dieser Bauelemente im Hinblick auf die Geschwindigkeit und/oder Leistungsaufnahme zunehmend verbessert wurde. Wenn die Größe der einzelnen Schaltungselemente deutlich verringert wird, wodurch beispielsweise die Schaltgeschwindigkeit der Transistorelemente erhöht wird, wird auch der verfügbare Platz für Verbindungsleitungen, die die einzelnen Schaltungselemente elektrisch verbinden, ebenso verringert. Folglich müssen die Abmessungen dieser Verbindungsleitungen reduziert werden, um dem geringeren Anteil an verfügbarer Fläche und der größeren Anzahl an Schaltungselementen, die pro Chipfläche vorgesehen sind, Rechnung zu tragen, wodurch typischerweise das Stapeln mehrerer Verdrahtungsebenen oder Metallisierungsschichten erforderlich ist, um die erforderliche Anzahl an Verbindungsstrukturen aufzunehmen. Die Verdrahtungsebenen umfassen typischerweise Metallleitungen, die mit Metallgebieten und Metallleitungen benachbarter Metallisierungsschichten des Verdrahtungsschichtstapels durch vertikale Kontakte, die auch als Kontaktdurchführungen bezeichnet werden, verbunden sind.
  • In modernen integrierten Schaltungen ist ein begrenzender Faktor des Bauteilleistungsverhaltens die Signalausbreitungsverzögerung, die durch die Schaltgeschwindigkeit der Transistorelemente und das elektrische Verhalten der Verdrahtungsebenen der Bauelemente hervorgerufen wird, wobei dieses durch den Widerstand (R) der Metallleitungen und durch die parasitäre Kapazität (C), die von dem Abstand der Verbindungsleitungen abhängt, bestimmt ist, da die Kapazität von Leitung zu Leitung größer wird, wobei auch eine geringere Leitfähigkeit der Leitungen auf Grund der geringeren Querschnittsflächen hinzukommt. Ob wohl in einigen Metallisierungsebenen die RC-Zeitkonstanten der wesentliche Faktor sind, für das Gesamtleistungsverhalten bestimmt, führt in anderen Ebenen ein hoher Reihenwiderstand der Metallleitungen auf Grund der Entwurfsbeschränkungen im Hinblick auf die Linienbreite zu hohen Stromdichten, was zu einer beeinträchtigten Leistung und einer geringeren Zuverlässigkeit auf Grund der erhöhten Elektromigration führen kann, d. h. auf Grund eines durch den Strom hervorgerufenen Materialflusses, der durch hohe Stromdichten hervorgerufen wird.
  • Traditioneller Weise werden Metallisierungsschichten mittels eines dielektrischen Schichtstapels gebildet, der beispielsweise Siliziumdioxid und/oder Siliziumnitrid und Aluminium als das typische Metall aufweist. Da Aluminium eine deutliche Elektromigration bei höheren Stromdichten zeigt, die in integrierten Schaltungen notwendig sind, die sehr kleine Strukturgrößen aufweisen, wird Aluminium zunehmend durch Kupfer oder Kupferlegierungen ersetzt, die einen deutlich geringen elektrischen Widerstand besitzen und eine höhere Widerstandsfähigkeit gegenüber Elektromigration aufweisen. Eine weitere Verringerung der parasitären RC-Zeitkonstanten kann erreicht werden, indem gut bekannte und gut etablierte dielektrische Materialien wie Siliziumdioxid (ε ungefähr 4,2) und Siliziumnitrid (ε > 5) durch sogenannte dielektrische Materialien mit kleinem ε ersetzt werden. Der Übergang von gut bekannten und gut etablierten Aluminium/Siliziumdioxid-Metallisierungsschicht zu einer Metallisierungsschicht mit Kupfer und Dielektrikum mit kleinem ε ist mit einer Reihe von Problemen verknüpft, die es zu lösen gilt.
  • Beispielsweise können Kupfer und Legierungen davon nicht in relativ großen Mengen in effizienter Weise durch gut etablierte Abscheideverfahren, etwa chemische Dampfabscheidung und physikalische Dampfabscheidung, aufgebracht werden. Des weiteren kann Kupfer nicht in effizienter Weise durch gut etablierte anisotrope Ätzprozesse strukturiert werden. Daher wird häufig die sogenannte Damaszener- oder Einlegetechnik angewendet, um Metallisierungsschichten mit kupferbasierten Leitungen zu bilden. In der Damaszener-Technik wird typischerweise die dielektrische Schicht abgeschieden und sodann mit Gräben und Kontaktdurchführungen strukturiert, die nachfolgend mit Kupfer mittels Plattierungsverfahren, etwa Elektroplattieren oder stromloses Plattieren gefüllt werden. In vielen Damaszener-Strategien werden die Öffnungen für die Kontaktdurchführungen und die Metallleitungen zuerst gebildet und nachfolgend wird das Metall während eines gemeinsamen Abscheideprozesses eingefüllt.
  • Eine gut etablierte Lösung in dieser Hinsicht ist die sogenannte Vorgehensweise mit „Kontaktöffnung zuerst-Graben zuletzt", in der Öffnungen für die Kontaktdurchführungen zuerst in den dielektrischen Zwischenschichtmaterial gebildet werden und nachfolgend die Grabenöffnungen strukturiert werden, was gewisse Vorteile im Hinblick auf die Prozessgleichmäßigkeit im Vergleich zu einer Vorgehensweise mit „Graben zuerst-Kontaktöffnung zuletzt" schafft, wobei die Gräben zuerst gebildet werden und danach die Kontaktlochöffnungen auf der Grundlage anspruchsvoller Lithographie- und Ätzverfahren vorgesehen werden. Während der Vorgehensweise mit „Kontaktöffnung zuerst-Graben zuletzt" wird die sich aus der Strukturierung des dielektrischen Zwischenschichtmaterial ergebende Oberflächentopographie eingeebnet, bevor die Grabenöffnungen auf der Grundlage eines geeigneten Materials, etwa eines Polymermaterials, eines Photolackmaterials und dergleichen tatsächlich strukturiert werden. Jedoch muss in modernen Halbleiterbauelementen das Leistungsverhalten der Verdrahtungsebene, d. h. der mehreren Metallisierungsschichten, strikte Anforderungen erfüllen, um für das gewünschte elektrische Verhalten des betrachteten Bauelements zu sorgen. Wie zuvor erläutert ist, enthalten die diversen Metallisierungsebenen Metallleitungen und ein dielektrisches Zwischenschichtmaterial, wobei der Leitungswiderstand und die Permittivität des dielektrischen Materials im Wesentlichen das elektrische Gesamtverhalten im Hinblick auf die Signalausbreitung bestimmen, wobei andere Aspekte, etwa die Elektromigration, die Zuverlässigkeit der Metallleitungen und dergleichen, ebenfalls deutlich von der Materialzusammensetzung, den Fertigungsprozessen und dergleichen abhängen. In modernen Halbleiterbauelementen wird zwischen Metallisierungsebenen unterschieden, in den das kapazitive Verhalten deutlich das Gesamtleistungsverhalten beeinflusst, während in anderen Metallisierungsebenen ein geringerer Gesamtwiderstand zu einem verbesserten Bauteilverhalten beiträgt. Es wird daher zunehmend wichtig, elektrische Eigenschaften gewisser Metallisierungsebenen im Hinblick auf ihr kapazitives oder resistives Verhalten speziell einzustellen. Für eine Metallisierungsebene, in der ein geringerer Gesamtwiderstand der Metallleitungen, die darin ausgebildet sind, nötig ist, kann beispielsweise der Querschnitt der Metallleitungen erhöht werden, was durch geeignetes Einstellen der Dicke des dielektrischen Zwischenschichtmaterials bewerkstelligt werden kann, in welchem die Gräben und die Kontaktöffnungen zu bilden sind. Es zeigt sich jedoch, dass in anspruchsvollen Vorgehensweisen mit „Kontaktöffnung zuerst-Graben zuletzt" eine deutliche Ungleichmäßigkeit der entsprechenden Metalldicke beobachtet werden kann, wie dies nachfolgend detaillierter mit Bezug zu den 1a und 1b beschrieben ist.
  • 1a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 100 mit einem Substrat 101, etwa einem siliziumbasierten Material, und dergleichen. Es sollte beachtet werden, dass das Substrat 101 eine entsprechende Bauteilschicht aufweist, in der eine Vielzahl von Schaltungselementen ausgebildet sind, etwa Transistoren, Kondensatoren, und dergleichen. Wie zuvor erwähnt ist, ist auf Grund der Vielzahl der Schaltungselemente eine geeignete Verbindung gemäß dem spezifizierten Schaltungsaufbau erforderlich, wofür mehrere Metallisierungsschichten 120, 140 erforderlich sind, die in einer gestapelten Konfiguration vorgesehen sind. D. h., die Metallisierungsschicht 120, die eine beliebige Metallisierungsschicht repräsentieren kann, unter der weitere Metallisierungsschichten (nicht gezeigt) vorgesehen sein können, enthält typischerweise ein dielektrisches Zwischenschichtmaterial 121, das ein dielektrisches Material mit kleinem ε, konventionelle Dielektrika, etwa Siliziumdioxid, Siliziumnitrid, Siliziumoxidnitrid, und dergleichen aufweisen kann. Des weiteren besitzt die Metallisierungsschicht 120 mehrere Metallleitungen, wovon lediglich eine Metallleitung 122 in 1a gezeigt ist. Die Metallisierungsschicht 140 umfasst in dem gezeigten Fertigungsstadium ein dielektrisches Material 141, das aus einer beliebigen geeigneten Materialzusammensetzung aufgebaut ist, und enthält ferner mehrere Kontaktöffnungen 143a, ..., 143d. In 1a umfasst das Halbleiterbauelement 100 zumindest in der Metallisierungsschicht 140 unterschiedliche Bauteilbereiche 102a, 102b und 102c, die Bereichen entsprechen, in denen eine deutlich unterschiedliche „Dichte" aus Kontaktlochöffnungen 143a, ..., 143d in der Metallisierungsschicht besteht. Beispielsweise kann der Bauteilbereich 102a einem Gebiet entsprechen, in welchem im Wesentlichen kein Kontaktloch zu der darunter liegenden Metallisierungsschicht 120 gebildet ist. In ähnlicher Weise entspricht der Bauteilbereich 102b einem Gebiet mit einer moderat geringen Kontaktdichte, d. h. die Kontaktlochöffnung 143a kann als eine alleinstehende Kontaktlochöffnung betrachtet werden. Das Bauteilgebiet 102c repräsentiert andererseits einen Bereich mit einer hohen Kontaktdichte, um damit eine Verbindung zu der darunter liegenden Metallisierungsschicht 120, etwa zu einer oder mehreren der Metallleitungen 122, herzustellen. Es sollte beachtet werden, das die Kontaktlochöffnungen 143b, ..., 143d nicht notwendigerweise mit der gleichen Metallleitung 122 verbunden sind, wie in 1a gezeigt ist, sondern dass diese mit zwei oder mehreren Metallleitungen, die in 1a nicht gezeigt sind, verbunden sein können.
  • Ferner umfasst das Halbleiterbauelement 100 in der in 1a gezeigten Fertigungsphase ein Einebnungsmaterial 144, das in Form eines beliebigen geeigneten Materials vorgesehen ist, etwa in Form eines Polymermaterials, eines Lackmaterials und ergleichen. Beispielsweise wird das Material 144 vorgesehen, um die Kontaktlochöffnungen 143a, ... 143d zu füllen und ebenso um für eine insgesamt „ebene" Oberflächentopographie zu sorgen. Es zeigt sich jedoch, dass die effektive Dicke des Materials 144 deutlich von der Kontaktdichte in den jeweiligen Bauteilbereichen abhängt, wobei typischerweise die Dicke des Materials 144 kleiner ist, wenn eine höhere Kontaktlochdichte vorliegt. Wie gezeigt ist eine Dicke T1 des Bereichs 102a größer als eine Dicke T2 des Bereichs 102b, die wiederum größer ist als eine Dicke T3 des Bereichs 102c, der die höchste Kontaktlochdichte aufweist.
  • Das Halbleiterbauelement 100, wie es in 1a gezeigt ist, kann gemäß gut etablierter konventioneller Prozessstrategien hergestellt werden, zu denen ein Fertigungsprozess gehört, um Schaltungselemente in der Bauteilschicht herzustellen, die in dem Substrat 101 enthalten ist, woran sich das Herstellen einer oder mehrerer Metallisierungsschichten, etwa der Schichten 120 und 140 anschließt. Eine entsprechende Fertigungssequenz unter Anwendung der Vorgehensweise mit „Kontaktdurchführung zuerst-Graben zuletzt" wird nunmehr mit Bezug zu den Metallisierungsschichten 140 beschrieben, wobei zu beachten ist, dass die gleiche Prozessstrategie auch für die Herstellung der Metallisierungsschicht 120 eingesetzt werden kann. Somit wird nach dem Herstellen der Metallisierungsschicht 120, die das dielektrische Material 121, die Metallleitung 122 und eine Deckschicht 125 aufweist, die in anspruchsvollen Anwendungen typischerweise aus einem Ätzstopp- und Barrierenmaterial mit moderat geringer Permittivität aufgebaut ist, das auch als „Blok"-(untenliegendes Material mit kleinem ε)Material bezeichnet wird, das dielektrische Material 141 der Schicht 140 durch beispielsweise Abscheidung, Aufschleudertechniken und dergleichen gebildet. Anschließend werden moderne Lithographie- und Ätztechniken, um eine Lackmaske vorzusehen, möglicherweise in Verbindung mit einem Hartmaskenmaterial, falls erforderlich, um damit die laterale Position und die Größe der Kontaktlochöffnungen 143a, 143b gemäß den Bauteilerfordernissen zu definieren. Im Anschluss daran wird die dielektrische Schicht 141 gemäß gut etablierter anisotroper Ätztechniken geätzt, wobei typischerweise der Ätzprozess auf und in der Deckschicht 125 anhält. Als nächstes wird das Einebnungsmaterial 144 abgeschieden, beispielsweise durch sehr nicht-konforme Techniken, etwa Aufschleuderprozesse und dergleichen, wobei das Einebnungsmaterial 144 in einem Zustand mit geringer Viskosität vorgesehen werden kann, wodurch die Öffnungen 143a, ..., 143b gefüllt werden und auch eine gewisse Menge an überschüssigem Material auf horizontalen Bauteilbereichen bereitgestellt wird. Auf Grund der unterschiedlichen Oberflächentopographie, die durch die variable Kontaktdichte hervorgerufen wird, kann das Abscheiden des Materials 144 zu unterschiedlichen Dicken T1 ... T3 führen, wie dies zuvor erläutert ist. Somit kann für eine vorgegebene Dicke des dielektrischen Zwischenschichtmaterials 141 eine mittlere Ätztiefe festgelegt werden, die mit den diversen Erfordernissen überreinstimmt, d. h. es wird eine erforderliche minimale Dicke des dielektrischen Zwischenschichtmaterials 141 unter einer entsprechenden Metallleitung vorgesehen, um akzeptable Kapazitätswerte zu erhalten, wobei auch für eine erforderliche minimale Leitfähigkeit der Metallleitungen, die in dem Material 141 zu bilden sind, vorzusehen ist, während eine laterale Größe oder Breite und ein Abstand von Metallleitungen, d. h. in dem Gebiet 102c, durch die Entwurfsregeln vorgegeben ist. Wenn folglich eine entsprechende Ätzmaske zum Definieren von Gräben für die Metallleitungen in der Metallisierungsschicht 140 gebildet wird, wird eine unterschiedliche Dicke des Materials 144 angetroffen, was auch den nachfolgenden Ätzprozess beeinflussen kann. Somit kann die effektive Ätztiefe in den diversen Bereichen 102a, ..., 102c ebenfalls variieren, wodurch eine unterschiedliche Metalldicke und damit ein unterschiedlicher Querschnitt in den Metallleitungen in diesen Gebieten hervorgerufen wird.
  • 1b zeigt schematisch das Halbleiterbauelement 100 nach dem Ende der zuvor beschriebenen Sequenz zum Strukturieren entsprechender Gräben und zum Abfüllen der Gräben mit einem leitenden Material, etwa Kupfer, möglicherweise in Verbindung mit einem geeigneten Barrierenmaterial. Somit können entsprechende Metallleitungen 142a, 142b und 142c in den Bereichen 102a, 102b und 102c gebildet werden, wobei eine Dicke 142t in den Bereichen 102a, ... 102c unterschiedliche sein kann. Somit kann sich gemäß konventioneller „Kontaktöffnung zuerst-Graben zuletzt" Vorgehensweisen der Widerstand der Metallleitungen 142a, ..., 142c unterscheiden, was zu einer Ungleichmäßigkeit in der Leistungsfähigkeit führen kann, insbesondere, wenn Metallisierungsschichten betrachtet werden, in denen der Gesamtwiderstand der entsprechenden Metallleitungen einen deutlich Einfluss auf das Gesamtbauteilverhalten aufweisen kann.
  • Angesichts der zuvor beschriebenen Situation betrifft die vorliegende Offenbarung Verfahren und Halbleiterbauelemente, in denen Metallisierungsschichten auf der Grundlage einer verbesserten Einlegetechnik hergestellt werden, wobei ein oder mehrere der oben erkannten Probleme vermieden oder zumindest in der Auswirkung reduziert werden können.
  • Überblick über die Offenbarung
  • Im Allgemeinen betrifft der hierin offenbarte Gegenstand ein verbessertes Strukturierungsschema und entsprechende Halbleiterbauelemente, in denen die Metallisierungsebene gebildet werden kann, indem eine Einlegestrategie verwendet wird, die auch berücksichtigt, ob eine entsprechende Metallisierungsschicht eine widerstandsempfindliche Schicht ist oder nicht. Im Falle einer widerstandsempfindlichen Schicht wird die Ätztiefe in dem entsprechenden dielektrischen Material vergrößert, wodurch effizient die Querschnittsfläche der entsprechenden Metallleitungen erhöht wird, was sich wiederum direkt in einem geringeren Leitungswiderstand ausdrückt. Eine gleichförmige Ätztiefe kann erreicht werden, indem die Grabenöffnung durch das gesamte dielektrische Zwischenschichtmaterial geätzt wird, nachdem die entsprechenden Kontaktlochöffnungen geätzt sind, wobei eine spezielle Materialschicht der darunter liegenden Metallisierungsebene als ein effizientes Ätzstoppmaterial verwendet wird. Beispielsweise kann eine dielektrische Deckschicht, die häufig eingesetzt wird, um empfindliche Metallgebiete einzuschließen, als ein effizientes Ätzstoppmaterial eingesetzt werden, wodurch eine im Wesentlichen gleichmäßige Ätztiefe für die Gräben unabhängig von der entsprechenden Kontaktlochdichte des zugehörigen Bauteilbereichs erreicht werden kann. Somit kann der Gesamtwiderstand der Metallleitungen verringert werden, während auch die Gleichförmigkeit des Leistungsverhaltens verbessert wird.
  • Ein anschauliches hierin offenbartes Verfahren umfasst das Bilden einer Vertiefung bzw. Aussparung in einer Deckschicht einer erste Metallisierungsschicht eines Halbleiterbauelements, wobei die Vertiefung einer zu bildenden Kontaktdurchführung entspricht, so dass diese mit einem ersten Metallgebiet der ersten Metallisierungsschicht verbunden ist. Das Verfahren umfasst ferner das Bilden eines dielektrischen Zwischenschichtmaterials über der Deckschicht und das Bilden eines ersten Grabens und eines zweiten Grabens in dem dielektrischen Zwischenschichtmaterial unter Anwendung der Deckschicht als ein Ätzstoppmaterial, wobei der erste Graben die zuvor gebildete Vertiefung umfasst. Des weiteren beinhaltet das Verfahren das Ausführen eines Ätzprozesses zum Öffnen der Vertiefung, um eine Kontaktdurchführungsöffnung, die mit dem ersten Metallgebiet verbunden ist, zu bilden und das Füllen der Kontaktdurchführungsöffnung des ersten und des zweiten Grabens mit einem leitenden Material, um eine zweite Metallisierungsschicht zu bilden.
  • Ein weiteres anschauliches hierin offenbartes Verfahren umfasst das Bilden eines dielektrischen Zwischenschichtmaterials einer zweiten Metallisierungsschicht über einer ersten Metallisierungsschicht. Das Verfahren umfasst ferner das Bilden einer Kontaktdurchführungsöffnung in dem dielektrischen Zwischenschichtmaterial und das Bilden einer Vertiefung in einer Deckschicht der ersten Metallisierungsschicht, wobei die Vertiefung der Kontaktdurchführungsöffnung entspricht. Des weiteren umfasst das Verfahren das Bilden eines ersten Grabens und eines zweiten Grabens in dem dielektrischen Zwischenschichtmaterial, wobei der erste und der zweite Graben sich zu der Deckschicht erstrecken und wobei der erste Graben die Kontaktdurchführungsöffnung umfasst. Ferner wird die Kontaktdurchführungsöffnung vertieft, so dass diese sich durch die Deckschicht erstreckt und die Kontaktdurchführungsöffnung und der erste und der zweite Graben werden mit einem gleitenden Material gefüllt, um eine erste Metallleitung eine zweite Metallleitung in der zweiten Metallisierungsschicht zu bilden.
  • Ein anschauliches hierin offenbartes Halbleiterbauelement umfasst eine Bauteilschicht und eine erste Metallisierungsschicht, die ein Metallgebiet aufweist. Ferner ist eine zweite Metallisierungsschicht vorgesehen, die ein dielektrisches Zwischenschichtmaterial aufweist, das über einer Deckschicht ausgebildet ist, wobei die Deckschicht das Metallgebiet verschließt. Das Halbleiterbauelement umfasst ferner eine erste Metallleitung, die in dem dielektrischen Zwischenschichtmaterial ausgebildet ist und die sich zu der Deckschicht erstreckt. Schließlich enthält das Halbleiterbauelement eine Kontaktdurchführung, die in der Deckschicht ausgebildet ist, und die die erste Metallleitung mit dem ersten Metallgebiet in der ersten Metallisierungsschicht verbindet.
  • Kurze Beschreibung der Zeichnungen
  • Weitere Ausführungsformen sind in den angefügten Patentansprüchen und der folgenden Beschreibung definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird, in denen:
  • 1a und 1b schematisch Querschnittsansichten eines Halbleiterbauelements während diverser Fertigungsphasen zur Herstellung einer Metallisierungsschicht gemäß einem konventionellen Ansatz mit „Kontaktöffnung zuerst-Graben zuletzt" darstellen;
  • 2a bis 2f schematisch Querschnittsansichten eines Halbleiterbauelements während diverser Fertigungsphasen zur Herstellung einer Metallisierungsschicht durch Bilden einer Vertiefung in einer Deckschicht für eine Kontaktdurchführungsöffnung und nachfolgendes Strukturieren von Gräben, die sich zu der Deckschicht erstrecken, gemäß anschaulicher Ausführungsformen zeigt;
  • 3a bis 3f schematisch Querschnittsansichten eines Halbleiterbauelements während diverser Fertigungsphasen zur Herstellung einer Metallisierungsschicht zeigen, wobei Gräben bis hinab zu einer Deckschicht geätzt werden, nachdem die entsprechenden Kontaktdurchführungsöffnungen gemäß noch weiterer anschaulicher Ausführungsformen strukturiert sind;
  • 3g schematisch eine Querschnittsansicht eines Halbleiterbauelements während eines Fertigungsprozesses zur Ausbildung einer Deckschicht mit einer zwischenliegenden Ätzstoppschicht gemäß noch weiterer anschaulicher Ausführungsformen zeigt; und
  • 3h schematisch ein Halbleiterbauelement mit mehreren Metallisierungsschichten zeigt, wobei mindestens eine der Metallisierungsschichten Metallleitungen enthält, die sich bis hinab zu einer Deckschicht erstrecken, die über einer tieferliegenden Metallisierungsschicht gemäß noch weiterer anschaulicher Ausführungsformen gebildet ist.
  • Detaillierte Beschreibung
  • Obwohl die vorliegende Offenbarung mit Bezug zu den Ausführungsformen beschrieben ist, wie sie in der folgenden detaillierten Beschreibung sowie in den Zeichnungen dargestellt sind, sollte beachtet werden, dass die folgende detaillierte Beschreibung sowie die Zeichnungen nicht beabsichtigen, den hierin offenbarten Gegenstand auf die speziellen anschaulichen offenbarten Ausführungsformen einzuschränken, sondern die beschriebenen anschaulichen Ausführungsformen stellen lediglich beispielhaft die diversen Aspekte dar, deren Schutzbereich durch die angefügten Patentansprüche definiert ist.
  • Im Allgemeinen betrifft die vorliegende Offenbarung Fertigungsverfahren und Halbleiterbauelement, in denen das Leistungsverhalten von widerstandsempfindlichen Metallisierungsschichten verbessert werden kann, indem für eine verbesserte Ätzgleichmäßigkeit gesorgt wird und indem die Gesamtquerschnittsfläche von Metallleitungen in der widerstandsempfindlichen Metallisierungsschicht vergrößert wird. Zu diesem Zweck wird die laterale Position von Kontaktdurchführungsöffnungen auf der Grundlage eines Strukturierungsprozesses definiert, wobei in einigen anschaulichen Aspekten eine Vertiefung in einer Deckschicht einer tieferliegenden Metallisierungsschicht vor dem eigentlichen Bereitstellen des dielektrischen Zwischenschichtmaterials für die nachfolgende Metallisierungsebene gebildet wird. In einer anschließenden Prozesssequenz werden die Gräben auf der Grundlage von Photolithographie und Ätzverfahren strukturiert, wobei der Ätzprozess auf der Grundlage der Deckschicht gesteuert wird, die daher als ein Ätzstoppmaterial verwendet wird. Daher besitzen die resultierenden Gräben eine im Wesentlichen gleichmäßige Tiefe abhängig von der Gesamtebenheit des dielektrischen Zwischenschichtmaterials, wobei auch eine maximale Querschnittsfläche der Metallleitungen erreicht wird, die durch Füllen der zuvor geätzten Gräben herzustellen sind. Auf Grund der zuvor gebildeten Vertiefungen in der Deckschicht können die Kontaktdurchführungsöffnungen durch Ätzen durch die Deckschicht innerhalb der vertieften Bereiche gebildet werden, während nicht-vertiefte Deckschichtbereiche und die entsprechenden Grabenunterseiten beibehalten werden, jedoch mit einer geringeren Dicke, um damit ein Freilegen von darunter liegenden Bereichen der unteren Metallisierungsebene zuverlässig zu vermeiden.
  • In anderen anschaulichen Aspekten, die hierin offenbart sind, wird das dielektrische Zwischenschichtmaterial auf der Grundlage einer erforderlichen Solldicke gebildet und nachfolgend so strukturiert, dass Kontaktdurchführungsöffnungen erzeugt werden, die sich in die Deckschicht erstrecken, wodurch darin eine Vertiefung geschaffen wird. Danach werden die Gräben strukturiert, wobei auch die Deckschicht als ein effizientes Ätzstoppmaterial verwendet wird. Wie zuvor beschrieben ist, können auch in diesem Falle die zuvor gebildeten Vertiefungen, die den Kontaktdurchführungsöffnungen entsprechen, in einem nachfolgenden Ätzschritt verwendet werden, um damit Kontaktdurchführungsöffnungen zu schaffen, die sich bis in die darunter liegende Metallisierungsebene erstrecken, wobei die Deckschicht an nicht-vertieften Unterseitenbereichen der Gräben zuverlässig das Freilegen der darunter liegenden Materialien vermeiden. Somit kann für eine gegebene Entwurfsbreite von Metallleitungen die hierin offenbarte Technik die Herstellung von Metallleitungen ermöglichen, die einen maximale Querschnittsfläche für eine gegebene Entwurfsbreite und eine Dicke des dielektrischen Zwischenschichtmaterials besitzen. Des weiteren wird ein hohes Maß an Prozessgleichmäßigkeit während des Strukturierens der Gräben unabhängig von der Dichte der Kontaktdurchführungen in den jeweiligen Bauteilbereichen erreicht. Da der maximale Querschnitt der betrachteten Metallleitungen auf der Grundlage einer Dicke des dielektrischen Zwischenschichtmaterials eingestellt werden kann, kann das gewünschte Leistungsverhalten im Hinblick auf die Leitfähigkeit von widerstandsempfindlichen Metallisierungsschichten eingestellt werden, indem ein geeigneter Sollwert für die Dicke des dielektrischen Zwischenschichtmaterials gewählt wird. Somit kann die gewünschte Querschnittsfläche effizient auf der Grundlage einer Abscheidetechnik eingestellt werden, ohne dass durch das Ätzen hervorgerufene Ungleichmäßigkeiten auftreten.
  • Die hierin offenbarten Prinzipien können höchst vorteilhafterweise im Zusammenhang mit modernen Halbleiterbauelementen eingesetzt werden, die komplexe Metallisierungsebenen erfordern, in denen kapazitätssensitive und widerstandssensitive Schichten enthalten sind, was für eine Vielzahl modernster integrierter Schaltungen, etwa CPU's mit ausgedehnten Speicherbereichen, ASIC's (anwendungsspezifische IC's) und dergleichen der Fall sein kann, die Schaltungselemente, etwa Feldeffekttransistoren, enthalten, die mit hoher Packungsdichte in der Bauteilebene vorgesehen sind. Die kritischen Abmessungen der jeweiligen Schaltungselemente, etwa die Gatelänge von planaren Feldeffekttransistoren, kann 50 nm und weniger betragen, wodurch eine geringere Entwurfsbreite in den Metallisierungsebenen erforderlich ist. Es sollte jedoch beachtet werden, dass der hierin offenbarte Gegenstand auch vorteilhafterweise auf beliebige Mikrostrukturbauelemente und Halbleiterbauelemente angewendet werden kann, die mehrere Metallisierungsebenen erfordern, wobei wenige kritische Entwurfsregeln verwendet sind. Daher sollte die vorliegende Offenbarung nicht als auf spezielle Bauteilabmessungen eingeschränkt erachtet werden, sofern derartige Einschränkungen nicht speziell in der Beschreibung oder den angefügten Patentansprüchen genannt sind.
  • Mit Bezug zu den 2a bis 2f und 3a bis 3h werden weitere anschauliche Ausführungsformen nunmehr detaillierter beschrieben.
  • 2a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 200 mit einem Substrat 201 und einer Metallisierungsschicht 220, die über dem Substrat 201 ausgebildet ist. Das Substrat 201 repräsentiert ein beliebiges geeignetes Trägermaterial, um darin und darauf entsprechende Schaltungselemente, etwa Transistoren, Kondensatoren, und dergleichen herzustellen. Beispielsweise umfasst das Substrat 201 ein siliziumbasiertes Material, wovon ein oberer Bereich eine Halbleiterschicht zur Herstellung von Halbleiterbauelementen darin und darauf repräsentiert, wie dies für den betrachteten Schaltungsaufbau erforderlich ist. In anderen Fällen repräsentiert das Substrat 201 ein isolierendes Material in Verbindung mit einer geeigneten Halbleiterschicht, wodurch eine SOI-artige Konfiguration geschaffen wird (Halbleiter auf Isolator), wobei die SOI-artige Konfiguration jedoch auch ggf. nur teilweise für das Substrat 201 vorgesehen ist, abhängig von den speziellen Erfordernissen für die betrachteten Schaltungselemente. Der Einfachheit halber sind derartige Schaltungselemente in 2a nicht gezeigt.
  • Die Metallisierungsschicht 220 repräsentiert beispielsweise die erste Metallisierungsschicht in dem Sinne, dass die Schicht 220 die erste Verdrahtungsebene des Bauelements 200 über der Bauteilebene ist, wobei entsprechende vertikale Kontakte (nicht gezeigt) eine elektrische Verbindung zu einer oder mehreren Metallleitungen 222 herstellen, die in einem dielektrischen Material 221 der Metallisierungsschicht 220 ausgebildet sind. In anderen Fällen repräsentiert die Metallisierungsschicht 220 eine beliebige Metallisierungsebene, unter und über der ein oder mehrere Metallisierungsschichten angeordnet sein können. Das dielektrische Material 221 der Schicht 220 kann in Form eines beliebigen geeigneten dielektrischen Materials vorgesehen sein, das in anspruchsvollen Anwendungen ein dielektrisches Material mit kleinem ε umfasst, wobei eine relative Permittivität des dielektrischen Materials mit kleinem ε 3,0 oder kleiner ist. Das dielektrische Material 221 kann ferner aus Materialien aufgebaut sein, etwa „konventionellen" Dielektrika, etwa Siliziumdioxid, Siliziumoxinitrid, Siliziumnitrid, und dergleichen. Die Metallleitung 222 besitzt als Hauptkomponente ein gut leitendes Metall, etwa Kupfer, Kupferlegierungen, Silber, Silberlegierungen, Aluminium, und dergleichen in Abhängigkeit von den Gesamtleistungsanforderungen für die Metallisierungsschicht 220. In einigen anschaulichen Ausführungsformen umfasst die Metallleitung 220 einen wesentlichen Anteil an Kupfer. Des weiteren kann die Metallleitung 220 ein geeignetes Barrierenmaterial aufweisen, um damit die Hauptkomponente des gut leitenden Metalls einzuschließen, um somit ein unerwünschtes Herausdiffundieren von Metallatomen in das umgebende dielektrische Material 221 zu unterdrücken und um auch eine uner wünschte Wechselwirkung reaktiver Komponenten, etwa von Sauerstoff, Fluor, und dergleichen, zu vermeiden, die von dem dielektrischen Material 221 in Richtung der Metallleitung 222 wandern können. Der Einfachheit halber sind derartige Barrierenmaterialien in 2a nicht gezeigt.
  • Es sollte beachtet werden, dass die Metallleitung 222 eine Längsrichtung, d. h. in 2a die horizontale Richtung, und auch eine Breitenrichtung, d. h. in 2a eine Richtung senkrecht zur Zeichenebene der 2a, besitzt. In komplexen integrierten Schaltungen werden entsprechende Metallleitungen, etwa die Metallleitung 222 so gebildet, dass diese sich im Wesentlichen parallel zueinander erstrecken, während Metallleitungen einer vertikal benachbarten Metallisierungsebene sich ebenfalls parallel erstrecken, jedoch senkrecht zur Längsrichtung der Metallisierungsschicht 220. In diesem Zusammenhang sind Positionsangaben, die hierin gemacht werden, als „relative" Positionsinformationen zu verstehen, wobei das Substrat 201 oder eine entsprechende Oberfläche oder Grenzfläche davon als eine Referenz dient. Somit wird eine „vertikale" Richtung als eine Richtung einer Oberflächennormale des Substrats 201 betrachtet, während eine „horizontale" Richtung eine laterale Richtung parallel zur entsprechenden Oberfläche der Grenzfläche, die durch das Substrat 201 gebildet ist, repräsentiert. In diesem Sinne ist die Metallisierungsschicht 220 „über" dem Substrat 201 ausgebildet, und eine Deckschicht 225, die einen Teil der Metallisierungsschicht 220 repräsentiert, ist „über" dem dielektrischen Material 221 und der Metallleitung 222 gebildet. Die Deckschicht 225 ist aus einem beliebigen geeigneten dielektrischen Material aufgebaut, das in einigen anschaulichen Ausführungsformen für einen zuverlässigen Abschluss der Metallleitung 222 sorgt, wobei dieses auch als eine effiziente Ätzstoppschicht während des Strukturierens eines dielektrischen Materials einer Metallisierungsschicht dient, die über der Schicht 220 zu bilden ist. In einigen anschaulichen Ausführungsformen ist die Deckschicht 225 aus einem Material aufgebaut, das eine moderat geringe Permittivität aufweist, etwa aus Siliziumkarbid, stickstoffenthaltendem Siliziumkarbid, Siliziumdioxid, Siliziumoxinitrid, und dergleichen. Abhängig von dem Gesamtleistungsverhalten der Metallisierungsschichten des Bauelements 200 kann die Deckschicht 225 auch Siliziumnitrid aufweisen, wenn ein entsprechend moderat hoher Wert der dielektrischen Konstante als nicht ungeeignet erachtet wird. In einer anschaulichen Ausführungsform wird eine Dicke 225d der Deckschicht 225 so festgelegt, dass eine Vertiefung zuverlässig darin gebildet werden kann, die vollständig in einer späteren Phasen während eines entsprechenden Ätzprozesses geöffnet werden kann, während in nicht-vertieften Bereichen dennoch ein zuverlässiger Verschluss der darunter liegenden Materialien sichergestellt ist, selbst wenn ein entsprechender Materialabtrag während des betrachteten Ätzprozesses auftritt. Beispielsweise wird die Dicke 225d auf ungefähr 15 bis 50 nm für Deckmaterialien, zu denen Siliziumkarbid, stickstoffenthaltendes Siliziumkarbid, und dergleichen gehören, festgelegt. Ein geeigneter Sollwert für die Dicke 225d kann effizient ermittelt werden, indem die Ätzrate für eine spezielle Materialzusammensetzung im Hinblick auf eine Ätzumgebung untersucht wird, die in einer späteren Fertigungsphase zum Ätzen durch die Deckschicht 225 verwendet wird, wie dies nachfolgend detaillierter beschrieben ist. In der in 2a gezeigten Fertigungsphase ist ferner eine Ätzmaske 203 vorgesehen, beispielsweise in Form einer Lackmaske, die eine Öffnung 203a aufweist, die die laterale Position und die Größe einer entsprechenden Kontaktdurchführungsöffnung definiert, die in der Deckschicht 225 so zu bilden ist, dass diese eine Verbindung mit der Metallleitung 222 herstellt.
  • Das in 2a gezeigte Halbleiterbauelement 200 kann auf der Grundlage der folgenden Prozesse gebildet werden. Nach der Fertigung entsprechender Schaltungselemente (nicht gezeigt), wird eine geeignete Kontaktstruktur in Verbindung mit der Metallisierungsebene, die mit der Kontaktstruktur verbunden ist, hergestellt. Anschließend wird die Metallisierungsschicht 220 gebildet, beispielsweise gemäß einer Vorgehensweise mit „Kontaktöffnung zuerst-Graben zuletzt", wobei angenommen wird, dass die Schicht 220 eine kapazitätssensitive Schicht in dem Sinne bildet, dass zumindest eine gewisse Dicke des dielektrischen Materials 221 in Form eines dielektrischen Materials mit kleinem ε erforderlich ist, um damit einen gewissen Abstand zu darunter liegenden Metallleitungen (nicht gezeigt) zu gewährleisten. In anderen Fällen kann die Metallisierungsschicht 220 gemäß anderen Bauteilerfordernissen unter Anwendung geeigneter Fertigungsschemata hergestellt werden. Beispielsweise kann das dielektrische Material 221 etwa durch CVD, Aufschleudertechniken und dergleichen in einer geeigneten Zusammensetzung geschaffen werden, wobei in kritischen Fällen eine dazwischenliegende Ätzstoppschicht (nicht gezeigt) oder eine dazwischenliegende Ätzindikatorschicht vorgesehen werden kann, um in effizienter Weise einen entsprechenden Ätzprozess zum Strukturieren von Gräben in dem dielektrischen Material 221 mit einer speziellen Solltiefe zu steuern. Es sollte beachtet werden, dass entsprechende Kontaktdurchführungsöffnungen (nicht gezeigt) vor oder nach dem Strukturieren der Gräben gebildet werden können, wobei auch entsprechende Kontaktdurchführungen in einer separaten Fertigungssequenz gebildet werden können und danach die Metallleitungen 222 in einer separaten Sequenz geschaffen werden, wie dies beispielsweise der Fall für Einzeldamaszener-Verfahren ist.
  • Danach wird die Deckschicht 225 beispielsweise durch plasmaunterstütztes CVD mit einer geeignet ausgewählten Dicke 225d gebildet, um damit ein zuverlässiges Strukturieren auf der Grundlage der Maske 203 zu ermöglichen und dennoch als eine zuverlässige Ätzstoppschicht in einem nachfolgenden Prozess zum Strukturieren von Gräben für eine nächste Metallisierungsebene zu dienen. Es sollte beachtet werden, dass die Deckschicht 225 aus zwei oder mehr Teilschichten aufgebaut ist, wie nachfolgend detaillierter beschrieben wird, um damit das Gesamtfüllverhalten der Deckschicht 225, beispielsweise im Hinblick auf den Metalleinschluss, die Haftungseigenschaften, Elektromigrationsverhalten, Ätzstoppeigenschaften und dergleichen zu verbessern. Als nächstes wird die Maske 203 gebildet, beispielsweise durch moderne Lithographie, wobei in der gezeigten Ausführungsform weniger kritische Prozessbedingungen angetroffen werden, da eine einzelne Lackmaske ausreichend sein kann für das geeignete Strukturen der Deckschicht 225, um darin eine Vertiefung zu bilden, die der Position und der Größe einer Kontaktdurchführungsöffnung entspricht. Danach wird das Bauelement 200 einem Ätzprozess 204 unterzogen, der in einigen anschaulichen Ausführungsformen als ein sehr anisotroper Prozess zum Entfernen eines Teils des freigelegten Bereichs der Deckschicht 225 gestaltet ist. Beispielsweise sind eine Vielzahl von plasmaunterstützten Ätzprozessen im Stand der Technik zum Ätzen von Material gut etabliert, die effizient als eine Deckschicht in Metallisierungsebenen eingesetzt werden können. In anderen Fällen enthält der Ätzprozess 204 eine gewisse isotrope Komponente, beispielsweise auf der Grundlage einer nasschemischen Ätzchemie, eines isotropen Trockenätzprozesses und dergleichen, wenn eine entsprechende laterale Vergrößerung einer Vertiefung in der Deckschicht 225 akzeptabel oder als vorteilhaft erachtet wird.
  • 2b zeigt schematisch das Halbleiterbauelement 200 in einer weiter fortgeschrittenen Herstellungsphase, nachdem die zuvor beschriebene Prozesssequenz abgeschlossen und die Ätzmaske 203 entfernt ist. Wie gezeigt, ist eine Vertiefung 225r in der Deckschicht 225 vorgesehen und definiert somit die Größe und die Position einer Kontaktdurchführungsöffnung für eine noch zu bildende Metallisierungsschicht. Es sollte beachtet werden, dass eine Tiefe D der Vertiefung 225r auf Grundlage der Prozessparameter des Ätzprozesses 204 eingestellt werden kann; beispielsweise kann für eine gegebene Ätzchemie die Ätzzeit so gewählt werden, dass die Tiefe D eingestellt wird. In einigen anschaulichen Ausführungs formen wird die Tiefe D so gewählt, dass das verbleibende Material unter der Vertiefung 225r für ausreichende Ätzstoppeigenschaften in einem nachfolgenden Grabenstrukturierungsprozess sorgt, um damit für eine erhöhte Prozessgleichmäßigkeit in einem nachfolgenden Ätzprozess für das Vertiefen der Vertiefung 225 zu sorgen, um damit schließlich eine Verbindung zu der Metallleitung 222 herzustellen, während in anderen nicht-vertieften Bereichen Material der Deckschicht 225 zuverlässig während des entsprechenden Ätzprozesses beibehalten wird. Beispielsweise repräsentiert die Tiefe D ungefähr die Hälfte der anfänglichen Dicke 225d. Wie nachfolgend erläutert ist, wird in einigen Ausführungsformen die Tiefe D auf der Grundlage einer zwischenliegenden Ätzstoppschicht eingestellt, um damit die gesamte Prozessgleichmäßigkeit zu verbessern.
  • 2c zeigt schematisch das Halbleiterbauelement 200 in einer weiter fortgeschrittenen Fertigungsphase, in der ein dielektrisches Material 241, beispielsweise in Form eines dielektrischen Materials mit kleinem ε, oder in Form eines anderen geeigneten Materials über der Deckschicht 225 gebildet ist, woran sich eine Ätzmaske 205 mit entsprechenden Öffnungen 205a, 205b anschließt, um damit die laterale Position und die Größe von Gräben zu definieren, die in dem dielektrischen Material 241 zu bilden sind. Beispielsweise wird die Ätzmaske 205 in Form einer Lackmaske möglicherweise in Verbindung mit einem ARC-(antireflektierenden Beschichtungs-)Material (nicht gezeigt) oder einem anderen Hartmaskenmaterial vorgesehen, wenn die Ätzwiderstandsfähigkeit einer Lackmaske als nicht ausreichend erachtet wird, um vollständig durch das dielektrische Material 241 zu ätzen.
  • Das dielektrische Material 241 kann auf der Grundlage einer geeigneten Technik hergestellt werden, etwa CVD, Aufschleudern, und dergleichen oder einer Kombination davon, wobei eine Dicke 241t auf der Grundlage eines Sollwertes für die Dicke einer Metallleitung eingestellt wird, die in dem dielektrischen Material 241 zu bilden ist. D. h., wie zuvor erläutert ist, kann für eine widerstandsempfindliche Metallisierungsschicht die Querschnittsfläche einer Metallleitung bei einer gegebenen Entwurfsbreite, wie sie durch die laterale Größe der Öffnungen 205a, 205b repräsentiert ist, vergrößert werden. Somit erstrecken sich gemäß den hierin offenbarten Prinzipien Metallleitungen durch die gesamte Dicke der dielektrischen Schicht 241, so dass deren Dicke auf der Grundlage einer Solldicke der Metallleitungen ausgewählt werden kann, wodurch eine unerwünschte Abschreibung von Material der Schicht 241 vermieden wird und wodurch auch die mechanische Integrität der widerstands empfindliche Metallisierungsschicht, die betrachtet wird, nicht in unnötiger Weise beeinträchtigt wird.
  • Nach der Herstellung des dielektrischen Materials 241 wird die Ätzmaske 205 auf Basis moderner Lithographieverfahren gebildet, um damit die Öffnungen 205a, 205b zu erhalten, die abhängig von den Entwurfsregeln die gleiche oder eine unterschiedliche Breite besitzen können. Als nächstes wird das Bauelement 200 einer anisotropen Ätzumgebung 206 ausgesetzt, um das dielektrische Material 241 auf der Grundlage der Ätzmaske 205 zu strukturieren. Der Ätzprozess 206 wird auf der Grundlage der Deckschicht 225 gesteuert, die als ein effizientes Ätzstoppmaterial dient. In anderen anschaulichen Ausführungsformen besitzt die Deckschicht 225 eine reduzierte Abtragungsrate während des Prozesses 206, wodurch der Materialabtrag deutlich verlangsamt wird, sobald die Deckschicht 225 durch den Prozess 206 freigelegt wird. Auch in diesem Falle kann die zuvor gebildete Vertiefung 225r zu einem Freilegen der Metallleitung 222 führen, während die insgesamt geringere Ätzrate der Deckschicht 225 dennoch für ausreichende Prozesssicherheit sorgt, um in zuverlässiger Weise einen Teil des Materials 225 in entsprechenden Öffnungen beizubehalten, die auf der Grundlage der Maskenöffnungen 205a, 205b geöffnet werden. D. h., wenn die Ätzfront die Deckschicht 225 erreicht, verlangsamt die Verringerung in der Gesamtabtragsrate deutlich das weitere Voranschreiten der Ätzfront, wodurch substratüberspannende Ungleichmäßigkeiten effizient „nivelliert" werden, wobei dennoch innerhalb der Vertiefung 225r, die noch mit Material der Schicht 241 gefüllt ist, eine moderat hohe Ätzrate weiterhin beibehalten wird, bis Material der Deckschicht 225 freigelegt ist. Somit kann eine merkliche Verzögerung des Materialabtrags von zuvor nicht-vertieften Bereichen im Hinblick auf die Vertiefung 225 während des Verlaufs des Ätzprozesses 206 weiterhin beibehalten werden, wodurch die Metallleitung 222 an einem Bereich, der die Vertiefung 225 entspricht, freigelegt wird, während dennoch eine gewisse Menge an Material der Deckschicht 225 in anfänglich nicht-vertieften Bereichen beibehalten wird. Somit wird in einigen anschaulichen Ausführungsformen der Ätzprozess 206 als ein einzelner Prozess zum Ätzen durch das Material 241 und der Deckschicht 225 an der Vertiefung 225r ausgeführt, während andere Bauteilbereiche zumindest durch einen Teil der Deckschicht 225 bedeckt bleiben.
  • 2b zeigt schematisch das Halbleiterbauelement 200 gemäß weiterer anschaulicher Ausführungsformen, in denen der Ätzprozess 206 zuverlässig auf der Grundlage der Ätzstoppeigenschaften der Deckschicht 225 angehalten wird. Somit wird ein weiterer Ätzpro zess 207 auf der Grundlage der entsprechenden Öffnungen 241a, 241b ausgeführt, die durch den Ätzprozess 206 unter Anwendung der Deckschicht 225 als Ätzstopp erhalten wurden. Der Ätzprozess 207 ist so gestaltet, dass Material der Deckschicht 225 mit einer geeigneten Ätzrate abgetragen wird, so dass die gewünschte hohe Ätzsteuerbarkeit erreicht ist, wobei dennoch nicht unnötig zur Gesamtprozesszeit beigetragen wird. Beispielsweise sind eine Vielzahl von plasmaunterstützten Ätzrezepte im Stand der Technik für Materialien verfügbar, die typischerweise als dielektrische Barrierenschichten oder Deckschichten in konventionellen Doppel-Damaszener-Strategien eingesetzt werden.
  • 2e zeigt schematisch das Halbleiterbauelement 200 nach dem Ätzprozess 207, wodurch eine Kontaktdurchführungsöffnung 243 gebildet wird, die mit der Metallleitung 222 verbunden ist, wobei ein zuverlässiges Abdecken von zuvor nicht-vertieften Bereichen mit einer reduzierten Dicke erreicht wird. Folglich enthält das dielektrische Material 241 die Gräben 241a, 241b mit einer gewünschten Breite entsprechend den Entwurfsregeln und auch mit einer im Wesentlichen gleichen Tiefe, die im Wesentlichen durch die Dicke der dielektrischen Schicht 241 in Verbindung mit dem Materialabtrag, der während des Ätzprozesses 207 und/oder 206 erreicht wurde, wie zuvor erläutert ist. Somit kann die Tiefe der Gräben 241a, 241b im Wesentlichen gleich sein, unabhängig von der Dichte der entsprechenden Kontaktdurchführungsöffnungen, eine Verbindung zu der darunter liegenden Metallisierungsschicht 220 herstellen.
  • 2f zeigt schematisch das Halbleiterbauelement 200 in einem weiter fortgeschrittenen Herstellungsstadium, in welchem Metallleitungen 242a, 242b in dem dielektrischen Material 241 gebildet sind, wodurch eine zweite Metallisierungsschicht 240 gebildet wird. Ferner verbindet die Kontaktdurchführung 243a die Metallleitung 242 mit der Metallleitung 222, während die Metallleitung 242b während die Metallleitung 222 durch den verbleibenden Bereich der Deckschicht 225 getrennt und damit elektrisch isoliert ist. Es sollte beachtet werden, dass die Metallleitungen 242a, 242b eine maximale Querschnittsfläche für eine gegebene Breite besitzen, wodurch das Gesamtverhalten einer widerstandsempfindlichen Metallisierungsschicht verbessert wird. Für identische Linienbreiten kann ein hohes Maß an Prozessgleichmäßigkeit für die Metallleitungen 242a, 242b unabhängig von der Kontaktdurchführungsdichte in den diversen Gebieten somit erreicht werden.
  • Mit Bezug zu den 3a bis 3f werden nunmehr weitere anschauliche Ausführungsformen detaillierter beschrieben, in denen Kontaktdurchführungsöffnungen durch das dielektrische Material so strukturiert werden, dass eine darunter liegende Deckschicht vertieft wird, woran sich das Strukturieren entsprechender Gräben anschließt, die sich ebenfalls vollständig durch das dielektrische Material erstrecken.
  • 3a zeigt schematisch ein Halbleiterbauelement 300 mit einem Substrat 301, über welchem eine erste Metallisierungsschicht 320 gebildet ist. Die erste Metallisierungsschicht 320 umfasst ein dielektrisches Material 321 und eine Metallleitung 322 und eine Deckschicht 325. Ferner ist ein dielektrisches Material 341 einer zweiten Metallisierungsschicht 340 über der ersten Metallisierungsschicht 320 gebildet. Im Hinblick auf die bislang beschriebenen Komponenten gelten die gleichen Kriterien, wie sie zuvor mit Bezug zu dem Halbleiterbauelement 200 angegeben sind. Ferner umfasst in der gezeigten Fertigungsphase das Halbleiterbauelement 300 eine Ätzmaske 303 mit einer Öffnung 303a, um die laterale Position und die Größe einer Kontaktdurchführungsöffnung zu definieren, die in dem dielektrischen Material 341 zu bilden ist. Beispielsweise wird die Ätzmaske 303 in Form einer Lackmaske vorgesehen, möglicherweise in Verbindung mit ARC-Materialien, Hartmaskenmaterialien und dergleichen.
  • Im Hinblick auf einen Fertigungsprozess zur Herstellung des Bauelements 300, wie es in 3a gezeigt ist, gelten die gleichen Kriterien, wie sie zuvor erläutert sind, wobei jedoch im Gegensatz zu den zuvor beschriebenen Ausführungsformen das dielektrische Material 341 der Metallisierungsschicht 340 auf der Deckschicht 325 aufgebracht wird, ohne dass diese vorher mit Vertiefungen versehen wird. Des weiteren wird das dielektrische Material 341 mit einer geeigneten Dicke vorgesehen, um damit das gewünschte elektrische Gesamtleistungsverhalten jeweiliger Metallleitungen für eine gegebene Entwurfsbreite zu erhalten, wie dies zuvor erläutert ist. Anschließend wird die Maske 303 auf der Grundlage moderner Lithographieverfahren gebildet und nachfolgend wird eine Ätzumgebung 304 eingerichtet, um damit durch das dielektrische Material 341 auf der Grundlage der Maske 303 zu ätzen. Beispielsweise sind effiziente anisotrope Ätzrezepte im Stand der Technik gut etabliert und können während des Prozesses 304 angewendet werden. In einer anschaulichen Ausführungsform weist die Deckschicht 325 ein hohes Maß an Ätzwiderstandsfähigkeit im Hinblick auf die Chemie des Prozesses 304 auf, wodurch diese als effizientes Ätzstoppmaterial dient.
  • 3b zeigt schematisch das Halbleiterbauelement 300 während eines weiteren Ätzprozesses 304a, der einen zweiten Ätzschritt des Prozesses 304 repräsentiert, jedoch auf Basis einer anderen Ätzchemie ausgeführt wird, während in anderen anschaulichen Ausführungsformen der Ätzprozess 304a eine abschließende Phase des Ätzprozesses 304 repräsentiert, wobei die Abtragsrate für die Deckschicht 325 deutlich geringer ist, um ein hohes Maß an Ätzsteuerbarkeit für das Bilden von Vertiefungen in der Deckschicht 325 in gesteuerter Weise zu erreichen. In noch anderen Fällen ist der Prozess 304a so gestaltet, dass Reste der Ätzmaske 303 entfernt werden, wobei auch die Deckschicht 325 beispielsweise auf der Grundlage einer fluorenthaltenden Ätzchemie mit einem sauerstoffbasierten plasmaunterstützten Abtragungsprozess vertieft wird. Wie jedoch zuvor mit Bezug zu dem Prozess 204 beschrieben ist, können auch andere geeignete Ätzchemien eingesetzt werden, wobei selbst isotrope Ätzschritte verwendet werden können, wenn eine entsprechende Vergrößerung der lateralen Abmessungen als geeignet erachtet wird.
  • 3c zeigt schematisch das Halbleiterbauelement 300 nach dem Ätzprozess 304a, wodurch eine Vertiefung 325r in der Deckschicht 325 geschaffen wird.
  • 3d zeigt schematisch das Halbleiterbauelement 300 in einem weiter fortgeschrittenen Herstellungsstadium. Wie gezeigt, ist ein Einebnungsmaterial 344 vorgesehen, das beispielsweise die Kontaktdurchführungsöffnung 341 füllt und auch eine Materialschicht über dem dielektrischen Material 341 bildet. Beispielsweise kann das Einebnungsmaterial 344 ähnliche Eigenschaften aufweisen, wie sie zuvor mit Bezug zu dem Halbleiterbauelement 100 beschrieben sind, wenn dort das Material 144 beschrieben wurde. Ferner ist eine Ätzmaske 305 über dem Material 344 so gebildet, dass entsprechende Gräben 305a, 305b definiert werden, um damit die laterale Position und Größe von Metallleitungen zu definieren, die in der Metallisierungsschicht 340 zu bilden sind.
  • Das Einebnungsmaterial 344 und die Ätzmaske 306 können auf der Grundlage gut etablierter Prozesstechniken hergestellt werden, wie sie beispielsweise mit Bezug zu dem Bauelement 100 beschrieben sind. Es sollte beachtet werden, dass ein Unterschied in der Dicke des Materials 344 im Wesentlichen die Effizienz eines Ätzprozesses 306 nicht negativ beeinflusst, der gestaltet ist, um durch das dielektrische Material 341 bis hinab zu der Deckschicht 325 zu ätzen. D. h., auf Grund der Tatsache, dass die Gräben durch das gesamte dielektrische Material 341 hindurch gebildet werden, wobei die Deckschicht 325 als ein effizientes Ätzstoppmaterial verwendet wird, können Dickenungleichmäßigkeiten, die beim Auftragen des Einebnungsmaterials 344 beispielsweise auf Grund der unterschiedlichen Kontaktdichten erhalten wurden, im Wesentlichen vermieden oder zumindest deutlich reduziert werden.
  • 3e zeigt schematisch das Halbleiterbauelement 300 nach dem Ätzprozess 307, wodurch Gräben 341a, 341b erzeugt werden, die sich hinab zu der Deckschicht 325 erstrecken. Ferner ist auch die Vertiefung 325r durch den vorhergehenden Ätzprozess 306 freigelegt. Es wird ein weiterer Ätzprozess 307 ausgeführt, um die Vertiefung 325 weiter zu vertiefen, um damit eine Kontaktdurchführungsöffnung zu bilden, die sich zu der Metallleitung 322 erstreckt. Zu diesem Zweck wird ein beliebiger geeigneter Ätzprozess angewendet, wobei in einigen anschaulichen Ausführungsformen eine fluorenthaltende Ätzchemie eingesetzt wird, beispielsweise in Verbindung mit einem Sauerstoffplasma, wodurch auch effizient Lackmaterial der Ätzmaske 305 entfernt wird. Auf diese Weise wird ein effizienter aber dennoch gut steuerbarer Ätzprozess erreicht, wodurch durch die Deckschicht 325 auf der Grundlage der Vertiefung 325r hindurchgeätzt wird, während ein gewisser Anteil der Deckschicht 325 in zuvor nicht-vertieften Bereichen innerhalb der Gräben 341, 341b zuverlässig beibehalten wird.
  • 3f zeigt schematisch das Halbleiterbauelement 300 nach dem Ende des Ätzprozesses 307, woraus sich eine Kontaktdurchführungsöffnung 343a ergibt, während ein Teil der Deckschicht 325 mit reduzierter Dicke 325d beibehalten wird, der die Gräben 341a, 341b zuverlässig von dem darunter liegenden Material der Metallisierungsschicht 320 trennt. Danach werden die Gräben 341a, 341b und die Kontaktdurchführungsöffnung 343 mit einem leitenden Material gefüllt, beispielsweise einem Barrierenmaterial und einem gut leitenden Metall, etwa Kupfer, um damit Metallleitungen in den Gräben 341a, 341b und eine metallgefüllte Kontaktdurchführung 343a zu bilden. Anschließend wird eine geeignete Deckschicht zur Fertigstellung der zweiten Metallisierungsschicht 340 gebildet.
  • Ähnlich wie in den Ausführungsformen, die mit Bezug zu den 2a bis 2f beschrieben sind, wird eine effiziente Fertigungstechnik bereitgestellt, in der Metallleitungen der Metallisierungsschicht 340 sich durch das gesamte dielektrische Material 341 erstrecken, wodurch eine maximale Leitfähigkeit für eine vorgegebene Materialzusammensetzung und Leitungs breite unabhängig von der Kontaktdichte in den diversen Bauteilgebieten der betrachteten Metallisierungsebene erreicht wird.
  • 3g zeigt schematisch eine Querschnittsansicht des Halbleiterbauelements 300 gemäß weiterer anschaulicher Ausführungsformen, in denen die Deckschicht 325 in Form mehrerer Unterschichten vorgesehen wird, um damit das Leistungsverhalten zu verbessern. Es sollte beachtet werden, dass die Deckschicht 325, wie sie in 3g gezeigt ist, in jeder der zuvor beschriebenen Ausführungsformen eingesetzt werden kann. Wie gezeigt umfasst die Deckschicht 325 mehrere Unterschichten 325a, 325b, 325c. Die Unterschichten 325a, ..., 325c sind so gestaltet, dass das Gesamtverhalten beispielsweise im Hinblick auf den Metalleinschluss, die Ätzstoppeigenschaften, die Verträglichkeit mit anderen Materialien und dergleichen verbessert ist. Beispielsweise wird die Unterschicht 325a so vorgesehen, dass ein zuverlässiger Einschluss der Metallleitung 322 und auch ein hohes Leistungsverhalten der Metallleitung 322 z. B. im Hinblick auf Elektromigration und dergleichen erreicht wird. Bekanntlich besitzt eine Grenzfläche zwischen der Metallleitung 322 und der Deckschicht 325 einen wesentlichen Einfluss auf das Gesamtelektromigrationsverhalten, da typischerweise Oberflächenunregelmäßigkeiten, Diffusionspfade, Korngrenzen und dergleichen, die Widerstandsfähigkeit gegenüber Elektromigration beeinflussen. Somit wird die Unterschicht 325a auf der Grundlage entsprechender Kriterien gebildet, um damit ein verbessertes Leistungsverhalten zu erreichen.
  • Es sollte beachtet werden, dass die Unterschicht 325a selbst aus zwei oder mehr Unterschichten aufgebaut sein kann, wenn dies als geeignet erachtet wird. Eine Ätzsteuerschicht 325b ist beispielsweise in Form einer Ätzstoppschicht vorgesehen, die für verbesserte Ätzstoppeigenschaften sorgt, wenn Vertiefungen in der Deckschicht 325 gebildet werden. Beispielsweise ist die Ätzsteuerschicht 325b aus einem Material aufgebaut, das eine hohe Ätzselektivität in Bezug auf die Schicht 325c während eines entsprechenden Ätzprozesses, etwa dem Ätzprozess 304 oder 204 zum Bilden von Vertiefungen in der Deckschicht 325 besitzt. Somit kann in diesem Falle die Tiefe der resultierenden Vertiefung mit hoher Genauigkeit unabhängig von Ätzungleichmäßigkeiten während des vorhergehenden Ätzprozesses zum Strukturieren eines dielektrischen Materials, etwa des Materials 241 erreicht werden, was ansonsten zu einem gewissen Maß an Materialerosion der Deckschicht 325 führen können. In anderen Fällen wird ein entsprechender Ätzprozess zum Strukturieren der Deckschicht 325 vor dem Bilden des dielektrischen Materials 341 ausgeführt, wie dies beispielsweise in Der Ausführungsform der 2a bis 2f gezeigt ist, und auch in diesem Falle kann die Ätzsteuerschicht 325 die Gesamtprozesssteuerbarkeit und Gleichmäßigkeit verbessern. In anderen anschaulichen Ausführungsformen umfasst die Ätzsteuerschicht 325b eine Ätzindikatorsorte, die während eines entsprechenden Ätzprozesses freigesetzt wird, wodurch ein ausgeprägtes Endpunkterkennungssignal erzeugt wird. Beispielsweise können geeignete Sorten, etwa sehr exotische Sorten, in die Ätzsteuerschicht 325 eingebaut werden, um damit ein effizientes Endpunkterkennungssignal zu erhalten, ohne in unerwünschter Weise die Gesamteigenschaften der Deckschicht 325 zu ändern. Beispielsweise können entsprechende exotische Sorten mittels Ionenimplantation nach dem Bilden der Deckschicht 325 eingeführt werden, wodurch die Ätzindikatorsorte in geeigneter Weise positioniert wird, um die Unterschichten 325a, 325b und 325c zu bilden. In anderen Fällen wir das Indikatormaterial durch Plasmabehandlung nach dem Abscheiden eines Materials entsprechend den Schichten 325a, 325b eingebaut, woran sich eine weitere Abscheidung zur Bildung der Schicht 325c anschließt. Die Schicht 325c wird mit einer geeigneten Materialzusammensetzung vorgesehen, um damit die gewünschten Ätzstoppeigenschaften bereitzustellen, ohne unnnötig zur Gesamtpermittivität der Deckschicht 325 beizutragen. Z. B. kann eine geeignete Materialzusammensetzung mit beispielsweise Siliziumkarbid, stickstoffenthaltendem Siliziumkarbid, Siliziumnitrid, Siliziumdioxid und dergleichen angewendet werden, um in geeigneter Weise das Gesamtverhalten der Deckschicht 325 einzustellen.
  • Danach wird die weitere Bearbeitung fortgesetzt, wie dies beispielsweise mit Bezug zu den Halbleiterbauelementen 200 und 300 beschrieben ist.
  • 3h zeigt schematisch das Halbleiterbauelement 300 entsprechend einem Fertigungsstadium, in welchem mehrere Metallisierungsschichten über einer Bauteilschicht 308 gebildet sind, die mehrere Schaltungselemente 309 aufweist. Die Schaltungselemente 309 sind mit der ersten Metallisierungsschicht 310 verbunden, die wiederum mit einer weiteren Metallisierungsschicht 330 mittels Kontaktdurchführungen 333 verbunden ist, wobei die Metallisierungsschicht 330 eine kapazitätssensitive Schicht repräsentiert, wodurch zumindest ein minimaler Abstand zwischen den Metallleitungen 312 der ersten Metallisierungsschicht und der Metallleitungen 332 der Schicht 330 erforderlich ist. In ähnlicher Weise kann die Metallisierungsschicht 320 auch eine kapazitätssensitive Schicht repräsentieren, wodurch ebenfalls ein Mindestabstand zwischen den jeweiligen Metallleitungen 322 und den Metallleitungen 332 der darunter liegenden Metallisierungsschicht 330 erforderlich ist. Andererseits repräsentiert die Metallisierungsschicht 340 eine widerstandssensitive Schicht, in der eine hohe Leitfähigkeit für eine vorgegebene Leitungsbreite der Leitung 342 für einen Gesamtzuwachs an Leistung des Bauelements 300 sorgt. Somit erstrecken sich die Metallleitungen 342 durch das gesamte dielektrische Material 341, wie dies zuvor erläutert ist, wobei eine entsprechende Dicke der Schicht 341 auf der Grundlage der erforderlichen Querschnitte der Metallleitungen 342 festgelegt wird, wie dies zuvor erläutert ist.
  • Es gilt also: Der hierin offenbarte Gegenstand schafft verbesserte Halbleiterbauelemente und Fertigungsverfahren zur Herstellung von Metallisierungsebenen, in denen in einer widerstandsempfindlichen Metallisierungsschicht eine große Querschnittsfläche für eine gegebene Entwurfsbreite erreicht wird, indem die Metallleitungen so gebildet werden, dass diese sich durch das gesamte dielektrische Zwischenschichtmaterial erstrecken. Ferner kann die laterale Position und Größe der jeweiligen Kontaktdurchführungen, die eine Verbindung zu einer tieferliegenden Metallisierungsschicht herstellen, vor oder nach dem Abscheiden des dielektrischen Zwischenschichtmaterials in Abhängigkeit der Prozessstrategie definiert werden. Die Deckschicht, die unter dem dielektrischen Zwischenschichtmaterial gebildet ist, kann effiziente Vertiefungen während des Strukturierungsprozesses für die Kontaktdurchführungen gebildet werden, wodurch ausreichende Prozesssicherheiten geschaffen werden, um in zuverlässiger Weise durch die Deckschicht auf der Grundlage der zuvor gebildeten Vertiefung zu ätzen, während dennoch eine zuverlässige Abdeckung von anfänglich nicht-vertieften Bereichen der Deckschicht erreicht wird.
  • Weitere Modifizierungen und Variationen der vorliegenden Offenbarung werden für den Fachmann angesichts dieser Beschreibung offenkundig. Daher ist diese Beschreibung als lediglich anschaulich und für die Zwecke gedacht, dem Fachmann die allgemeine Art und Weise des Ausführens der hierin offenbarten Prinzipien zu vermitteln. Selbstverständlich sind die hierin gezeigten und beschriebenen Formen als die gegenwärtig bevorzugten Ausführungsformen zu betrachten.

Claims (21)

  1. Verfahren mit: Bilden einer Vertiefung in einer Deckschicht einer ersten Metallisierungsschicht eines Halbleiterbauelements, wobei die Vertiefung einer zu bildenden Kontaktdurchführung entspricht, die eine Verbindung zu einem ersten Metallgebiet der ersten Metallisierungsschicht herstellt; Bilden eines dielektrischen Zwischenschichtmaterials über der Deckschicht; Bilden eines ersten Grabens und eines zweiten Grabens in dem dielektrischen Zwischenschichtmaterial durch Verwenden der Deckschicht als ein Ätzstoppmaterial, wobei der erste Graben eine Vertiefung aufweist; Ausführen eines Ätzprozesses zum Öffnen der Vertiefung, um eine Kontaktdurchführungsöffnung zu bilden, die mit dem ersten Metallgebiet verbunden ist; und Füllen der Kontaktdurchführungsöffnung und des ersten und des zweiten Grabens mit einem leitenden Material, um eine zweite Metallisierungsschicht zu bilden.
  2. Verfahren nach Anspruch 1, wobei die Deckschicht mit einer Dicke vorgesehen wird, die das Freilegen der ersten Metallisierungsschicht in dem zweiten Graben beim Ausführen des Ätzprozesses verhindert.
  3. Verfahren nach Anspruch 1, das ferner umfasst: Bereitstellen einer Ätzstoppunterschicht in der Deckschicht, wobei die Vertiefung unter Anwendung der Ätzstoppunterschicht als ein Ätzstopp gebildet wird.
  4. Verfahren nach Anspruch 1, das ferner umfasst: Auswählen einer Solldicke für Metallgebiete in der zweiten Metallisierungsschicht und Bilden des dielektrischen Zwischenschichtmaterials mit einer Dicke, die der Solldicke entspricht.
  5. Verfahren nach Anspruch 1, das ferner umfasst: Bilden einer leitenden Deckschicht auf dem ersten Metallgebiet, wobei die Vertiefung so gebildet ist, dass diese sich zu der leitenden Deckschicht erstreckt.
  6. Verfahren nach Anspruch 1, das ferner umfasst: Bilden mindestens einer dritten Metallisierungsschicht mit Kontaktdurchführungen und Metallleitungen, wobei eine Dicke der Metallleitungen kleiner ist als eine Dicke eines dielektrischen Zwischenschichtmaterials der dritten Metallisierungsschicht.
  7. Verfahren nach Anspruch 1, wobei eine laterale Größe der Kontaktdurchführungsöffnung ungefähr 200 nm oder weniger beträgt.
  8. Verfahren mit: Bilden eines dielektrischen Materials einer zweiten Metallisierungsschicht über einer ersten Metallisierungsschicht; Bilden einer Kontaktdurchführungsöffnung in dem dielektrischen Zwischenschichtmaterial; Bilden einer Vertiefung in einer Deckschicht der ersten Metallisierungsschicht, wobei die Vertiefung der Kontaktdurchführungsöffnung entspricht; Bilden eines ersten Grabens und eines zweiten Grabens in dem dielektrischen Zwischenschichtmaterial, wobei sich der erste und der zweite Graben zu der Deckschicht erstrecken und der erste Graben die Kontaktdurchführungsöffnung umfasst; Vertiefen der Kontaktdurchführungsöffnung derart, dass diese sich durch die Deckschicht erstreckt; und Füllen der Kontaktdurchführungsöffnung und des ersten und des zweiten Grabens mit einem leitenden Material, um eine erste Metallleitung und eine zweite Metallleitung zu bilden.
  9. Verfahren nach Anspruch 8, wobei Bilden des ersten und des zweiten Grabens umfasst: Ätzen durch das dielektrische Zwischenschichtmaterial und Verwenden der Deckschicht als eine Ätzstoppschicht.
  10. Verfahren nach Anspruch 8, das ferner umfasst: Bestimmen einer Solldicke für die erste und die zweite Metallleitung und Bilden des dielektrischen Zwischenschichtmaterials mit einer Schichtdicke, die der Solldicke entspricht.
  11. Verfahren nach Anspruch 8, wobei Bilden der Kontaktdurchführungsöffnungen derart, dass diese sich durch die Deckschicht erstreckt, umfasst: Ätzen von freiliegendem Material in dem ersten und dem zweiten Graben und Verwenden der Vertiefung als eine Ätzmaske.
  12. Verfahren nach Anspruch 8, das ferner umfasst: Vorsehen einer Ätzstoppunterschicht in der Deckschicht und wobei Bilden der Vertiefung umfasst: Steuern eines Ätzprozesses zur Bildung der Vertiefung auf der Grundlage der Ätzstoppunterschicht.
  13. Verfahren nach Anspruch 12, wobei die erste Maske so gebildet ist, dass ein Bereich des dielektrischen Materials, der der Öffnung entspricht, freigelegt wird und der verbleibende Bereich des dielektrischen Materials abgedeckt wird.
  14. Verfahren nach Anspruch 13, das ferner umfasst: Bilden der Deckschicht durch Bilden einer ersten Unterschicht zum Einschließen eines Metallgebiets in der ersten Metallisierungsschicht, Bilden der Ätzstoppunterschicht und Bilden einer zweiten Unterschicht als eine Ätzstoppschicht, wenn der erste und der zweite Graben gebildet werden.
  15. Verfahren nach Anspruch 13, wobei Bilden des ersten und des zweiten Grabens umfasst: Bilden einer Lackmaske und Strukturieren des dielektrischen Zwischenschichtmaterials unter Anwendung der Lackmaske.
  16. Verfahren nach Anspruch 15, das ferner umfasst: Bilden einer Einebnungsschicht über dem dielektrischen Zwischenschichtmaterial vor dem Bilden der Lackmaske.
  17. Halbleiterbauelement mit: einer Bauteilschicht; einer ersten Metallisierungsschicht mit einem Metallgebiet; einer zweiten Metallisierungsschicht mit einem dielektrischen Zwischenschichtmaterial, das über einer Deckschicht gebildet ist, wobei die Deckschicht das Metallgebiet einschließt; einer ersten Metallleitung, die in der dielektrischen Zwischenschicht gebildet ist und sich bis zu der Deckschicht erstreckt; und einer Kontaktdurchführung, die in der Deckschicht ausgebildet ist und die erste Metallleitung mit dem ersten Metallgebiet in der Metallisierungsschicht verbindet.
  18. Halbleiterbauelement nach Anspruch 17, wobei ein Bereich der Deckschicht, der die erste Metallleitung von dem Metallgebiet der ersten Metallisierungsschicht trennt, eine erste Dicke besitzt und ein Bereich der Deckschicht, der lateral außerhalb der ersten Metallleitung liegt, eine zweite Dicke besitzt, die größer ist als die erste Dicke.
  19. Halbleiterbauelement nach Anspruch 18, das ferner eine zweite Metallleitung aufweist, die in dem dielektrischen Zwischenschichtmaterial gebildet ist und sich bis zu der Deckschicht erstreckt, wobei ein Bereich der Deckschicht, der die zweite Metallleitung von der ersten Metallisierungsschicht trennt, die erste Dicke besitzt.
  20. Halbleiterbauelement nach Anspruch 17, das ferner eine dritte Metallisierungsschicht aufweist, die ein drittes dielektrisches Zwischenschichtmaterial und eine darin ausgebildete dritte Metallleitung aufweist, wobei die dritte Metallleitung sich vertikal nicht durch das dritte dielektrische Zwischenschichtmaterial erstreckt.
  21. Halbleiterbauelement nach Anspruch 17, wobei die Deckschicht eine zwischenliegende Ätzstoppschicht aufweist und wobei die erste Metallleitung sich bis zu der zwischenliegenden Ätzstoppschicht erstreckt.
DE102007052048A 2007-10-31 2007-10-31 Doppelintegrationsschema für Metallschicht mit geringem Widerstand Ceased DE102007052048A1 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE102007052048A DE102007052048A1 (de) 2007-10-31 2007-10-31 Doppelintegrationsschema für Metallschicht mit geringem Widerstand
US12/104,692 US20090108462A1 (en) 2007-10-31 2008-04-17 Dual integration scheme for low resistance metal layers

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102007052048A DE102007052048A1 (de) 2007-10-31 2007-10-31 Doppelintegrationsschema für Metallschicht mit geringem Widerstand

Publications (1)

Publication Number Publication Date
DE102007052048A1 true DE102007052048A1 (de) 2009-05-14

Family

ID=40530417

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102007052048A Ceased DE102007052048A1 (de) 2007-10-31 2007-10-31 Doppelintegrationsschema für Metallschicht mit geringem Widerstand

Country Status (2)

Country Link
US (1) US20090108462A1 (de)
DE (1) DE102007052048A1 (de)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3007224A1 (de) * 2014-10-08 2016-04-13 Nxp B.V. Metallisierung für Halbleiterbauelement
US20190103320A1 (en) * 2017-10-03 2019-04-04 Qualcomm Incorporated Middle-of-line shielded gate for integrated circuits
CN112435983B (zh) * 2018-08-16 2023-12-19 联华电子股份有限公司 金属内连线结构及其制作方法
KR102633148B1 (ko) 2019-05-28 2024-02-06 삼성전자주식회사 관통 비아를 포함하는 반도체 장치 및 이의 제조 방법
CN113539948B (zh) * 2020-04-20 2024-01-26 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6180516B1 (en) * 1998-11-05 2001-01-30 United Microelectronics Corp, Method of fabricating a dual damascene structure
US20040137709A1 (en) * 2003-01-09 2004-07-15 Chartered Semiconductor Manufacturing Ltd. Metal barrier cap fabrication by polymer lift-off
DE102004027663A1 (de) * 2003-06-05 2005-03-24 International Business Machines Corp. Maskenlose Array-Schutz-Prozessabfolge zur Bildung von Durchgangsverbindungen in magnetischen Zufallszugriffsspeichern
US6924206B2 (en) * 2002-04-26 2005-08-02 Nec Electronics Corporation Method of manufacturing a semiconductor capacitive element in a semiconductor device
US20050263876A1 (en) * 1998-12-01 2005-12-01 Tri-Rung Yew Dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit
US7163890B2 (en) * 2003-09-09 2007-01-16 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor device having slope at lower sides of interconnection hole with etch-stop layer
DE102005036548A1 (de) * 2005-06-20 2007-01-18 Infineon Technologies Ag Verfahren zur Herstellung eines Kontaktes in einem Flash-Speicher

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW368741B (en) * 1998-02-26 1999-09-01 United Microelectronics Corp Manufacturing method for dual damascene
KR100366625B1 (ko) * 2000-07-25 2003-01-09 삼성전자 주식회사 듀얼 다마신 배선구조의 반도체 소자 및 그 제조방법
US6468894B1 (en) * 2001-03-21 2002-10-22 Advanced Micro Devices, Inc. Metal interconnection structure with dummy vias
US6605545B2 (en) * 2001-06-01 2003-08-12 United Microelectronics Corp. Method for forming hybrid low-K film stack to avoid thermal stress effect
KR100542388B1 (ko) * 2003-07-18 2006-01-11 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
TW200504932A (en) * 2003-07-31 2005-02-01 Winbond Electronics Corp Dual-damascene opening structure, and fabrication method for dual-damascene interconnect
DE10339990B8 (de) * 2003-08-29 2013-01-31 Advanced Micro Devices, Inc. Verfahren zur Herstellung einer Metallleitung mit einer erhöhten Widerstandsfähigkeit gegen Elektromigration entlang einer Grenzfläche einer dielektrischen Barrierenschicht mittels Implantieren von Material in die Metalleitung
US20050059234A1 (en) * 2003-09-16 2005-03-17 Applied Materials, Inc. Method of fabricating a dual damascene interconnect structure
US7199046B2 (en) * 2003-11-14 2007-04-03 Tokyo Electron Ltd. Structure comprising tunable anti-reflective coating and method of forming thereof
US7247555B2 (en) * 2004-01-29 2007-07-24 Chartered Semiconductor Manufacturing Ltd. Method to control dual damascene trench etch profile and trench depth uniformity
DE102004042168B4 (de) * 2004-08-31 2009-08-20 Advanced Micro Devices, Inc., Sunnyvale Halbleiterelement mit einem Metallisierungsschichtstapel mit kleinem ε mit erhöhter Widerstandsfähigkeit gegen Elektromigration und Verfahren zum Bilden des Halbleiterelements
KR100711912B1 (ko) * 2005-12-28 2007-04-27 동부일렉트로닉스 주식회사 반도체 소자의 금속 배선 형성 방법
US7760065B2 (en) * 2007-06-29 2010-07-20 Alcatel-Lucent Usa Inc. MEMS device with bi-directional element

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6180516B1 (en) * 1998-11-05 2001-01-30 United Microelectronics Corp, Method of fabricating a dual damascene structure
US20050263876A1 (en) * 1998-12-01 2005-12-01 Tri-Rung Yew Dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit
US6924206B2 (en) * 2002-04-26 2005-08-02 Nec Electronics Corporation Method of manufacturing a semiconductor capacitive element in a semiconductor device
US20040137709A1 (en) * 2003-01-09 2004-07-15 Chartered Semiconductor Manufacturing Ltd. Metal barrier cap fabrication by polymer lift-off
DE102004027663A1 (de) * 2003-06-05 2005-03-24 International Business Machines Corp. Maskenlose Array-Schutz-Prozessabfolge zur Bildung von Durchgangsverbindungen in magnetischen Zufallszugriffsspeichern
US7163890B2 (en) * 2003-09-09 2007-01-16 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor device having slope at lower sides of interconnection hole with etch-stop layer
DE102005036548A1 (de) * 2005-06-20 2007-01-18 Infineon Technologies Ag Verfahren zur Herstellung eines Kontaktes in einem Flash-Speicher

Also Published As

Publication number Publication date
US20090108462A1 (en) 2009-04-30

Similar Documents

Publication Publication Date Title
DE102005052000B3 (de) Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
DE102009023377B4 (de) Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt
DE102008059650B4 (de) Verfahren zur Herstellung einer Mikrostruktur mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
DE102008016425B4 (de) Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials
DE102008016424B4 (de) Verfahren mit einem Bilden einer Kontaktloshöffnung und eines Grabens in einer dielektrischen Schicht mit kleinem ε
DE102008063430B4 (de) Verfahren zur Herstellung eines Metallisierungssystem eines Halbleiterbauelements mit zusätzlich verjüngten Übergangskontakten
DE102008021568B3 (de) Verfahren zum Reduzieren der Erosion einer Metalldeckschicht während einer Kontaktlochstrukturierung in Halbleiterbauelementen und Halbleiterbauelement mit einem schützenden Material zum Reduzieren der Erosion der Metalldeckschicht
DE102010002454A1 (de) Metallisierungssystem eines Halbleiterbauelements mit verrundeten Verbindungen, die durch Hartmaskenverrundung hergestellt sind
DE102005046975A1 (de) Technik zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht
DE102009006798B4 (de) Verfahren zur Herstellung eines Metallisierungssystems eines Halbleiterbauelements unter Anwendung einer Hartmaske zum Definieren der Größe der Kontaktdurchführung
DE102005020060B4 (de) Verfahren zum Strukturieren eines Dielektrikums mit kleinem ε unter Anwendung einer Hartmaske
DE102005020132B4 (de) Technik zur Herstellung selbstjustierter Durchführungen in einer Metallisierungsschicht
DE102010029533B3 (de) Selektive Größenreduzierung von Kontaktelementen in einem Halbleiterbauelement
DE102011002769B4 (de) Halbleiterbauelement und Verfahren zur Herstellung einer Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102012207116A1 (de) Mehrschichtverbindungsstrukturen und Verfahren für integrierte Schaltungen
DE102010030757B4 (de) Verfahren zur Herstellung komplexer Metallisierungssysteme in Halbleitern durch Entfernung geschädigter dielektrischer Oberflächenschichten
DE102010063780A1 (de) Halbleiterbauelement mit einer Kontaktstruktur mit geringerer parasitärer Kapazität
DE102010064289A1 (de) Größenreduzierung von Kontaktelementen und Kontaktdurchführungen in einem Halbleiterbauelement durch Einbau eines zusätzlichen Abschrägungsmaterials
DE102008006960A1 (de) Selbstjustierte Kontaktstruktur in einem Halbleiterbauelement
DE102009039421B4 (de) Doppelkontaktmetallisierung mit stromloser Plattierung in einem Halbleiterbauelement
DE102008059499A1 (de) Mikrostrukturbauelement mit einer Metallisierungsstruktur mit Luftspalten, die zusammen mit Kontaktdurchführungen hergestellt sind
DE102007052048A1 (de) Doppelintegrationsschema für Metallschicht mit geringem Widerstand
DE102008044964B4 (de) Verringerung der Leckströme und des dielektrischen Durchschlags in dielektrischen Materialien von Metallisierungssystemen von Halbleiterbauelementen durch die Herstellung von Aussparungen
DE102007009912A1 (de) Verfahren zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein fortschrittliches Integrationsschema
DE102008026211B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit Metallleitungen mit einer selektiv gebildeten dielektrischen Deckschicht

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
R016 Response to examination communication
R016 Response to examination communication
R002 Refusal decision in examination/registration proceedings
R003 Refusal decision now final