JP2005094014A - 相互接続構造内での低抵抗バイア・コンタクトの形成 - Google Patents

相互接続構造内での低抵抗バイア・コンタクトの形成 Download PDF

Info

Publication number
JP2005094014A
JP2005094014A JP2004269168A JP2004269168A JP2005094014A JP 2005094014 A JP2005094014 A JP 2005094014A JP 2004269168 A JP2004269168 A JP 2004269168A JP 2004269168 A JP2004269168 A JP 2004269168A JP 2005094014 A JP2005094014 A JP 2005094014A
Authority
JP
Japan
Prior art keywords
substrate
group
low
porous
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004269168A
Other languages
English (en)
Inventor
Timothy J Dalton
ティモシー・ジェイ・ダルトン
Stephen M Gates
ステファン・エム・ゲーツ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2005094014A publication Critical patent/JP2005094014A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】半導体デバイス上に低バイア・コンタクト抵抗を有する複数のバイア・コンタクトを備えたBEOL相互接続構造体を作製する方法を提供する。
【解決手段】この方法は、a)基板上に、多孔質または緻密な低k(low k)誘電体層を形成するステップと、b)低k誘電体中に、シングルまたはデュアル・ダマシン法でエッチングした開口を形成するステップと、c)基板をプロセス・チャンバ内の約−200℃〜約25℃の温度のコールド・チャック上に配置するステップと、d)基板上のエッチングされた開口内にCCA層を凝縮させるために、プロセス・チャンバに凝縮性洗浄剤を添加するプロセスと、e)ウェハが約−200℃〜約25℃の温度に冷却されている間に、活性化段階を実施するステップとを含む。このバイア・コンタクトは、温度サイクルの間中、および半導体デバイスの動作の間中、非常に安定している。
【選択図】図1

Description

本発明は、一般に集積回路(IC)に関する。より詳しくは、本発明は、ダマシン法によって作製され、バイア・コンタクト抵抗が低くなければならない、多層相互接続構造を含む相互接続構造体に関する。本発明は、低減したバイア・コンタクト抵抗、ならびにIC動作中もICデバイスの信頼性ストレスが印加されている間も安定している抵抗を有する、銅ダマシン相互接続に基づく改良された相互接続構造体を製作するための様々な方法およびツーリング(tooling)を示す。
一般に、半導体デバイスは、シリコン単結晶基板上に作製された集積回路を形成する、複数の回路を含む。基板表面に分布した回路要素を接続するために、通常は信号経路の複雑な回路網が張り巡らされることになる。デバイスを通るこれらの信号経路を効率的に決定するためには、銅ベースのデュアル・ダマシン相互接続などの多層(multi-level or multi-layered)相互接続構造を形成することが必要である。銅ベースの相互接続は、複雑な半導体チップ上にある多数のトランジスタ間の高速信号伝送を実現するのに有効であるため、望ましい。
相互接続構造体内部では、金属バイアはシリコン基板に垂直であり、金属線はシリコン基板に平行に走る。低k(low k)または超低k誘電体で銅線およびバイアを囲むことにより、新しいIC製品では、信号速度がさらに速くなり、隣接する銅線内での信号の相互作用(「クロストーク」として知られる)がさらに減少している。
現在、集積回路チップ上に形成された相互接続構造体は、約1×(「細線」と呼ぶ)で示される最小リソグラフィ・フィーチャ寸法で作製された少なくとも約2〜10の配線層を含み、これらの層の上には、より大きな寸法(「太線」と呼ぶ)で作製された約2〜4の配線層が存在する。従来技術によるある種類の構造では、約2〜約3.5のkを有する低誘電率材料中に細線が形成される。
しかし、こうした従来技術による構造には製造上の問題がある。たとえば、バイアと線の界面に汚染が存在するために、バイア・コンタクトの抵抗が従来の構造体内では高い。汚染は、主として酸素または炭素あるいはその両方を含み、酸化銅(Cu酸化物)または炭素ベースの残留物(重合体または無定形炭素)の形で存在する。炭素残留物は、通常HまたはFを含み、その他の望ましくない元素が、バイアと線の界面に存在することもある。
これらの従来技術による構造に伴う他の問題は、バイア・コンタクトにおける接着が十分でなく、そのため構造体を温度サイクルにかけた後で、または長期間の実運転の後でバイア抵抗が増大することである。バイア抵抗増大の極端な事例は、下の線との接続が外れた開放バイアという致命的なIC故障モードである。不十分な接着は、上述の同じ汚染によっても生じる。
相互接続作製時のさらなる問題は、エッチングされたバイアおよびトレンチ開口の形状および寸法が、エッチング後では正確であるが、バイア洗浄中に形状および寸法が、歪み、拡大し、質が低下し、あるいは粗面化することである。この問題は、低弾性率の超低k(ULK)誘電体(k<約2.7)を使用し、バイア洗浄がAr衝撃を含むとき、最も深刻となる。Arイオンは、バイア寸法を拡大し、トレンチ底部を侵食し、さらにはトレンチ底部の粗面化を引き起こしさえする。ULK誘電体中に細孔が存在すると、この問題が深刻になる。
米国特許第6,312,793号 米国特許第6,441,491号 米国特許第6,479,110B2号
したがって、本発明の一目的は、低バイア・コンタクト抵抗を有する、デュアルまたはシングル・ダマシン型のBEOL相互接続構造体の改良された製造方法を提供することである。
本発明の一目的は、IC上のすべてのバイアが、構造体を温度サイクルにかけた後でも、抵抗の安定性が非常によい(バイアの抵抗が変化または低下しない)、デュアルまたはシングル・ダマシン型BEOL相互接続構造体の改良された製造方法を提供することである。
本発明のさらなる目的は、IC上のすべてのバイアの接着性が改善されているデュアルまたはシングル・ダマシン型BEOL相互接続構造体の改良された製造方法を提供することである。
本発明のさらなる目的は、バイアおよびトレンチの開口が、バイアの洗浄中に歪まず、変化もしない、デュアルまたはシングル・ダマシン型BEOL相互接続構造体の改良された製造方法を提供することである。
本発明のさらなる目的は、エッチングされたトレンチの開口底部が、バイア洗浄後も滑らかであり、バイアおよび線の他の表面が滑らかなままである、デュアルまたはシングル・ダマシン型BEOL相互接続構造体の改良された製造方法を提供することである。
本発明の前記その他の目的に沿って、バイア・コンタクトの改良された洗浄方法がここに提供される。
上記目的は、相互接続構造体を作製する間にバイア・コンタクト表面を洗浄することによって達成することができる。
したがって、本発明は、低バイア・コンタクト抵抗を有する多孔質または緻密な低k誘電体を含む、BEOL相互接続構造体の一般的な製造方法を提供する。この方法は、
a)基板上に多孔質または緻密な低k誘電体層を形成するステップと、
b)低k誘電体中にシングルまたはデュアル・ダマシン法でエッチングした開口を形成するステップと、
c)プロセス・チャンバ内の約−200℃〜約25℃の温度のコールド・チャック上に基板を配置するステップと、
d)基板上のエッチングされた開口内で層状の凝縮性洗浄剤(CCA)を凝縮させるために、凝縮性洗浄剤をプロセス・チャンバに加えるステップと、
e)ウェハが約−200℃〜約25℃の低温度にとどまっている間に、活性化段階を実施するステップとを含む。
本発明は、さらに、低バイア・コンタクト抵抗を有する多孔質または緻密な低k誘電体を含む、BEOL相互接続構造体を作製する方法を提供する。この方法は、
a)基板上に多孔質または緻密な低k誘電体層を形成するステップと、
b)低k誘電体内にシングルまたはデュアル・ダマシン法でエッチングした開口を形成するステップと、
c)第1プロセス・チャンバ内の約−200℃〜約25℃の温度のコールド・チャック上に基板を配置するステップと、
d)基板上のエッチングされた開口内で層状の凝縮性洗浄剤(CCA)を凝縮させるために、凝縮性洗浄剤を前記第1のプロセス・チャンバに加えるステップと、
e)クラスタ・ツールによって第2プロセス・チャンバへ基板を移動するステップと、
f)前記第2プロセス・チャンバ内で活性化段階を実施するステップとを含む。
本発明はさらに、本発明の上記の方法によって調製された、低バイア・コンタクト抵抗を有する多孔質または緻密な低k誘電体を含むBEOL相互接続構造体を提供する。
本発明の方法によって調製したバイア・コンタクトは、温度サイクル中、および半導体デバイスの動作中の安定性が非常によい。さらに、本明細書に記載の方法によって調製したバイア・コンタクトは、従来技術に記載のバイアよりも抵抗が低い。その上、本発明では、バイアはライナ(たとえば、図1の要素6を参照のこと)によって囲まれ、ライナの接着は従来技術に記載のバイアより強い。その結果、ライナの接着が強いことから信頼性および安定性がより高い相互接続構造ができるので、本発明の相互接続構造は、従来技術による相互接続構造より信頼性および安定性が高い。
本発明の前記その他の利点は、添付の図面を参照して行う、本発明およびその好ましい実施形態の詳細な記述から、よりよく理解されるであろう。
本発明は、低k誘電体上にライナまたはバリアあるいはその両方を付着する非破壊前洗浄プロセス法を提供する。
一般に、集積回路は、相互接続層を有し、各相互接続層はICチップ上で使用するためのデュアル・ダマシン(バイアに次層の導線を加えた)配線相互接続構造をした金属線およびバイアを含んでいる。金属線とバイアは、同種または異種の導電性材料からなる。ここで用いるのに適した材料には、それだけに限らないが、W、Cu、Al、Ag、Auおよびそれらの合金がある。特に好ましい材料は、Cuである。
凝縮性洗浄剤(CCA)は還元剤でよく、金属ベースの還元剤、金属水素化物;LiAlHなどの混合金属水素化物、フッ素の分子状供給源、または水素供給源、あるいは水素およびシリコン両方の供給源などが含まれる。
後者の例には、それだけに限らないが、ジシラン、トリシラン、テトラシランおよびその他の凝縮性シランがある。
フッ素の分子供給源には、AlF、TiF、WF、TaFなどの金属フッ化物、SF、XeFなどの無機フッ素化合物およびそれらの混合物、ならびにヘキサフルオロプロピレンオキシド、ヘキサフルオロベンゼンなどの有機フッ素供給源があり、フッ素化高級シランがある。上記化合物の混合物が、CCAとして特に有効なことがある。
一般に、水素化物および水素供給源は、酸化物および酸素含有残留物を除去するのに有効であり、一方フッ素供給源は炭素含有残留物を除去するのに用いられる。好ましくは、Heイオン、H イオンまたはH/H 混合物あるいはその両方の衝撃によって、活性化段階を実施することができる。あるいは、活性化段階は、電子ビーム照射あるいは紫外(UV)線照射によって実施することもできる。
活性化段階は、リフト・ピンによって基板をコールド・チャックから持ち上げ、その後、加熱ランプで基板を加熱することによって実施することができる。
好ましくは、持ち上げた基板を、約200℃〜約450℃の温度に加熱し、より好ましくは、持ち上げた基板を、約350℃〜約400℃の温度に加熱する。
図1を参照すると、デュアル・ダマシン層の一例が示されており、そこに基板10およびエッチ・ストップ/バリア層2が示されている。エッチ・ストップ/バリア上にILD(Inter-level Dielectrics)3が付着されており、前記ILDの上に任意選択のハードマスク4がある。ハードマスクは、複合ハードマスク膜を形成する単層または複数層から構成し得ることに留意されたい。Cu導体5がILD内に形成され、ライナ/Cu拡散バリア6によってILDから分離されている。
第2のエッチ・ストップ/バリア層7が、Cu導体上に「キャップ」を形成する。各金属線およびバイア5は、金属線およびバイアをライニングするライナ材料6を任意選択で
含むことができる。
ライナとして使用できる適切な材料には、それだけに限らないが、TiN、TaN、Ta、WN、W、TaSiN、TiSiN、WCN、Ruなど、およびそれらの混合物がある。ライナは、単層であってもよく、複数層を含むこともできる。
金属線およびバイアを形成するための技術およびパラメータは、当技術分野では周知である。簡単に言うと、線およびバイアを画定するための開口を、従来のリソグラフィ(低k有機誘電体層の表面にフォトレジストを塗布することを含む)およびエッチングを利用して形成する。エッチング・ステップは、反応性イオン・エッチング、イオン・ビーム・エッチング、プラズマ・エッチングなど従来のドライ・エッチングを含む。次いで、従来の剥離プロセスを利用してフォトレジストを層から剥離する。
次に、導電性材料を、ライナを用いるときはライナ上に、または開口の露出表面上に、CVD、プラズマCVD、スパッタリング、めっき、蒸着または化学溶液析出など従来の付着法を使用して付着する。導電性金属で充填した構造を、次いで、従来のプレーナ工程にかけ、開口外の残留導電性金属またはライナあるいはその両方を実質的に除去する。たとえば、CMPを使用してもよく、CMPの後は金属線の最上部の水平部分は、第1層の上面とほぼ同一平面上になる。
次いで、拡散バリア層7を、その上面上に連続層として付着すると、相互接続層が完成する。拡散バリア層7は、上述の導電性金属の1つが、この相互接続層の上に形成された第1層内に拡散するのを防止することができる、どんな絶縁材料からでも構成される。
本発明は、Cu線に通じるバイア・コンタクトを含むバイア開口の洗浄を対象とするが、図2を参照して説明する。
図2を参照すると、誘電体層30内にエッチングされたバイア開口50および線開口70が示されている。誘電体層30は均質であっても、多層から構成されてもよいことに留意されたい。複数の層が存在する場合は、2つの層の界面が、線開口70とバイア開口50の界面と一致してはならない。
誘電体は、以下で述べるように3.5〜2のkを有することができる。誘電体30の下方に、バリア/キャップ/エッチ・ストップ層20が、下にある銅線100の上に配設されている。誘電体層30は、単層または多層から構成されて複合ハードマスク膜を形成することができる任意選択のハードマスク層40を含んでもよい。
上述の構成要素はすべて基板110上に配設される。
開口50および70を(ドライ・エッチングによって)形成した後、バイア開口の底部に注目すると、バリア/キャップ/エッチ・ストップ層20は、エッチングされた開口90を備えている。開口90は、バイア・ボトムとして知られ、そこでCu101が露出している。Cu表面は、Cu酸化物層120を含むこともあるが、これは空気、洗浄水溶液、部分的に酸素化された溶媒からなる洗浄溶液、およびバリア/キャップ/エッチ・ストップ層20内に開口90を形成するために使用される、反応性供給ガスなどの他の酸素供給源によってCuが酸化されるからである。Cu酸化物層120は、炭素およびその他の元素を含むこともある。バイア開口内部には厚さが可変の炭素残留物140が存在することもあり、この残留物は、H、Fおよび他の元素を含むことも、重合体のことも、あるいは無定形炭素型の物質であることもある。
炭素残留物140は、通例バイア・ボトムに存在するが、図2は側壁上の残留物を示している。
一般に従来技術では、第1洗浄ステップで還元性のHプラズマまたは酸化性プラズマを使用して、H原子または他の活性種により、残留物140を除去する。他の一般的な化学作用には、以下に述べるガスのうちの1種または複数種が含まれる:H、O、NおよびNH
従来技術で使用されたArイオンは、約100eV〜約1000eVの運動エネルギーを有し、第2洗浄段階でCu酸化物120を除去するために使用される。Arイオンを使用する場合は、イオンが、露出したトレンチ底部150およびハードマスク40を損傷させ、そこでは誘電体がArの衝撃に直接曝される。
Cu酸化物層を除去する間、Cu原子が、バイア・ボトムからバイア側壁160およびトレンチ・フロア上にスパッタされる。ここではトレンチ・フロア上のCuを155として示す。
基板110は、たとえば、Si、SiGe、Si/SiGe、Si/SiO/Siなど、シリコンを含むどんな半導体からでも構成することができる、半導体ウェハまたは半導体チップでよい。この基板を、作製すべき所望のデバイスに応じて、n型またはp型のドーパントでドープする。この基板は、基板内またはその表面上に様々な絶縁領域またはデバイス領域あるいはその両方を含んでいてもよい。基板はまた、その表面に金属パッドを含んでいてもよい。シリコン含有半導体材料に加えて、基板は、その中にCMOSデバイスを含む回路でもよい。
層30に適した誘電体は、それだけに限らないが、Si、C、O、FおよびHのうちの1種または複数種から形成される組成物、たとえば、FSG、Cドープ酸化物、Fドープ酸化物、Si、C、OおよびHの合金などのシリコン含有材料を含む、多孔質または緻密な無機材料である。Si、C、OおよびH組成のプラズマCVD材料の具体例には、それだけに限らないが、Applied MaterialsのBlack Diamond、Novellus SystemsのCoral、およびASMのAuroraがあり、これらはすべて、2.8〜3.2の範囲を含めてほぼ3.0のkを有する。また、細孔を含み2.7〜1.8のkを有するSiCOH誘電体も、AppliedMaterialsのBDIIおよびBDIII、ASMのAurora ULKおよびELK、およびその他の多孔質SiCOH膜を含めて、本発明の範囲内で好ましく使用することができる。メチルシルセスキオキサン、シロキサン、日本合成ゴム(JSR:JapanSynthetic Rubber)の5109、5117、5525、5530、Dendriglassなど、Si、C、O、H組成を有する様々なスピン塗布膜も使用することができる。TrikonのOrionとして知られる材料および他の材料も使用することができる。
基板110上に第1誘電体層30を形成する技術およびパラメータ(たとえば、プラズマCVDまたはスピン・コーティング)は、当業者の範囲内にある。当技術分野で知られているように、環式前駆体および第2の炭化水素前駆体を原料とするプラズマCVDは、その内容が参照により本明細書に組み込まれている、米国特許第6,312,793号、第6,441,491号、および第6,479,110B2号に開示されるように、2〜3のkを有するSiCOH誘電体を作製するための1つの好ましい方法である。
あるいは、誘電体層30は、SiLK(商標)の商標名でDow Chemical Co.から市販の熱硬化性有機材料やポリアリーレンエーテルなどの低k有機材料でもよい。さらに、誘電体層30は、DowChemical Companyの多孔質SiLK(商標)でもよい。
これらのバイア洗浄方法はいずれも、要素100〜160(上記を参照)を含む基板を、約−200℃〜約25℃の温度に冷却したウェハ・チャック上に配置することから始まり、次いで、凝縮性洗浄剤(CCA)を、0.1〜100単分子層のCCAを凝縮するのに十分な時間である約1秒〜約100秒間、プロセス・チャンバに添加する。
上述したように、凝縮性洗浄剤(CCA)は、還元剤、フッ素の分子供給源、水素供給源、ならびに水素およびシリコンの両方の供給源から選択する。
還元剤の例としては、金属水素化物など金属ベースの還元剤、LiAlHなどの混合金属水素化物がある。
水素およびシリコンの両方の供給源の例としては、それだけに限らないが、ジシラン、トリシラン、テトラシラン、およびその他の凝縮性シランがある。
フッ素の分子供給源としては、AlF、TiF、WF、TaFなどの金属フッ化物、SF、XeFおよびその混合物などの無機フッ素化合物、ならびにヘキサフルオロプロピレンオキシド、ヘキサフルオロベンゼンなどの有機フッ素供給源、フッ素化高級シランがある。
上記化合物の混合物は、CCAとして特に有効なことがある。
好ましいCCAには、ジシラン、トリシランなどの高級シラン、金属水素化物化合物、AlF、TiF、WF、TaFなどの金属フッ化物化合物、SFやXeFなどの無機フッ素化合物、混合フッ素化高級シラン、混合金属水素化物およびフッ素化物などがある。LiAlHなど金属ベースの還元剤も好ましい。
本発明の方法では、CCAはコーティング段階で冷ウェハ上に凝縮して、(ウェハ全体にわたって概略均質に)バイア・ボトム内に薄層を形成する。次いで、CCAは、活性化段階で活性化され、炭素ベースの汚染は揮発性有機化合物に転換され、Cu酸化物はCu表面から除去される。
一実施形態では、ウェハが冷えたままである間に、HイオンまたはHeおよびH/H 衝撃によって、活性化段階を実施し、1つのプロセス・チャンバを使用する。衝撃段階では、He、および任意選択でHを、それぞれのガス種について約1〜約10000sccmの流量、好ましくは約100〜約500sccmの流量で、プロセス・チャンバに流入させる。
他の実施形態では、ウェハが冷えている間に電子ビーム照射または紫外照射によって、活性化段階を実施し、1つのプロセス・チャンバを使用する。電子照射処理では、約0.5eV〜約100keVの電子エネルギー、約10〜1000マイクロ・キュリー/cmの線量、および約1秒〜約10000秒の時間を使用する。この処理の代表的な条件は、約1〜約10keVの電子エネルギー、約50〜約500マイクロ・キュリー/cmである。
さらに別の実施形態では、ウェハを加熱することによって活性化段階を実施し、1つのプロセス・チャンバを使用する。具体的には、リフト・ピンを使用してウェハをコールド・チャックから持ち上げ、次いで加熱ランプを用いて、持ち上げたウェハを、約200℃〜約450℃、好ましくは約350℃〜約400℃に加熱する。
さらに別の実施形態では、別個のプロセス・モジュール内のクラスタ・ツール上で、活性化段階を実施する。最初に、要素100〜160を含む基板を、第1実施形態で述べたプロセス・チャンバ内で、−200℃〜約25℃の温度に冷却したウェハ・チャック上に配置し、次に、凝縮性洗浄剤(CCA)を凝縮するのに十分な時間(約1〜約100秒)、すなわち約0.1〜約100単分子層のCCAを凝縮するのに十分な時間、プロセス・チャンバに添加する。
その後、基板を第2プロセス・チャンバ内に移し、そこでHeイオンまたはHeおよびH/H イオンで、電子ビーム放射で、紫外線で、熱エネルギーで、あるいは他のエネルギー源により、基板を照射する。
第2チャンバでは、ウェハをチャックから持ち上げないこともある。チャックは、たとえば、抵抗加熱、加熱流体、または加熱ランプにより、所望の温度に加熱することができる。
他の実施形態では、希ガスプラズマを用いて活性化段階を実施し、プラズマと基板の間に少なくとも1つのグリッドを設置する。グリッドには、正のイオンを反発するために正のバイアスを印加しており、それによってCCAの凝縮層を含む基板が、真空紫外光およびプラズマからの電子によって活性化される。任意選択で、第2グリッドを設置し、負のバイアスを印加して電子を反発させ、したがって真空紫外(VUV)光のみでCCAを活性化する。
本発明によるいくつかの実施形態を示し説明してきたが、それらには、当業者には明らかであるはずの多くの変更が可能であることをはっきり理解されたい。したがって、図示したまたは記述したものだけに限定されることはなく、添付の特許請求の範囲に含まれるあらゆる変更および修正が企図されている。
その中に1組の金属線およびバイアを、その上に拡散バリア層を有する第1層を有する完成した相互接続層を示す概略横断面図である。 開口のメタライゼーションを行う前の、エッチングされたバイアおよび線の開口を示す概略横断面図である。
符号の説明
2 エッチ・ストップ/バリア層
3 ILD
4 ハードマスク
5 Cu導体
6 ライナ/Cu拡散バリア
7 エッチ・ストップ/バリア層
10 基板
20 バリア/キャップ/エッチ・ストップ層
30 誘電体層
40 ハードマスク
50 バイア開口
70 相互接続開口
90 バイア・ボトム
100 銅線
101 Cu
110 基板
120 Cu酸化物層
140 炭素残留物
150 トレンチ底部
155 トレンチ・フロア上のCu
160 バイア側壁

Claims (40)

  1. 低バイア・コンタクト抵抗を有する多孔質または緻密な低k(low k)誘電体を含む、BEOL相互接続構造体を作製する方法であって、
    a)基板上に多孔質または緻密な低k誘電体層を形成するステップと、
    b)前記低k誘電体中にシングルまたはデュアル・ダマシン法でエッチングした開口を形成するステップと、
    c)プロセス・チャンバ内の約−200℃〜約25℃の温度のコールド・チャック上に基板を配置するステップと、
    d)前記基板上の前記エッチングされた開口内に、層状の凝縮性洗浄剤(CCA)を凝縮させるために、凝縮性洗浄剤を前記プロセス・チャンバに加えるステップと、
    e)ウェハが約−200℃〜約25℃の低温度にとどまっている間に、活性化段階を実施するステップとを含む前記の方法。
  2. 前記凝縮性洗浄剤(CCA)が、
    還元剤、フッ素の分子供給源、水素供給源、ならびに水素およびシリコンの両方の供給源、
    からなる群から選択される、請求項1に記載の方法。
  3. 前記凝縮性洗浄剤(CCA)が、
    金属を主成分とする還元剤、金属水素化物、混合金属水素化物、金属フッ化物、無機フッ素化合物、有機フッ素化合物およびそれらの混合物
    からなる群から選択される、請求項2に記載の方法。
  4. 前記金属フッ化物が
    AlF、TiF、WF、TaFおよびそれらの混合物
    からなる群から選択される、請求項3に記載の方法。
  5. 前記無機フッ素化合物が、
    AlF、TiF、WF、TaF、SF、XeFおよびそれらの混合物
    からなる群から選択される、請求項3に記載の方法。
  6. 前記有機フッ素化合物が、
    ヘキサフルオロプロピレンオキシド、ヘキサフルオロベンゼン、フッ素化高級シランおよびそれらの混合物
    からなる群から選択される、請求項3に記載の方法。
  7. 前記金属を主成分とする還元剤が、
    LiAlH、AlH、LiHおよびそれらの混合物
    からなる群から選択される、請求項3に記載の方法。
  8. 前記活性化段階が、
    HeイオンまたはH およびH/H 、あるいはHeとHとH の混合物で衝撃することを含む、請求項1に記載の方法。
  9. 前記活性化段階が、電子ビームまたは紫外(UV)線で照射することを含む、請求項1に記載の方法。
  10. 前記活性化段階が、
    リフト・ピンにより前記基板を前記コールド・チャックから持ち上げること、および、
    その後、加熱ランプで前記基板を加熱すること
    を含む、請求項1に記載の方法。
  11. 前記持ち上げられた基板が、約200℃〜約450℃の温度に加熱される、請求項10に記載の方法。
  12. 前記持ち上げられた基板が、約350℃〜約400℃の温度に加熱される、請求項11に記載の方法。
  13. 前記多孔質または緻密な低k誘電体が、
    Si、C、O、FおよびHのうちの1種または複数種から形成されるシリコン含有材料、Si、C、O、およびH組成を有するプラズマCVDによる材料、フルオロケイ酸ガラス(FSG)、Cでドープした酸化物、Fでドープした酸化物、ならびにSi、C、OおよびHの合金
    からなる群から選択される、請求項1に記載の方法。
  14. 多孔質または緻密な低k誘電体が、
    Black Diamond(商標)、Coral(商標)、Aurora(商標)、AuroraULK(商標)、Aurora ELK(商標)、BDII(商標)、BDIII(商標)、メチルシルセスキオキサン(商標)、シロキサン(商標)、JSR製の製品番号5109(商標)、5117(商標)、5525(商標)、5530(商標)の材料、Dendriglass(商標)、Orion(商標)、Trikon(商標)およびそれらの組合せ
    からなる群から選択される、請求項1に記載の方法。
  15. 低バイア・コンタクト抵抗を有する多孔質または緻密な低k誘電体を含む、BEOL相互接続構造体を作製する方法であって、
    a)基板上に多孔質または緻密な低k誘電体層を形成するステップと、
    b)前記低k誘電体中にシングルまたはデュアル・ダマシン法でエッチングした開口を形成するステップと、
    c)第1プロセス・チャンバ内の約−200℃〜約25℃の温度のコールド・チャック上に基板を配置するステップと、
    d)前記基板上のエッチングされた開口内に層状の凝縮性洗浄剤(CCA)を凝縮させるために、凝縮性洗浄剤を前記第1プロセス・チャンバに加えるステップと、
    e)前記基板を、クラスタ・ツールを用いて第2プロセス・チャンバに移動するステップと、
    f)前記第2プロセス・チャンバ内で活性化段階を実施するステップとを含む前記の方法。
  16. 前記凝縮性洗浄剤(CCA)が、
    還元剤、フッ素の分子供給源、水素供給源、ならびに水素およびシリコンの両方の供給源
    からなる群から選択される、請求項15に記載の方法。
  17. 前記凝縮性洗浄剤(CCA)が、
    金属ベースの還元剤、金属水素化物、混合金属水素化物、金属フッ化物、無機フッ素化合物、有機フッ素化合物およびそれらの混合物
    からなる群から選択される、請求項16に記載の方法。
  18. 前記金属フッ化物が
    AlF、TiF、WF、TaFおよびそれらの混合物
    からなる群から選択される、請求項17に記載の方法。
  19. 前記無機フッ素化合物が、
    AlF、TiF、WF、TaF、SF、XeFおよびそれらの混合物
    からなる群から選択される、請求項17に記載の方法。
  20. 前記有機フッ素化合物が、
    ヘキサフルオロプロピレンオキシド、ヘキサフルオロベンゼン、フッ素化高級シランおよびそれらの混合物
    からなる群から選択される、請求項17に記載の方法。
  21. 前記金属を主成分とする還元剤が、
    LiAlH、AlH、LiHおよびそれらの混合物
    からなる群から選択される、請求項17に記載の方法。
  22. 前記活性化段階が、
    HeイオンまたはH およびH/H 、あるいはHeとHとH の混合物で衝撃することを含む、請求項15に記載の方法。
  23. 前記活性化段階が、電子ビームまたは紫外(UV)線で照射することを含む、請求項15に記載の方法。
  24. 前記活性化段階が、
    リフト・ピンにより前記基板を前記コールド・チャックから持ち上げること、および、
    その後、加熱ランプで前記基板を加熱すること
    を含む、請求項15に記載の方法。
  25. 前記持ち上げられた基板が、約200℃〜約450℃の温度に加熱される、請求項24に記載の方法。
  26. 前記持ち上げられた基板が、約350℃〜約400℃の温度に加熱される、請求項25に記載の方法。
  27. 前記多孔質または緻密な低k誘電体が、
    Si、C、O、FおよびHのうちの1種または複数種から形成されるシリコン含有材料、Si、C、O、およびH組成を有するプラズマCVDによる材料、フルオロケイ酸ガラス(FSG)、Cでドープした酸化物、Fでドープした酸化物、ならびにSi、C、OおよびHの合金
    からなる群から選択される、請求項15に記載の方法。
  28. 多孔質または緻密な低k誘電体が、
    Black Diamond(商標)、Coral(商標)、Aurora(商標)、AuroraULK(商標)、Aurora ELK(商標)、BDII(商標)、BDIII(商標)、メチルシルセスキオキサン(商標)、シロキサン(商標)、JSR製の製品番号5109(商標)、5117(商標)、5525(商標)、5530(商標)の材料、Dendriglass(商標)、Orion(商標)、Trikon(商標)およびそれらの組合せ
    からなる群から選択される、請求項15に記載の方法。
  29. 低バイア・コンタクト抵抗を有する多孔質または緻密な低k誘電体を含むBEOL相互接続構造体であって、
    a)基板上に多孔質または緻密な低k誘電体層を形成するステップと、
    b)前記低k誘電体中にシングルまたはデュアル・ダマシン法でエッチングした開口を形成するステップと、
    c)プロセス・チャンバ内の約−200℃〜約25℃の温度のコールド・チャック上に基板を配置するステップと、
    d)前記基板上のエッチングされた開口内に層状の凝縮性洗浄剤(CCA)を凝縮させるために、凝縮性洗浄剤を前記プロセス・チャンバに加えるステップと、
    e)ウェハが約−200℃〜約25℃の低温度にとどまっている間に、活性化段階を実施するステップとを含む方法によって調製された前記の相互接続構造体。
  30. さらに、金属線およびバイアを備える、請求項29に記載のBEOL相互接続構造体。
  31. さらに、前記金属線およびバイアをライニングするライナ材料を備える、請求項30に記載のBEOL相互接続構造体。
  32. 前記ライナ材料が、
    TiN、TaN、Ta、WN、W、TaSiN、WCN、TiSiN、Ruおよびそれらの混合物からなる群から選択される、請求項31に記載のBEOL相互接続構造体。
  33. 前記多孔質または緻密な低k誘電体が、
    Si、C、O、FおよびHのうちの1種または複数種から形成されるシリコン含有材料、Si、C、O、およびH組成を有するプラズマCVDによる材料、フルオロケイ酸ガラス(FSG)、Cでドープした酸化物、Fでドープした酸化物、ならびにSi、C、OおよびHの合金
    からなる群から選択される、請求項29に記載の構造体。
  34. 多孔質または緻密な低k誘電体が、
    Black Diamond(商標)、Coral(商標)、Aurora(商標)、AuroraULK(商標)、Aurora ELK(商標)、BDII(商標)、BDIII(商標)、メチルシルセスキオキサン(商標)、シロキサン(商標)、5109(商標)、5117(商標)、5525(商標)、5530(商標)、Dendriglass(商標)、Orion(商標)、Trikon(商標)およびそれらの組合せ
    からなる群から選択される、請求項29に記載の構造体。
  35. 低バイア・コンタクト抵抗を有する多孔質または緻密な低k誘電体を含むBEOL相互接続構造体であって、
    a)基板上に多孔質または緻密な低k誘電体層を形成するステップと、
    b)前記低k誘電体中にシングルまたはデュアル・ダマシン法でエッチングした開口を形成するステップと、
    c)第1プロセス・チャンバ内の約−200℃〜約25℃の温度のコールド・チャック上に基板を配置するステップと、
    d)前記基板上のエッチングされた開口内に層状の凝縮性洗浄剤(CCA)を凝縮させるために、凝縮性洗浄剤を前記第1プロセス・チャンバに加えるステップと、
    e)クラスタ・ツールを用いて前記基板を第2プロセス・チャンバに移動するステップと、
    f)前記第2プロセス・チャンバ内で活性化段階を実施するステップとを含む方法によって調製される前記の構造体。
  36. さらに、金属線およびバイアを備える、請求項35に記載のBEOL相互接続構造体。
  37. さらに、前記金属線およびバイアをライニングするライナ材料を備える、請求項36に記載のBEOL相互接続構造体。
  38. 前記ライナ材料が、
    TiN、TaN、Ta、WN、W、TaSiN、TiSiN、WCN、Ruおよびそれらの混合物からなる群から選択される、請求項35に記載のBEOL相互接続構造体。
  39. 前記多孔質または緻密な低k誘電体が、
    Si、C、O、FおよびHのうちの1種または複数種から形成されるシリコン含有材料、Si、C、O、およびH組成を有するプラズマCVDによる材料、フルオロケイ酸ガラス(FSG)、Cでドープした酸化物、Fでドープした酸化物、ならびにSi、C、OおよびHの合金
    からなる群から選択される、請求項35に記載の構造体。
  40. 多孔質または緻密な低k誘電体が、
    Black Diamond(商標)、Coral(商標)、Aurora(商標)、AuroraULK(商標)、Aurora ELK(商標)、BDII(商標)、BDIII(商標)、メチルシルセスキオキサン(商標)、シロキサン(商標)、JSR製の製品番号5109(商標)、5117(商標)、5525(商標)、5530(商標)の材料、Dendriglass(商標)、Orion(商標)、Trikon(商標)およびそれらの組合せ
    からなる群から選択される、請求項35に記載の構造体。
JP2004269168A 2003-09-19 2004-09-16 相互接続構造内での低抵抗バイア・コンタクトの形成 Pending JP2005094014A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/665,584 US20050064701A1 (en) 2003-09-19 2003-09-19 Formation of low resistance via contacts in interconnect structures

Publications (1)

Publication Number Publication Date
JP2005094014A true JP2005094014A (ja) 2005-04-07

Family

ID=34312894

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004269168A Pending JP2005094014A (ja) 2003-09-19 2004-09-16 相互接続構造内での低抵抗バイア・コンタクトの形成

Country Status (4)

Country Link
US (2) US20050064701A1 (ja)
JP (1) JP2005094014A (ja)
CN (1) CN100345277C (ja)
TW (1) TWI339874B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008541428A (ja) * 2005-05-05 2008-11-20 アプライド マテリアルズ インコーポレイテッド 導電性バリヤ層、特にルテニウムとタンタルの合金及びそのスパッタ堆積
JP2013197451A (ja) * 2012-03-22 2013-09-30 Nec Corp 銅配線の表面処理方法及びその上に搭載する機能素子の製造方法

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7088003B2 (en) * 2004-02-19 2006-08-08 International Business Machines Corporation Structures and methods for integration of ultralow-k dielectrics with improved reliability
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US7282802B2 (en) * 2004-10-14 2007-10-16 International Business Machines Corporation Modified via bottom structure for reliability enhancement
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7309658B2 (en) * 2004-11-22 2007-12-18 Intermolecular, Inc. Molecular self-assembly in substrate processing
US7309653B2 (en) * 2005-02-24 2007-12-18 International Business Machines Corporation Method of forming damascene filament wires and the structure so formed
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US7192878B2 (en) * 2005-05-09 2007-03-20 United Microelectronics Corp. Method for removing post-etch residue from wafer surface
US7863183B2 (en) * 2006-01-18 2011-01-04 International Business Machines Corporation Method for fabricating last level copper-to-C4 connection with interfacial cap structure
US7402883B2 (en) * 2006-04-25 2008-07-22 International Business Machines Corporation, Inc. Back end of the line structures with liner and noble metal layer
US7695897B2 (en) * 2006-05-08 2010-04-13 International Business Machines Corporation Structures and methods for low-k or ultra low-k interlayer dielectric pattern transfer
US10037905B2 (en) * 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US7745324B1 (en) 2009-01-09 2010-06-29 International Business Machines Corporation Interconnect with recessed dielectric adjacent a noble metal cap
TW201044462A (en) * 2009-01-22 2010-12-16 Tokyo Electron Ltd A method for manufacturing semiconductor devices
US8252699B2 (en) 2010-11-22 2012-08-28 Applied Materials, Inc. Composite removable hardmask
CN104143523A (zh) * 2013-05-06 2014-11-12 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US9502255B2 (en) 2014-10-17 2016-11-22 Lam Research Corporation Low-k damage repair and pore sealing agents with photosensitive end groups
CN104392959A (zh) * 2014-11-28 2015-03-04 上海集成电路研发中心有限公司 双大马士革结构的制造方法
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
TWI807195B (zh) 2019-06-28 2023-07-01 美商應用材料股份有限公司 用於改良高k可靠度之摻氟氮化物膜

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4884123A (en) * 1987-02-19 1989-11-28 Advanced Micro Devices, Inc. Contact plug and interconnect employing a barrier lining and a backfilled conductor material
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6153935A (en) * 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
JP2001319928A (ja) * 2000-05-08 2001-11-16 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6337277B1 (en) * 2000-06-28 2002-01-08 Lam Research Corporation Clean chemistry low-k organic polymer etch
US6441491B1 (en) * 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6794292B2 (en) * 2001-07-16 2004-09-21 United Microelectronics Corp. Extrusion-free wet cleaning process for copper-dual damascene structures
CN1207771C (zh) * 2001-12-24 2005-06-22 联华电子股份有限公司 使用氧化线层作为介电阻挡层的双镶嵌制程
US6848455B1 (en) * 2002-04-22 2005-02-01 Novellus Systems, Inc. Method and apparatus for removing photoresist and post-etch residue from semiconductor substrates by in-situ generation of oxidizing species

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008541428A (ja) * 2005-05-05 2008-11-20 アプライド マテリアルズ インコーポレイテッド 導電性バリヤ層、特にルテニウムとタンタルの合金及びそのスパッタ堆積
JP2013197451A (ja) * 2012-03-22 2013-09-30 Nec Corp 銅配線の表面処理方法及びその上に搭載する機能素子の製造方法

Also Published As

Publication number Publication date
CN1624895A (zh) 2005-06-08
TW200512876A (en) 2005-04-01
US20050266681A1 (en) 2005-12-01
TWI339874B (en) 2011-04-01
US7378350B2 (en) 2008-05-27
US20050064701A1 (en) 2005-03-24
CN100345277C (zh) 2007-10-24

Similar Documents

Publication Publication Date Title
US7378350B2 (en) Formation of low resistance via contacts in interconnect structures
JP4328725B2 (ja) 改良された信頼性を有する超低誘電率(k)誘電体を集積化する構造および方法
US10854508B2 (en) Interconnection structure and manufacturing method thereof
KR100516337B1 (ko) 반도체 디바이스 및 그 제조 방법
JP4679193B2 (ja) 半導体装置の製造方法及び半導体装置
US7319071B2 (en) Methods for forming a metallic damascene structure
US9165824B2 (en) Interconnects with fully clad lines
KR100652334B1 (ko) 캡층을 갖는 반도체 상호연결 구조물 상에 금속층을피착하는 방법
US7285853B2 (en) Multilayer anti-reflective coating for semiconductor lithography and the method for forming the same
JP2010517325A (ja) 窒化アルミニウムを使用することによる微細構造デバイス内の銅系メタライゼーション構造の信頼性向上
TW200532766A (en) Method of removing resist, semiconductor device manufactured by the method
JPWO2004061931A1 (ja) 多層配線構造を有する半導体装置およびその製造方法
JP4567587B2 (ja) 半導体装置の製造方法
US20060099802A1 (en) Diffusion barrier for damascene structures
JP5823359B2 (ja) 半導体装置の製造方法
US6984875B2 (en) Semiconductor device with improved reliability and manufacturing method of the same
Chen et al. Interconnect Processing: Integration, Dielectrics, Metals
JP2006073569A (ja) 半導体装置とその製造方法
JP3322651B2 (ja) 半導体装置の製造方法
US20080057727A1 (en) Method of manufacturing a semiconductor device
JP2009117673A (ja) 半導体装置およびその製造方法
US20060024961A1 (en) Interlevel dielectric layer and metal layer sealing
JP2004253781A (ja) 半導体装置およびその製造方法
KR20040001993A (ko) 구리 금속 배선 형성방법 및 이를 이용한 반도체 소자의다층 배선 형성방법
JP2006059848A (ja) レジスト除去方法及び半導体装置の製造方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080507

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080729

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080826

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090210