JP4842263B2 - Tera層を化学処理するための処理システムおよび方法 - Google Patents

Tera層を化学処理するための処理システムおよび方法 Download PDF

Info

Publication number
JP4842263B2
JP4842263B2 JP2007520300A JP2007520300A JP4842263B2 JP 4842263 B2 JP4842263 B2 JP 4842263B2 JP 2007520300 A JP2007520300 A JP 2007520300A JP 2007520300 A JP2007520300 A JP 2007520300A JP 4842263 B2 JP4842263 B2 JP 4842263B2
Authority
JP
Japan
Prior art keywords
substrate
layer
tera
processing
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2007520300A
Other languages
English (en)
Other versions
JP2008506255A (ja
Inventor
エラン・モズデン
朝夫 山下
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2008506255A publication Critical patent/JP2008506255A/ja
Application granted granted Critical
Publication of JP4842263B2 publication Critical patent/JP4842263B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

本PCT出願は、2004年7月6日出願の米国特許非仮出願第10/883784号明細書に基づき、かつそれに優先権を依拠するものであり、その内容全体が参照により本明細書に組み込まれる。
本出願は、2003年11月12日出願の「Processing System and Method for Treating a Substrate」と題する同時係属の米国特許出願第10/705210号明細書;2003年11月12日出願の「Processing System and Method for thermally Treating a Substrate」と題する同時係属の米国特許出願第10/704969号明細書;2003年11月12日出願の「Method and Apparatus for Thermally Insulating Adjacent Temperature Controlled Chambers」と題する同時係属の米国特許出願第10/705397号明細書;および2003年8月21日出願の「Method and Apparatus for Depositing Material With Tunable Optical Properties And Etching Characteristics」と題する同時係属の米国特許出願第10/644958号明細書に関連する。これらの各出願の内容は、その全体が参照により本明細書に組み込まれる。
本発明は、可調エッチング速度ARC(TERA)層を処理するためのシステムおよび方法に関し、さらに詳細にはTERA層の化学処理のためのシステムおよび方法に関する。
半導体処理時に、(ドライ)プラズマエッチング処理を利用して、珪素基材上にパターン形成された微細な線に沿ってまたはビアホールもしくは接点の内部で、材料を除去またはエッチングすることが可能である。プラズマエッチング処理は、一般に、上に重なるパターン形成された保護層、例えば、フォトレジスト層を有する半導体基材を処理チャンバの中に位置決めすることを伴う。一旦、基材がチャンバ内部に位置決めされると、イオン性解離混合ガスが予め指定された流量でチャンバ内部に導入され、他方では、周囲処理圧を実現するために真空ポンプが絞られる。その後で、存在する僅かなガス化学種が、高周波(RF)電力の転送によって誘導的にもしくは静電容量的に加熱される電子、または、例えば、電子サイクロトロン共鳴(ECR)を利用してマイクロ波電力によって加熱される電子によってイオン化されるときに、プラズマが形成される。しかも、加熱された電子は、周囲ガス化学種の幾つかの化学種を解離するように働き、露出表面のエッチング化学的性質に適切な1つまたは複数の反応化学種を創出する。一旦、プラズマが形成されると、基材の選択された表面がプラズマによってエッチングされる。この方法は、基材の選択された領域内の様々な形状構成(例えば、トレンチ、ビアホール、接点、ゲート等)をエッチングするために望ましい反応物質およびイオン個数の適切な密度を含めて、適切な条件を実現するように調整される。エッチングが必要なこのような基材材料には、二酸化珪素(SiO)、低誘電率(low-k)の誘電材料、ポリシリコン、および窒化珪素が含まれる。材料の処理時に、このような形状構成をエッチングすることは、一般に、マスク層内部に形成されたパターンを下に重なる膜に転写し、その膜の内部にそれぞれの形状構成が形成されることを含む。マスクには、例えば、(ネガまたはポジの)フォトレジストのような感光材料、フォトレジストおよび反射防止被膜(ARC)のような層を含む多層、またはフォトレジストのような第1の層の中のパターンを下に重なるハードマスク層に転写することから形成されるハードマスクが含まれ得る。
本発明の原理は、本明細書に実施されかつ広範に説明されているように、基材上の可調エッチング速度ARC(TERA)層を処理する方法を提供する。TERA層処理方法は、プラズマ促進化学蒸着(PECVD)システムを使用して、TERA層を基材の上に蒸着し、エッチングシステムを使用して、形状構成(features)をTERA層の中に創出し、かつTERA層中の形状構成のサイズを縮小することを含む。
さらには、TERA層を処理するためのシステムが提示される。本システムは、TERA層を基材の上に蒸着するためのプラズマ促進化学蒸着(PECVD)システムと、形状構成をTERA層の中に創出するためのエッチングシステムと、TERA層中の形状構成のサイズを縮小するための処理サブシステムとを備える。
本発明の数多くの他の態様が、当業者によれば理解されるように、以下に続く説明およびそれに添付された図面から明白にされよう。
ここで、対応する参照符号が対応する部分を示す添付の模式的な図面を参照して、例示としてのみ本発明の実施形態が説明される。
材料処理方法では、パターンエッチングが、フォトレジストのような感光性材料の薄膜を基材の上部表面に塗布することを含み、この上部表面は、エッチング時に、このパターンを下に重なる薄膜に転写するためのマスクを設けるように引き続いてパターン形成される。感光性材料のパターン形成は、一般に、例えば、マイクロリソグラフィシステムを使用して、感光性材料のレチクル(および関連する光学素子)を介して放射源によって露光し、続いて、現像溶剤を使用して感光性材料の照射された領域(ポジのフォトレジストの場合におけるように)または照射されていない領域(ネガのフォトレジストにおける場合のように)を除去することを含む。
さらには、薄膜中の形状構成をエッチングするために多層およびハードマスクが実施され得る。例えば、ハードマスクを使用して薄膜中に形状構成をエッチングするとき、感光性層中のマスク・パターンは、この薄膜に対する主要なエッチング工程に先行する別のエッチング工程を使用してハードマスク層に転写される。例えば、ハードマスクは、例えば、二酸化珪素(SiO)、窒化珪素(Si)、および炭素を含む珪素処理用の幾つかの材料から選択され得るTERA層を含み得る。
薄膜中に形成される形状構成のサイズを縮小するために、例えば、ハードマスク層の表面の化学的性質を変更するためにハードマスク層の露出表面を化学処理し、かつ変更された表面の化学的性質を脱着するためにハードマスク層の露出表面を後処理することを含む2工程処理を使用して横方向にトリミングされ得る。
図1は、本発明の実施形態に係る処理システムの模式図を例示する。例示された実施形態では、例えば、TERA層トリミングを使用して基材を処理するための処理システム1が示されている。処理システム1は、多要素製造システム10と、多要素製造システム10に結合された蒸着システム20と、多要素製造システム10に結合された処理システム30と、多要素製造システム10に結合されたエッチングシステム70とを含み得る。
処理システム30は、転送モジュール40と、熱処理モジュール50と、化学処理モジュール60とを含み得る。また、図1に例示されたように、転送モジュール40は、基材を熱処理システム50および化学処理システム60の中へかつこれらから転送して、基材を多要素製造システム10と交換するために熱処理システム50にも結合され得る。
当業者には明白のはずであるように、多要素製造システム10は、エッチングシステム、蒸着システム、被覆システム、洗浄システム、研磨システム、パターン形成システム、計測システム、位置合わせシステム、リソグラフィシステム、および転写システムのような装置を含む追加的な処理システム(図示せず)を含み得る。また多要素製造システム10は、基材を処理要素(20、30、および70)および追加的な処理要素(図示せず)に、かつこれらから転送することを許容し得る。
当業者には理解されるはずであるように、処理システム1のための構成要素の厳密な種類および配置は、本発明の範囲から逸脱することなく変更し得る。したがって、処理システム1は、説明された構成要素20、30、40、50、60、および70に、または図示の配置のみに限定されるものではない。本発明は、数が多すぎて本明細書に列挙し得ない極めて多数の変形を包含することが企図されている。
一実施形態では、蒸着システム20は、化学蒸着(CVC)システム、プラズマ促進化学蒸着(PECVD)システム、物理蒸着(PVD)システム、イオン化物理蒸着(iPVD)システム、または原子層層蒸着(ALD)システム、もしくはこれらの2つ以上の組合せを含み得る。処理ガスは、酸素含有ガス、窒素含有ガス、フッ素含有ガス、または塩素含有ガス、もしくはこれらの2つ以上の組合せを含み得る。別法として、不活性ガスも含まれ得る。
例えば、酸素含有ガスは、O、CO、NO、NO、またはCO、もしくはこれらの2つ以上の組合せを含み得る。窒素含有ガスは、NO、NO、N、またはNF、もしくはこれらの2つ以上の組合せを含み得る。フッ素含有ガスは、NF、SF、CHF、またはC、もしくはこれらの2つ以上の組合せを含み得る。フッ素含有ガスと同様の組合せも塩素含有ガスに使用され得ることが理解されよう。さらには、フッ素および塩素の両方を含有するガスの混成ガスが使用されてもよい。
酸素含有ガスに関する流量は、約0sccmから約500sccm、別法として約0sccmから約300sccmまで様々であり得る。窒素含有ガスに関する流量は、約0sccmから約200sccm、別法として約0sccmから約100sccmまで様々であり得る。フッ素含有ガスに関する流量は、約0sccmから約200sccm、別法として約0sccmから約100sccmまで様々であり得る。塩素含有ガスに関する流量は、約0sccmから約200sccm、別法として約0sccmから約100sccmまで様々であり得る。
蒸着システム20で行われる処理を隔離するために、隔離組立体25を利用して蒸着システム20を多要素製造システム10に結合し得る。隔離組立体25は、熱隔離するための断熱組立体および/または真空隔離するためのゲート弁組立体を含み得る。別法の実施形態では、処理システム20は多モジュールを含み得る。
上で示唆したように、一実施形態では、処理システム30は、転送モジュール40と、物理的熱処理(PHT)モジュールであり得る熱処理モジュール50と、化学的酸化物除去(COR)モジュールであり得る化学処理モジュール60とを含み得る。異なるモジュールで行われる処理を隔離するために、隔離組立体35、45、55を利用して異なるモジュールを結合し得る。隔離組立体35を使用して転送モジュール40を多要素製造システム10に結合し得るし、隔離組立体45を使用して転送モジュール40をPHTモジュール50に結合し得るし、また隔離組立体55を使用してPHTモジュール50をCORモジュール60に結合し得る。隔離組立体35、45、55は、熱隔離するための断熱組立体および/または真空隔離するためのゲート弁組立体を含み得る。別法による実施形態では、様々な数の隔離組立体35、45、55が使用され得る。
一般に図1に図示された処理システム1の転送モジュール40および/またはPHTモジュール50は、基材の通過を可能にする少なくとも2つの転送開口部を含み得る。例えば、図1に図示されたように、PHTモジュール50は2つの転送開口部を含む。第1の転送開口部は、PHTモジュール50と転送システム40との間における基材の通過を可能にし、第2の転送開口部は、PHTモジュール50とCORモジュール60との間における基材の通過を可能にする。別法として、各処理システムは、基材の通過を可能にする少なくとも1つの開口部を含み得る。
一実施形態では、転送システム40、PHTモジュール50、およびCORモジュール60は、インライン要素として構成され得る。別法として、転送システム40、PHTモジュール50、およびCORモジュール60は、任意の数の配置で構成され得る。例えば、積重ね配置または横並び配置が利用され得る。
一実施形態では、エッチングシステム70は、ドライエッチングシステムおよび/またはウェットエッチングシステムを含み得る。例えば、エッチングシステム70はプラズマエッチングシステムを含み得る。エッチングシステム70で行われる処理を隔離するために、隔離組立体65を利用してエッチングシステム70を多要素製造システム10に結合し得る。隔離組立体65は、熱隔離するための断熱組立体および/または真空隔離するためのゲート弁組立体を含み得る。別法の実施形態では、エッチングシステム70は多モジュールを含み得る。
図1の実施形態では、制御装置90が、多要素製造システム10、蒸着システム20、転送モジュール40、PHTモジュール50、CORモジュール60、およびエッチングシステム70に結合され得る。例えば、制御装置90を使用して多要素製造システム10、蒸着システム20、転送モジュール40、PHTモジュール50、CORモジュール60、およびエッチングシステム70を制御し得る。また制御装置90は、本発明の範囲から逸脱することなく幾つかの異なる方式のいずれかで様々な構成要素に接続され得る。
さらには、多要素製造システム10は、基材を1つまたは複数の基材カセット(図示せず)と交換し得る。さらには、例えば、隔離組立体は処理要素の一部としての役目を果たし得る。
図2は、本発明の実施形態に係る処理システムを動作させる方法の簡略化された流れ図を例示する。例示された実施形態では、TERA層上の形状構成のサイズを縮小するための手順が示されている。
手順200は、タスク210から始まる。タスク220では、TERA層が基材上に蒸着される。TERA層は基材の数多くの異なる層の上に蒸着され得る。例えば、TERA層は、酸化物層、誘電層、または金属層の上に蒸着され得る。TERA層の蒸着は、本明細書でさらに詳細に論じられる。
次いで、タスク230によって示唆されているように、形状構成がTERA層の中に創出される。一実施形態では、フォトレジスト層がTERA層の上に蒸着され得るが、このフォトレジスト層の中へ、少なくとも1つのフォトリソグラフィ工程を使用してパターンが転写され得る。このパターンは、フォトレジスト層の中に形状構成を形成するために現像可能であり、さらにエッチング処理を利用してTERA層の中に形状構成を創出し得る。別法の実施形態では、ハードマスク層がTERA層の上に蒸着され得る。
処理200を実行する間に、安定化工程が、個々の処理工程の事前および/または事後に実行され得る。別法として、安定化工程は全く回避されてもよい。
安定化処理は、処理時間およびチャンバ圧のような多様な動作パラメータを含み得る。例えば、処理時間は、約2秒から約150秒、別法として約4秒から約15秒まで様々であり得る。チャンバ圧は約2ミリトールから約800ミリトール、別法として約10ミリトールから約90ミリトールまで様々であり得る。
上で詳細に論じられたように、処理ガスは酸素含有ガス、窒素含有ガス、フッ素含有ガス、または塩素含有ガス、もしくはこれらの2つ以上の組合せを含み得る。別法として、不活性ガスも含まれ得る。例えば、酸素含有ガスは、O、CO、NO、NO、またはCO、もしくはこれらの2つ以上の組合せを含み得るし、窒素含有ガスは、NO、NO、N、またはNF、もしくはこれらの2つ以上の組合せを含み得るし、さらにフッ素含有ガスは、NF、SF、CHF、またはC、もしくはこれらの2つ以上の組合せを含み得る。塩素含有ガスはフッ素含有ガスと同様の組合せを含み得る。
酸素含有ガスに関する流量は、約0sccmから約500sccm、別法として約0sccmから約300sccmまで様々であり得る。窒素含有ガスに関する流量は、約0sccmから約200sccm、別法として約0sccmから約100sccmまで様々であり得る。フッ素含有ガスに関する流量は、約0sccmから約200sccm、別法として約0sccmから約100sccmまで様々であり得る。塩素含有ガスに関する流量は、約0sccmから約200sccm、別法として約0sccmから約100sccmまで様々であり得る。
一実施形態では、フォトレジスト・トリム処理が実行され得る。別法として、フォトレジスト・トリム処理は全く回避されてもよい。フォトレジスト処理も、処理時間およびチャンバ圧のような多様な動作パラメータを含み得る。例えば、処理時間は、約0秒から約180秒、別法として約10秒から約40秒まで様々であり得る。チャンバ圧は約10ミリトールから約120ミリトール、別法として約10ミリトールから約90ミリトールまで様々であり得る。また、上で論じられたように、処理ガスは酸素含有ガス、窒素含有ガス、および/または不活性ガスが含まれ得る。さらに、酸素含有ガスに関する流量は、約0sccmから約500sccm、別法として約0sccmから約300sccmまで様々であり得るし、他方で窒素含有ガスに関する流量は、約0sccmから約1000sccm、別法として約0sccmから約200sccmまで様々であり得る。
RF電力が上部電極に供給され得る、この上部RF電力は、約0ワットから約1500ワット、別法として約100ワットから約300ワットまで様々であり得る。さらには、RF電力は下部電極に供給され得るが、この下部RF電力は、約0ワットから約500ワット、別法として約40ワットから約150ワットまで様々であり得る。
一実施形態では、TERAキャップ・エッチング処理が実行され得る。別法として、このTERAキャップ・エッチング処理は全く回避されてもよい。TERAキャップ・エッチング処理も、処理時間およびチャンバ圧のような多様な動作パラメータを包含し得る。例えば、処理時間は、約0秒から約50秒、別法として約0秒から約18秒まで様々であり得る。チャンバ圧は約10ミリトールから約120ミリトール、別法として約10ミリトールから約90ミリトールまで様々であり得る。
また、上で詳細に論じられたように、処理ガスは酸素含有ガス、窒素含有ガス、フッ素含有ガス、塩素含有ガス、または不活性ガス、もしくはこれらの2つ以上の組合せを含み得る。さらに、酸素含有ガスに関する流量は、約0sccmから約500sccm、別法として約0sccmから約300sccmまで様々であり得る。窒素含有ガスに関する流量は、約0sccmから約200sccm、別法として約0sccmから約100sccmまで様々であり得る。フッ素含有ガスに関する流量は、約0sccmから約200sccm、別法として約0sccmから約100sccmまで様々であり得る。塩素含有ガスに関する流量は、約0sccmから約200sccm、別法として約0sccmから約100sccmまで様々であり得る。
タスク240では、TERA層中の形状構成のサイズが縮小され得る。一実施形態では、TERA層中の形状構成の露出表面は酸化され得るが、このTERA形状構成の酸化された部分の少なくとも一部を除去するために、除去処理が実行され得る。トリミング量が確立され得るが、この酸化処理は正確なトリミング量が実現されるように制御され得る。除去処理中、化学的酸化物除去(COR)処理が実行され得る。別法の実施形態では、酸化処理およびCOR処理は、TERA層中の形状構成のサイズを所定の寸法まで縮小するために何回も実行され得る。
典型的なTERA酸化処理中、処理時間は約0秒から約180秒、別法として約0秒から約18秒まで様々であり得る。チャンバ圧は、約10ミリトールから約300ミリトール、別法として約150ミリトールから約250ミリトールまで様々であり得る。処理ガスは酸素含有ガスを含み得る。別法として、不活性ガスも含まれ得る。酸素含有ガスに関する流量は、約0.0sccmから約500sccm、別法として約150sccmから約300sccmまで様々であり得る。RF電力が上部電極に供給され得るが、この上部RF電力は、約0.0ワットから約1500ワット、別法として約200ワットから約400ワットまで様々であり得る。さらには、RF電力が下部電極に供給され得るが、この下部RF電力は、約0.0ワットから約500ワット、別法として約30ワットから約100ワットまで様々であり得る。
酸化処理中に、TERA層は部分的または全体的に酸化され得る。例えば、約1nmから約5nmの範囲にわたるTERA層が、12秒未満で完全に酸化され得る。COR処理は、酸化されていないTERA材料を除去することはない。COR処理を使用して、当業者によって理解されるように、酸化されたTERA層の全部または一部を除去し得る。
例えば、転送モジュール40、PHTモジュール50、およびCORモジュール60を使用して除去処理を実行し得る。この除去処理は、その処理を実行するためのCORレシピを使用し得るが、このCORレシピは、基材がCORモジュールに転送されるときに開始可能である。基材は、基材保持体の内部に収容されている持上げピンによって受け取られ得るが、この基材は基材保持体まで降下され得る。したがって、この基材は、静電クランプシステムのようなクランプシステムを使用して基材保持体に固定可能であり、さらに熱伝達ガスが基材の背面に供給され得る。
次に、CORレシピを使用して基材の化学処理のための1つまたは複数の化学処理パラメータを設定することが可能であり、これらのパラメータは、化学処理処理圧、化学処理壁温度、化学処理基材保持体温度、化学処理基材温度、化学処理ガス分配システム温度、化学処理処理ガス、または化学処理処理ガス流量、もしくはこれらの2つ以上の組合せを含み得る。次いで、基材は第1の時間の間、化学的に処理され得る。この第1の時間は、例えば、30から360秒の範囲にわたり得る。
次に、基材は化学処置チャンバからPHTモジュール50に転送され得る。その時間の間、基材クランプが解除可能であり、さらに基材背面に対する熱伝達ガスが停止され得る。基材は、基材保持体の内部に収容されている持上げピン組立体を使用して基材保持体から転送平面まで垂直に持ち上げられる。この転送システムは、持上げピンから基材を受け取りかつ基材をPHTモジュールの内部で位置決めし得る。その内部では、基材持上げ組立体が基材を転送システムから受け取りかつ基材を基材保持体まで降下し得る。
次いで、PHTレシピを使用してPHTモジュールによって基材熱処理に関する1つまたは複数の熱処理パラメータを設定することができる。PHTレシピでは、基材が、第2の時間の間、熱処理され得る。例えば、これらの1つまたは複数のパラメータは、熱処理壁温度、熱処理上部組立体温度、熱処理基材温度、熱処理基材保持体温度、熱処理基材温度、熱処理処理圧、熱処理処理ガス、または熱処理処理ガス流量、もしくはこれらの2つ以上の組合せを含み得る。第2の時間は、例えば、30から360秒の範囲にわたり得る。
典型的な処理では、処理システム30は、酸化されたTERA膜をトリミングするための化学的酸化物除去(COR)システムを含み得る。処理システム30は、基材上の酸化された表面層のような露出表面層を化学的に処理するためのCORモジュール50を含み得るが、それによって露出表面上の処理化学的性質の脱着が表面層の化学的変更に影響を与える。さらには、処理システム30は、基材を熱処理するためのPHTモジュール60を含み得るが、それによって基材上の化学的変更を受けた露出表面を脱着(または蒸発)させるために基材温度が高められる。
一実施形態では、CORモジュールはHFおよびNHを含有する処理ガスが使用可能であり、その処理圧は約1から約100ミリトールの範囲にわたり得るが、例えば、約2から約25ミリトールの範囲にわたり得る。処理ガス流量は、各化学種に関して約1から約200sccmの範囲にわたり得るが、例えば、10から100sccmの範囲にわたり得る。さらには、実質的に均一な圧力場が実現され得る。さらには、CORモジュールチャンバは、30℃から100℃の範囲にわたる温度まで加熱され得るが、例えば、この温度は約40℃であり得る。さらには、ガス分配システムは、約40℃から約100℃の範囲にわたる温度まで加熱され得るが、例えば、この温度は約50℃であり得る。基材は、約10℃から約50℃の範囲にわたる温度に維持され得るが、例えば、基材温度は約20℃であり得る。
さらには、PHTモジュール50では、熱処理チャンバは、約50℃から約100℃の範囲にわたる温度まで加熱され得るが、例えば、この温度は約80℃であり得る。さらには、上部組立体は、約50℃から約100℃の範囲にわたる温度まで加熱され得るが、例えば、この温度は約80℃であり得る。基材は約100℃を超える温度まで加熱され得る。別法として、基材は約100℃から200℃の範囲内で加熱され得るが、例えば、この温度は約135℃であり得る。
本明細書で説明されるCORおよびPHT処理は、酸化されたTERAに関して毎60秒当たり約10nmを超える化学処理で、露出酸化表面のエッチング量をもたらし得る。これらの処理は、基材全体にわたって約2.5パーセント未満のエッチングのばらつきももたらし得る。
図3A〜3Fは、本発明の実施形態に係る基材処理方法の簡略化された模式図を例示する。図3Aには、一部が処理された半導体デバイスの簡略化された模式図が示されている。例示された実施形態では、この半導体デバイスは、フォトレジスト現像処理およびエッチング処理を利用して処理された。基材層310が示されており、この基材層は、珪素(Si)、ゲルマニウム(Ge)、またはガリウムヒ素(GaAs)、もしくはこれらの2つ以上の組合せを含み得る。追加層320が基材層310の上に示されている。この追加層は1つまたは複数の層を含み得るが、各層は、酸化物、金属、または誘電材料、もしくはこれらの2つ以上の組合せを含み得る。
TERA層330が追加層の上に示されており、このTERA層はTERA形状構成332を含み得る。さらには、フォトレジスト層340がTERA層330の上に示されており、このフォトレジスト層340はフォトレジスト形状構成342を含み得る。例えば、フォトレジスト形状構成342は、フォトレジスト層が現像されるときに作製され得るが、TERA層形状構成332は、エッチング処理を利用してフォトレジスト形状構成342がTERA層330の中へ転写されるときに作製され得る。
図3Bには、部分的に処理された半導体デバイスの別の簡略化された模式図が示されている。例示の実施形態では、この半導体デバイスはエッチング処理を利用して処理された。形状構成332が、エッチング処理を利用してフォトレジスト形状構成342を転写することによってTERA層330Aの中に創出された。基材層310が示されており、この基材層は、珪素(Si)、ゲルマニウム(Ge)、またはガリウムヒ素(GaAs)、もしくはこれらの2つ以上の組合せを含み得る。追加層320が基材層310の上に示されている。この追加層は1つまたは複数の層を含み得るが、各層は、酸化物、金属、または誘電材料、もしくはこれらの2つ以上の組合せを含み得る。
処理された(エッチングされた)TERA層330Aが追加層の上に示されており、この処理されたTERA層330Aは形状構成332を含み得る。さらには、フォトレジスト層340が、処理されたTERA層330Aの上に示されており、このフォトレジスト層340はフォトレジスト形状構成342を含み得る。
図3Cには、一部が処理された半導体デバイスの別の簡略化された模式図が示されている。例示された実施形態では、この半導体デバイスは酸化処理を利用して処理された。フォトレジスト形状構成は酸化(灰化)処理によって除去され、酸化された領域333および335が、TERA層330Bの中のTERA形状構成332の中に創出された。TERA形状構成の側面上の酸化された領域333は、TERA形状構成の頂面上の酸化された領域335とは異なる厚みを有し得る。例えば、TERA層の頂面部分は、TERA層の他の部分よりもエッチングに対して高い耐性を有するキャップ部分を含み得る。
図3Cには、基材層310が示されており、この基材層は珪素(Si)、ゲルマニウム(Ge)、またはガリウムヒ素(GaAs)、もしくはこれらの2つ以上の組合せを含み得る。追加層320が基材層310の上に示されている。この追加層は1つまたは複数の層を含み得るが、各層は、酸化物、金属、または誘電材料、もしくはこれらの2つ以上の組合せを含み得る。処理されたTERA層330Bが追加層の上に示されており、この処理されたTERA層330Bは、酸化された領域333および335を有する形状構成332を含み得る。
図3Dには、一部が処理された半導体デバイスの別の簡略化された模式図が示されている。例示された実施形態では、この半導体デバイスはCOR処理を利用して処理された。酸化された領域は、COR処理を利用してTERA形状構成の酸化された領域を除去することによって除去され、TERA層330Cの中に縮小されたTERA形状構成337を創出した。基材層310が示されており、この基材層は珪素(Si)、ゲルマニウム(Ge)、またはガリウムヒ素(GaAs)、もしくはこれらの2つ以上の組合せを含み得る。追加層320が基材層310の上に示されている。この追加層は1つまたは複数の層を含み得るが、各層は、酸化物、金属、または誘電材料、もしくはこれらの2つ以上の組合せを含み得る。処理されたTERA層330Cが追加層の上に示されており、この処理されたTERA層330Cは、縮小されたサイズのTERA形状構成337を含み得る。
図3Eには、一部が処理された半導体デバイスの別の簡略化された模式図が示されている。例示された実施形態では、半導体デバイスはエッチング処理を利用して処理され、追加層320中の1つまたは複数の層が、縮小されたサイズのTERA形状構成337をマスクとして使用してエッチングされた。この縮小されたサイズのTERA形状構成337はマスク形状構成として使用可能であり、ドライエッチング処理および/またはウエットエッチング処理が実行され得る。基材層310が示されており、この基材層は珪素(Si)、ゲルマニウム(Ge)、またはガリウムヒ素(GaAs)、もしくはこれらの2つ以上の組合せを含み得る。
処理された(エッチングされた)追加層320Aが基材層310の上に示されている。この処理された(エッチングされた)追加層320Aは、ビアホール324および追加層形状構成322を含み得る。追加層形状構成322は1つまたは複数の層を含み得るが、各層は、酸化物、金属、または誘電材料、もしくはこれらの2つ以上の組合せを含み得る。処理された(一部がエッチングされた)TERA層330Cが追加層の上に示されており、この処理された(一部がエッチングされた)TERA層330Cは、縮小されたサイズのTERA形状構成337を含み得る。例えば、この追加層形状構成は窒化物層およびドーピングされたポリ層を含み得る。
図3Fには、一部が処理された半導体デバイスの別の簡略化された模式図が示されている。例示された実施形態では、この半導体デバイスは除去処理を利用して処理されており、縮小されたサイズのTERA形状構成337が除去された。基材層310が示されており、この基材層は珪素(Si)、ゲルマニウム(Ge)、またはガリウムヒ素(GaAs)、もしくはこれらの2つ以上の組合せを含み得る。処理された(エッチングされた)追加層320Aが基材層310の上に示されている。この処理された(エッチングされた)追加層320Aは、ビアホール324および追加層形状構成322を含み得る。追加層形状構成322は1つまたは複数の層を含み得るが、各層は、酸化物、金属、または誘電材料、もしくはこれらの2つ以上の組合せを含み得る。このような様態で、縮小されたサイズの形状構成が追加層の中に創出されており、より小さい臨界寸法(ゲート幅)が実現され得る。一実施形態では、更なる処理が実行され得る。
図4A〜4Gは、本発明の別の実施形態に係る基材処理方法の簡略化された模式図を例示する。
図4Aには、一部が処理された半導体デバイスの簡略化された模式図が示されている。例示された実施形態では、この半導体デバイスは、ハードマスク現像処理を利用して処理された。基材層410が示されており、この基材層は珪素(Si)、ゲルマニウム(Ge)、またはガリウムヒ素(GaAs)、もしくはこれらの2つ以上の組合せを含み得る。追加層420が基材層410の上に示されている。この追加層は1つまたは複数の層を含み得るが、各層は、酸化物、金属、または誘電材料、もしくはこれらの2つ以上の組合せを含み得る。TERA層430が追加層の上に示されており、このTERA層はハードマスクとして使用され得る。さらには、ハードマスク層440がTERA層430の上に示されており、このハードマスク層440はハードマスク形状構成442を含み得る。例えば、このハードマスク形状構成442は、フォトレジスト層(図示せず)を使用して作製され得る。
図4Bには、一部が処理された半導体デバイスの簡略化された模式図が示されている。例示された実施形態では、この半導体デバイスは、エッチング処理を利用して処理された。形状構成432が、エッチング処理を利用してハードマスク形状構成442を転写することによってTERA層430Aの中に創出された。基材層410が示されており、この基材層は珪素(Si)、ゲルマニウム(Ge)、またはガリウムヒ素(GaAs)、もしくはこれらの2つ以上の組合せを含み得る。
追加層420が基材層410の上に示されている。この追加層は1つまたは複数の層を含み得るが、各層は、酸化物、金属、または誘電材料、もしくはこれらの2つ以上の組合せを含み得る。さらには、フォトレジスト層440が、処理されたTERA層430Aの上に示されており、このフォトレジスト層440はフォトレジスト形状構成442を含み得る。
図4Cには、一部が処理された半導体デバイスの簡略化された模式図が示されている。例示された実施形態では、この半導体デバイスは、酸化処理を利用して処理された。酸化された領域435は、酸化処理を利用してTERA形状構成432の露出表面を酸化することによって、TERA層430Bの中のTERA形状構成432の中に創出された。基材層410が示されており、この基材層は珪素(Si)、ゲルマニウム(Ge)、またはガリウムヒ素(GaAs)、もしくはこれらの2つ以上の組合せを含み得る。
追加層420が基材層410の上に示されている。この追加層は1つまたは複数の層を含み得るが、各層は、酸化物、金属、または誘電材料、もしくはこれらの2つ以上の組合せを含み得る。処理されたTERA層430Bが追加層の上に示されており、この処理されたTERA層430Bは、酸化された領域435を有する形状構成432を含み得る。さらには、フォトレジスト層440が、処理されたTERA層430Bの上に示されており、このフォトレジスト層440はフォトレジスト形状構成442を含み得る。
図4Dには、一部が処理された半導体デバイスの簡略化された模式図が示されている。例示された実施形態では、この半導体デバイスは、COR処理を利用して処理された。酸化された領域はCOR法を使用して除去され得るが、それによってTERA層430Cの中に縮小されたサイズのTERA形状構成437を創出する。別法として、別の実質的に横方向のエッチング処理が実行され得るが、そこでは酸化された領域435が除去されて、TERA層430Cの中に縮小されたTERA形状構成437を創出し得る。基材層410が示されており、この基材層は珪素(Si)、ゲルマニウム(Ge)、またはガリウムヒ素(GaAs)、もしくはこれらの2つ以上の組合せを含み得る。
追加層420が基材層410の上に示されている。この追加層は1つまたは複数の層を含み得るが、各層は、酸化物、金属、または誘電材料、もしくはこれらの2つ以上の組合せを含み得る。処理された(横方向にエッチングされた)TERA層430Cが追加層の上に示されており、この処理された(横方向にエッチングされた)TERA層430Cは、縮小されたサイズのTERA形状構成437を含み得る。さらには、ハードマスク形状構成が、この縮小されたサイズのTERA形状構成437の上に示され得る。
図4Eには、一部が処理された半導体デバイスの簡略化された模式図が示されている。例示された実施形態では、この半導体デバイスは、除去処理を利用して処理されており、ハードマスク形状構成442は除去されている。ハードマスク形状構成は、灰化処理、ドライエッチング処理、またはウエットエッチング処理、もしくはこれらの2つ以上の組合せを使用して除去され得る。基材層410が示されており、この基材層は珪素(Si)、ゲルマニウム(Ge)、またはガリウムヒ素(GaAs)、もしくはこれらの2つ以上の組合せを含み得る。
追加層420が基材層410の上に示されている。この追加層は1つまたは複数の層を含み得るが、各層は、酸化物、金属、または誘電材料、もしくはこれらの2つ以上の組合せを含み得る。処理された(横方向にエッチングされた)TERA層430Cが追加層の上に示されており、この処理された(横方向にエッチングされた)TERA層430Cは、縮小されたサイズのTERA形状構成437を含み得る。図4Eでは、ハードマスク形状構成が、この縮小されたサイズのTERA形状構成437の上表面から除去されている。
図4Fには、一部が処理された半導体デバイスの簡略化された模式図が示されている。例示された実施形態では、この半導体デバイスは、エッチング処理を利用して処理されており、追加層420は、縮小されたサイズのTERA形状構成437をマスクとして使用してエッチングされた。この縮小されたサイズのTERA形状構成437は、マスク形状構成として使用可能であり、ドライエッチング処理および/またはウエットエッチング処理が実行され得る。基材層410が示されており、この基材層は珪素(Si)、ゲルマニウム(Ge)、またはガリウムヒ素(GaAs)、もしくはこれらの2つ以上の組合せを含み得る。
処理された(エッチングされた)追加層420Aが基材層410の上に示されている。この処理された(エッチングされた)追加層420Aは、ビア424および追加層形状構成422を含み得る。追加層形状構成422は1つまたは複数の層を含み得るが、各層は、酸化物、金属、または誘電材料、もしくはこれらの2つ以上の組合せを含み得る。処理された(横方向にエッチングされた)TERA層430Cが追加層の上に示されており、この処理された(横方向にエッチングされた)TERA層430Cは、縮小されたサイズのTERA形状構成437を含み得る。例えば、追加層形状構成は窒化物層およびドーピングされたポリ層を含み得る。
図4Gには、一部が処理された半導体デバイスの別の簡略化された模式図が示されている。
例示された実施形態では、この半導体デバイスは除去処理を利用して処理されており、縮小されたサイズのTERA形状構成437は除去されている。基材層410が示されており、この基材層は珪素(Si)、ゲルマニウム(Ge)、またはガリウムヒ素(GaAs)、もしくはこれらの2つ以上の組合せを含み得る。処理された(エッチングされた)追加層420Aが基材層410の上に示されている。この処理された(エッチングされた)追加層420Aは、ビアホール424および追加層形状構成422を含み得る。追加層形状構成422は1つまたは複数の層を含み得るが、各層は、酸化物、金属、または誘電材料、もしくはこれらの2つ以上の組合せを含み得る。このような様態で、縮小されたサイズの形状構成が追加層の中に創出可能であり、より小さい臨界寸法(ゲート幅)が実現され得る。
図5は、本発明の実施形態に係るPECVDシステムの簡略化されたブロック図を例示する。例示された実施形態では、PECVDシステム500は、処理チャンバ510、容量結合プラズマ源の一部としての上部電極540、シャワープレート組立体520、基材535を支持するための基材保持体530、圧力制御システム580、および制御装置590を含む。
一実施形態では、PECVDシステム500は、弁578を使用して処理チャンバ510に結合され得る遠隔プラズマシステム575を含み得る。別の実施形態では、遠隔プラズマシステムおよび弁が具備されていない。
一実施形態では、PECVDシステム500は、処理チャンバ510に結合され得る圧力制御システム580を含み得る。例えば、この圧力制御システム580は、スロットル弁(図示せず)およびターボ分子ポンプ(TMP)(図示せず)を含むことが可能であり、処理チャンバ510内に制御された圧力を供給し得る。別法の実施形態では、この圧力制御システム580はドライポンプ(図示せず)を含み得る。例えば、チャンバ圧は約0.1ミリトールから約100ミリトールの範囲にわたり得る。別法として、チャンバ圧は約0.1ミリトールから約20ミリトールの範囲にわたり得る。
処理チャンバ510は、処理空間502内のプラズマの形成を促進し得る。PECVDシステム500は、200mm基材、300mm基材、またはより大きな基材など、任意のサイズの基材を処理するように構成され得る。別法として、PECVDシステム500は、1つまたは複数の処理チャンバ内でプラズマを生成することによって動作可能である。
PECVDシステム500は、処理チャンバ510に結合されたシャワープレート組立体520を備える。このシャワープレート組立体520は基材保持体530に対向して取り付けられ得る。シャワープレート組立体520は、中心領域522、縁領域524、および副領域526を含む。遮蔽リング528を使用してシャワープレート組立体520を処理チャンバ510に結合することができる。
中心領域522は、第1の処理ガス配管523によってガス供給システム531に結合される。縁領域524は、第2の処理ガス配管525によってガス供給システム531に結合される。副領域526は、第3の処理ガス配管527によってガス供給システム531に結合される。
ガス供給システム531は、第1の処理ガスを中心領域522に供給し、第2の処理ガスを縁領域524に供給し、さらに第3の処理ガスを副領域526に供給する。ガスの化学的性質および流量は、これらの領域に対して個々に制御され得る。別法として、中心領域522および縁領域524は単一の主要領域として一体に結合可能であり、ガス供給システム531は、この主要領域に第1の処理ガスおよび/または第2の処理ガスを供給することができる。別法の実施形態では、これらの領域のいずれかが一体に結合され、ガス供給システム531は、適切であれば、1つまたは複数の処理ガスを供給することができる。
ガス供給システム531は、前駆物質を供給するために少なくとも1つの蒸発器(図示せず)を含み得る。別法として、蒸発器は必要ない。別法の実施形態では、泡立てシステムが使用され得る。
PECVDシステム500は、シャワープレート組立体520に、また処理チャンバ510にも結合され得る上部電極540を含む。この上部電極540は温度制御要素542を含み得る。上部電極540は、第1の整合回路網544を使用して第1のRF源546に結合され得る。当業者によって理解されるように、第1の整合回路網544は、第1のRF源546と上部電極540との間に設けられる必要はない。
第1のRF源546はTRF(同調無線周波数)信号を上部電極540に送信し、第1のRF源546は約0.1MHzから約200MHzの周波数域内で動作可能である。このTRF信号は、約1MHzから約100MHzの周波数域内に、または別法として約2MHzから約60MHzの周波数域内にあり得る。第1のRF源546は約0ワットから約10000ワットの電力域内で動作可能であるか、または別法として第1のRF源546は約0ワットから約5000ワットの電力域内で動作可能である。
上部電極540およびRF源546は、容量結合プラズマ源の一部である。この容量結合プラズマ源は、誘導結合プラズマ(ICP)源、変成器結合プラズマ(TCP)源、マイクロ波プラズマ源、電子サイクロトロン共鳴(ECR)プラズマ源、ヘリコン波プラズマ源、および表面波プラズマ源によって置き換えられてもよいし、または増強されてもよい。当業者でよく知られているように、上部電極540は、様々な適切なプラズマ源において排除されもよいし、または再構成されてもよい。
基材535は、例えば、スロット弁(図示せず)およびチャンバ貫通部品(図示せず)を介して基材転送システム(図示せず)によって処理チャンバ510の中へ、かつそこから転送され得るが、この基材は基材保持体530によって受け取られ、かつこの保持体に結合された装置によって機械的に並進され得る。一旦、基材535が基材転送システムから受け取られると、基材535は、結合組立体552によって基材保持体530に結合され得る並進装置550を使用して持上げおよび/または降下され得る。
基材535は、静電クランプシステムによって基材保持体530に保持または固定され得る。例えば、静電クランプシステムは電極516およびESC(静電チャック)電源556を含み得る。例えば、約−2000Vから約+2000Vの範囲にわたり得るクランプ電圧が、クランプ電極516に供給され得る。別法として、クランプ電圧は約−1000Vから約+1000Vの範囲にわたり得る。別法の実施形態では、ESCシステムおよびESC電源556は必要ない。
基材保持体530は、基材535を基材保持体530の表面へ、かつ/またはそれから降下および/もしくは持ち上げるための持上げピン(図示せず)を含み得る。別法の実施形態では、当業者によって理解されるように、異なる持上げ装置が基材保持体530の中に設けられ得る。別法の実施形態では、ガスが、例えば、基材535と基材保持体530との間のガスギャップ熱伝導係数を向上させるために、背面ガスシステムによって基材535の背面に送達され得る。
温度制御システムも設けられ得る。このようなシステムは、基材535の温度制御が高いまたは低い温度で必要なときに利用され得る。例えば、抵抗加熱要素のような加熱要素532、または熱電加熱器/冷却器が具備され得るが、基材保持体530は熱交換システム534をさらに具備し得る。加熱要素532は加熱器供給源558に結合され得る。熱交換システム534は、基材保持体530から熱を受け取り、その熱を熱交換器システム(図示せず)に伝達するか、または加熱時に、熱を熱交換器システムから基材保持体530に伝達する再循環冷媒流路を具備し得る。
また、電極516が、第2の整合回路網562を使用して第2のRF源560に結合され得る。別法として、この第2の整合回路網562は必要ない。
第2のRF源560は底部RF信号(BRF)を下部電極516に供給し、第2のRF源560は約0.1MHzから約200MHzの周波数域内で動作可能である。BRF信号は約0.2MHzから約30MHzの周波数域内に、または別法として、約0.3MHzから約15MHzの周波数域内にあり得る。第2のRF源560は、約0.0ワットから約1000ワットの電力域内で動作可能であるか、または別法として、第2のRF源560は約0.0ワットから約500ワットの電力域内で動作可能である。様々な実施形態では、下部電極516は使用されなくてもよいし、またはチャンバ510内部の唯一のプラズマ源であってもよいし、または任意の追加的なプラズマ源を増強してもよい。
PECVDシステム500は、蛇腹554によって処理チャンバ510に結合され得る並進装置550をさらに含み得る。また、結合組立体552が、この並進装置550を基材保持体530に結合し得る。蛇腹554は、垂直の並進装置550を処理チャンバ510外部の環境から封止するように構成される。
並進装置550は、可変ギャップ504がシャワープレート組立体520と基材535との間に確立されることを可能にする。ギャップ504は約10mmから約200mmの範囲にわたり得るが、別法として、ギャップ504は約20mmから約80mmの範囲にわたり得る。ギャップ504は固定された状態に留まり得るか、またはギャップ504は蒸着工程時に変更され得る。
さらには、基材保持体530は焦点リング506およびセラミック・カバー508をさらに含み得る。別法として、当業者によって理解されるように、焦点リング506および/またはセラミック・カバー508は具備される必要がない。
少なくとも1つのチャンバ壁512が、この壁を保護するために被覆514を含み得る。例えば、被覆514はセラミック材料を含み得る。別法の実施形態では、この被覆514は必要ない。さらには、セラミック遮蔽体(図示せず)が処理チャンバ510内部に使用され得る。
さらには、温度制御システムを使用してチャンバ壁512温度を制御することができる。例えば、温度を制御するために、ポートがチャンバ壁512の中に設けられ得る。チャンバ壁512温度は、処理がチャンバ510内に実行されている間、相対的に一定に維持され得る。
また、温度制御システムを使用して上部電極540の温度を制御することができる。温度制御要素542を使用して上部電極540の温度を制御することができる。上部電極540の温度は、処理がチャンバ510内で実行されている間、相対的に一定に維持され得る。
さらには、PECVDシステム500は、チャンバ510の洗浄に使用され得る遠隔プラズマシステム575も含み得る。
さらには、PECVDシステム500は、汚染および/またはチャンバ510の洗浄を制御するために使用され得る浄化システム(図示せず)も含み得る。
別法の実施形態では、処理チャンバ510は、例えば、監視ポート(図示せず)をさらに含み得る。この監視ポートは、例えば、処理空間502の光学的な監視を許容し得る。
PECVDシステム500は制御装置590も含む。この制御装置590はチャンバ510、シャワープレート組立体520、基材保持体530、ガス供給システム531、上部電極540、第1のRF整合回路544、第1のRF源546、並進装置550、ESC電源556、加熱器供給源558、第2のRF整合回路562、第2のRF源560、浄化システム595、遠隔プラズマ装置575、および圧力制御システム580に結合され得る。制御装置590は、これらの構成要素に制御データを供給し、かつこれらの構成要素からの処理データのようなデータを受け取るように構成され得る。例えば、制御装置590は、マイクロプロセッサと、メモリと、処理システム500に対する入力を通信および活性化するばかりでなく、PECVDシステム500からの出力を監視するのに十分な制御電圧を生成できるデジタル入/出力ポートとを含み得る。
さらには、制御装置590は情報をシステム構成要素と交換することができる。また、メモリ中に格納されたプログラムを利用して、処理レシピに従ってPECVDシステムシステム500の前述の構成要素を制御することもできる。さらには、制御装置590は、処理データを分析し、処理データを目標処理データと比較し、さらにこのような比較を利用して処理を変更しかつ/または蒸着ツールを制御するように構成され得る。また、制御装置590は、処理データを分析し、処理データを履歴処理データと比較し、さらにこのような比較を利用して欠陥を予測し、防止し、かつ/または宣告するように構成され得る。
TERA層の蒸着時、基材535は並進可能な基材保持体530の上に配置され得る。例えば、この並進可能な基材保持体530を使用して、上部電極540表面と並進可能な基材保持体530表面との間のギャップを確立することができる。このギャップ504は、約10mmから約200mmの範囲にわたり得るが、別法として、ギャップ504は約20mmから約80mmの範囲にわたり得る。別法の実施形態では、ギャップ540は変更され得る。
TERA層の蒸着工程時、TRF信号が、第1のRF源544を使用して上部電極540に供給され得る。例えば、第1のRF源544は約0.1MHzから約200MHzの周波数域内で動作可能である。別法として、第1のRF源544は約1MHzから約100MHzの周波数域内で動作可能であるか、または第1のRF源544は約2MHzから約60MHzの周波数域内で動作可能である。第1のRF源544は約10ワットから約10000ワットの電力域内で動作可能であるか、または別法として、第1のRF源544は約10ワットから約5000ワットの電力域内で動作可能である。
また、TERA層蒸着工程時に、BRF信号が、第2のRF源560を使用して下部電極530に供給され得る。例えば、第2のRF源560は約0.1MHzから約200MHzの周波数域内で動作可能である。別法として、第2のRF源560は約0.2MHzから約30MHzの周波数域内で動作可能であるか、または第2のRF源は約0.3MHzから約15MHzの周波数域内で動作可能である。第2のRF源560は約0.0ワットから約1000ワットの電力域内で動作可能であるか、または別法として、第2のRF源560は約0.0ワットから約500ワットの電力域内で動作可能である。別法の実施形態では、BRF信号は必要ない。
さらには、処理ガスが、シャワープレート組立体520を使用して処理チャンバ510に供給され得る。例えば、処理ガスは、珪素含有前駆物質、炭素含有前駆物質、または酸素含有ガス、もしくはこれらの2つ以上の組合せを含み得る。不活性ガスも含まれ得る。例えば、珪素含有前駆物質および炭素含有前駆物質に関する流量は約0sccmから約5000sccmの範囲にわたり得るが、不活性ガスに関する流量は約0sccmから10000sccmの範囲にわたり得る。珪素含有前駆物質は、モノシラン(SiH)、テトラエチルオルソシリケート(TEOS)、モノメチルシラン(1MS)、ジメチルシラン(2MS)、トリメチルシラン(3MS)、テトラメチルシラン(4MS)、オクタメチルシクロテトラシロキサン(OMCTS)、ジメチルジメトキシシラン(DMDMOS)、またはテトラメチルシクロテトラシラン(TMCTS)、もしくはこれらの2つ以上の組合せを含み得る。炭素含有前駆物質は、CH、C、C、C、またはCOH、もしくはこれらの2つ以上の組合せを含み得る。不活性ガスは、アルゴン、ヘリウム、または窒素、もしくはこれらの2つ以上の組合せを含み得る。例えば、酸素含有ガスは、O、CO、NO、NO、またはCO、もしくはこれらの2つ以上の組合せを含み得るが、その流量は約0sccmから約10000sccmの範囲にわたり得る
TERA層は、248nm、193nm、または157nmの少なくとも1つの波長で測定されるときに約1.5から約2.5の範囲にわたる屈折率(n)を有し、かつ248nm、193nm、または157nmの少なくとも1つの波長で測定されるときに約0.10から約0.9の範囲にわたる吸光率(k)を有する材料を含み得る。例えば、TERA層はSiCOH材料またはSiCH材料、もしくはこれらの組合せを含み得る。TERA層は約30nmから約500nmの範囲にわたる厚みを含み得るが、その蒸着速度は約100Å/分から約10000Å/分の範囲にわたり得る。TERA層は、異なるエッチング耐性および/または光学特性を有する1つまたは複数の層を含み得る。
さらには、チャンバ圧および基材温度は、TERA層の蒸着時に制御され得る。例えば、チャンバ圧は約0.1ミリトールから約100.0ミリトールの範囲にわたり得るが、基材温度は約0℃から約500℃の範囲にわたり得る。
図6は、本発明の実施形態に係る処理システム600に関する簡略化されたブロック図を例示する。例示された実施形態では、基材642の化学処理および熱処理を実行するための処理システム600が提示されている。処理システム600は、化学処理システム610と、この化学処理システム610に結合された熱処理システム620とを含む。化学処理システム610は、温度制御され得る化学処理チャンバ611を含む。熱処理システム620は、温度制御され得る熱処理チャンバ621を含む。化学処理チャンバ611および熱処理チャンバ621は、断熱組立体630を使用して相互に熱絶縁され、かつゲート弁組立体696を使用して相互に真空隔離され得る。
図6に例示されているように、化学処理システム610は、化学処理チャンバ611から実質的に熱隔離されるように構成され、かつ基材642を支持するように構成された温度制御式の基材保持体640をさらに含む。真空ポンプシステム650が、化学処理チャンバ611を排気するために、化学処理チャンバ611に結合されている。ガス分配システム660も、処理ガスを化学処理チャンバ611内部の処理空間662の中へ導入するために、化学処理チャンバ611に連結されている。
また、熱処理システム620は、熱処理チャンバ621内部に取り付けられた温度制御式の基材保持体670をさらに含む。基材保持体670は、熱処理チャンバ621から実質的に熱絶縁されるように構成され、かつ基材642’を支持するように構成される。真空ポンプシステム680を使用して熱処理チャンバ621を排気する。基材持上げ組立体690が熱処理チャンバ621に結合される。この持上げ組立体690は、保持平面(実線)と基材保持体670(点線)との間で、すなわち、これらの間に位置する転送平面に、基材642”を垂直に並進し得る。熱処理チャンバ621は上部組立体684をさらに含み得る。
さらには、化学処理チャンバ611、熱処理チャンバ621、および断熱組立体630は、基材642が通過して転送され得る共通開口部694を画定する。処理中、共通開口部694は、2つのチャンバ611、621内で別個に処理できるようにゲート弁組立体696を使用して封止閉鎖され得る。さらには、転送開口部698が、図1に例示されたように基材を転送システムと交換できるように熱処理チャンバ621内部に形成され得る。例えば、第2の断熱組立体631が、熱処理チャンバ621を転送システム(図示せず)から熱絶縁するために実施され得る。開口部698は熱処理チャンバ621の一部として例示されているけれども、この転送開口部698は化学処理チャンバ611の内部に形成され、熱処理チャンバ621の内部に形成されていなくてもよいし、または転送開口部698は化学処理チャンバ611および熱処理チャンバ621の両方の内部に形成されてもよい。
図6に例示されているように、化学処理システム610は、基材642を熱的に制御しかつ処理するための幾つかの作用的な機能を与えるために、基材保持体640および基材保持体組立体644を含む。基材保持体640および基材保持体組立体644は、基材642を基材保持体640に電気的に(または機械的に)固締するために静電式クランプシステム(または機械式クランプシステム)を含み得る。さらには、基材保持体640は、例えば、熱を基材保持体640から受け取って熱を熱交換器システム(図示せず)に移転するか、または加熱しているときに、熱を熱交換器システムから移転する再循環冷媒流を有する冷却システムをさらに具備し得る。
さらには、熱伝達ガスは、例えば、基材642と基材保持体640との間のガスギャップ熱伝導係数を向上させるために、背面ガスシステムによって基材642の背面に送達され得る。例えば、基材642の背面に供給された熱伝達ガスは、ヘリウム、アルゴン、キセノン、クリプトンのような不活性ガス;処理ガス;または酸素、窒素、もしくは水素のような他のガスを含み得る。このようなシステムは、基材642の温度制御が高いまたは低い温度で必要なときに利用され得る。例えば、背面ガスシステムは、2域(中心/縁)システムのような多域分配システムを含み得るが、そこでは背面ガスギャップ圧が、基材642の中心と縁と間で別個に変更され得る。他の実施形態では、抵抗加熱要素のような加熱/冷却要素、または熱電加熱器/冷却器が、基材保持体640の中ばかりでなく、化学処理チャンバ611のチャンバ壁の中にも具備され得る。
また、基材保持体640は、基材642を基材保持体640の上表面および処理システム600中の転送平面に、かつこれらから垂直に並進するために、3つ以上の持上げピン(図示せず)を上昇および下降させ得る持上げピン組立体(図示せず)をさらに含むことができる。
さらには、温度制御式の基材保持体649の温度は、熱電対(例えば、K型熱電対、Ptセンサ等)のような温度検知装置(図示せず)を使用して監視され得る。さらには、制御装置が、基材保持体640の温度を制御するために、温度測定を基材保持体640に対するフィードバックとして利用することができる。例えば、基材保持体640の温度および/または基材642の温度の変化に影響を与えるために、流体流量、流体温度、熱伝達ガス種類、熱伝達ガス圧、クランプ力、抵抗加熱器要素電流もしくは電圧、熱電装置電流もしくは極性、またはこれらの2つ以上の組合せが調整され得る。
再び図6を参照すると、化学処理システム610がガス分配システム660を含む。一実施形態では、ガス分配システム660はシャワーヘッド・ガス噴射システム(図示せず)を含み得る。このガス分配システム660は、化学処理チャンバ611内部の処理空間662に処理ガスを分配するために、1つまたは複数のガス分配口をさらに含み得る。さらには、この処理ガスは、例えば、NH、HF、H、O、CO、CO、Ar、He等を含み得る。
図6に示されているように、化学処理システム620は、高い温度に維持される温度制御式の化学処理チャンバ611をさらに含む。例えば、壁加熱要素666が壁温度制御ユニット668に結合可能であり、この壁加熱要素666は化学処理チャンバ611に結合するように構成され得る。加熱要素666は、例えば、タングステン、ニッケル−クロム合金、アルミニウム−鉄合金、窒化アルミニウム等のフィラメントのような抵抗加熱器要素を含み得る。抵抗加熱要素を製造するための市販されている材料の例には、カンタル(Kanthal)、ニクロタル(Nikrothal)、アクロタル(Akrothal)が含まれるが、これらは米国コネチカット州ベセル市(Bethel)のカンタル社(Kanthal Corporation)によって製造された金属合金に対する登録商標名である。カンタル(Kanthal)の仲間にはフェライト系合金(FeCrAl)が含まれ、ニクロタル(Nikrothal)の仲間にはオーステナイト系合金(NiCr、NiCrFe)が含まれる。
電流がフィラメントを通って流れるとき、電力が熱として散逸され、したがって、壁温度制御ユニット668は、例えば、制御可能なDC電源を含み得る。例えば、壁加熱要素666が、ワトロー社(Watlow)(60510米国イリノイ州バテーヴィア市(Batavia)キングスランド Dr.1310)から市販されている少なくとも1つのファイヤロッド(Firerod)カートリッジ加熱器が含まれ得る。冷却要素も化学処理チャンバ611で使用され得る。化学処理チャンバ611の温度は、熱電対(例えば、K型熱電対、Ptセンサ等)のような温度検知装置を使用して監視され得る。さらには、制御装置が、化学処理チャンバ611の温度を制御するために、温度測定を壁温度制御ユニット668に対するフィードバックとして利用することができる。
再び図6を参照すると、化学処理システム610は、任意の選択温度に維持され得る温度制御式のガス分配システム660をさらに含み得る。
さらには、図6には、チャンバ圧を絞るための真空ポンプ652およびゲート弁564を含み得る真空ポンプシステム650が示されている。真空ポンプ652は、例えば、毎秒5000リットル(以上)に達するポンプ速度が可能なターボ分子真空ポンプ(TMP)を具備し得る。例えば、TMPは、セイコー(Seiko)STP−A803真空ポンプ、またはエバラ(Ebara)ET1301W真空ポンプであり得る。TMPは低圧の、典型的には50ミリトール未満の処理に有用である。高圧(すなわち、100ミリトールを超える)または低処理能力の処理(すなわち、ガス流が存在しない)では、機械式加圧ポンプおよびドライ荒引きポンプが使用され得る。
一実施形態では、処理システム600は、図1の制御装置90のような制御装置を使用して制御され得る。別法の実施形態では、処理システム600は、化学処理システム610および熱処理システム620に結合され得る制御装置(図示せず)を含み得る。例えば、この制御装置は、プロセッサと、メモリと、化学処理システム610ばかりでなく、熱処理システム620とも情報交換できるデジタル入/出力ポートとを含み得る。
図6に示されているように、熱処理システム620は、温度制御式の基材保持体670をさらに含む。基材保持体670は、この保持体の中に埋め込まれた加熱要素676と、この保持体に結合された基材保持体温度制御ユニット678とをさらに含み得る。加熱要素676は、例えば、タングステン、ニッケル−クロム合金、アルミニウム−鉄合金、窒化アルミニウム等のフィラメントのような抵抗加熱器要素を含み得る。抵抗加熱要素を製造するための市販されている材料の例には、カンタル(Kanthal)、ニクロタル(Nikrothal)、アクロタル(Akrothal)が含まれるが、これらは米国コネチカット州ベセル市(Bethel)のカンタル社(Kanthal Corporation)によって製造された金属合金に対する登録商標名である。カンタル(Kanthal)の仲間にはフェライト系合金(FeCrAl)が含まれ、ニクロタル(Nikrothal)の仲間にはオーステナイト系合金(NiCr、NiCrFe)が含まれる。
上で論じられたように、電流がフィラメントを通って流れるとき、電力が熱として散逸され、したがって、基材保持体温度制御ユニット678は、例えば、制御可能なDC電源を含み得る。別法として、温度制御式の基材保持体670は、ワトロー社(Watlow)(60510米国イリノイ州バテーヴィア市(Batavia)キングスランド Dr. 1310)から市販されている400から450℃の最大動作温度が可能な鋳込み加熱器、または同様にワトロー社(Watlow)から市販されている窒化アルミニウム材料を含み、300℃もの高い動作温度および23.25W/cmに達する電力密度が可能な膜加熱器が含まれ得る。別法として、冷却要素も基材保持体670に組み込まれ得る。
基材保持体670の温度は、熱電対(例えば、K型熱電対)のような温度検知装置を使用して監視され得る。さらには、制御装置が、基材保持体670の温度を制御するために、温度測定を基材保持体温度制御ユニット678に対するフィードバックとして利用することができる。
再び図6を参照すると、熱処理システム620は、選択温度に維持される温度制御式の熱処理チャンバ621をさらに含み得る。例えば、熱式壁加熱要素683が熱式壁温度制御ユニット681に結合可能であり、この熱式壁加熱要素683は熱処理チャンバ621に結合するように構成され得る。加熱要素683は、例えば、タングステン、ニッケル−クロム合金、アルミニウム−鉄合金、窒化アルミニウム等のフィラメントのような抵抗加熱器要素を含み得る。抵抗加熱要素を製造するための市販されている材料の例には、カンタル(Kanthal)、ニクロタル(Nikrothal)、アクロタル(Akrothal)が含まれるが、これらは米国コネチカット州ベセル市(Bethel)のカンタル社(Kanthal Corporation)によって製造された金属合金に対する登録商標名である。カンタル(Kanthal)の仲間にはフェライト系合金(FeCrAl)が含まれ、ニクロタル(Nikrothal)の仲間にはオーステナイト系合金(NiCr、NiCrFe)が含まれる。
電流がフィラメントを通って流れるとき、電力が熱として散逸され、したがって、熱壁温度制御ユニット681は、例えば、制御可能なDC電源を含み得る。例えば、熱壁加熱要素683が、ワトロー社(Watlow)(60510米国イリノイ州バテーヴィア市(Batavia)キングスランド Dr. 1310)から市販されている少なくとも1つのファイヤロッド(Firerod)カートリッジ加熱器が含まれ得る。別法として、または追加的に、冷却要素が熱処理チャンバ621で使用されてもよい。熱処理チャンバ621の温度は、熱電対(例えば、K型熱電対、Ptセンサ等)のような温度検知装置を使用して監視され得る。さらには、制御装置が、熱処理チャンバ621の温度を制御するために、温度測定を熱式壁温度制御ユニット681に対するフィードバックとして利用することができる。
さらには、熱処理システム620は上部組立体684をさらに含み得る。上部組立体684は、例えば、浄化ガス、処理ガス、または洗浄ガスを熱処理チャンバ621に導入するためのガス噴射システムを含み得る。別法として、熱処理チャンバ621は上部組立体とは別体のガス噴射システムを含み得る。例えば、浄化ガス、処理ガス、または洗浄ガスが、熱処理チャンバ621にその側壁を通して導入され得る。
別法の実施形態では、上部組立体684は、基材持上げ組立体690上に位置決めされた基材642”を加熱するためのタングステン・ハロゲンランプの配列のような放射加熱器を含み得る。熱処理システム620は、選択温度に維持され得る温度制御式の上部組立体684をさらに含み得る。例えば、上部組立体684は加熱要素を含み得る。上部組立体684の温度は、温度検知装置を使用して監視され得る。さらには、制御装置が、上部組立体684の温度を制御するために、測定温度をフィードバックとして利用することができる。上部組立体684は、追加的にまたは別法として冷却要素を含んでもよい。
再び図6を参照すると、熱処理システム620は基材持上げ組立体690をさらに含み得る。基材持上げ組立体690は、基材642’を基材保持体670の上表面に降下させるばかりでなく、基材642”を基材保持体670の上表面から保持平面に、すなわち、これらの間の転送平面に、持ち上げるように構成され得る。この転送平面では、基材642”は、基材を化学および熱処理チャンバ611、621の中へ、かつこれらから転送するために使用される転送システムと交換され得る。保持平面では、基材642”は、別の基材が転送システムと化学および熱処理チャンバ611、621との間で交換される間に冷却され得る。
熱処理システム620は真空ポンプシステム680をさらに含む。真空ポンプシステム680は、例えば、真空ポンプと、ゲート弁またはバタフライ弁のような絞り弁とを含み得る。真空ポンプは、例えば、毎秒5000リットル(以上)に達するポンプ速度が可能なターボ分子真空ポンプ(TMP)を具備し得る。TMPは、低圧の、典型的には50ミリトール未満の処理に有用である。高圧処理(すなわち、100ミリトールを超える)では、機械式加圧ポンプおよびドライ荒引きポンプが使用され得る。
さらには、共通の開口部694を開閉するために、ゲート弁組立体696を利用してゲート弁を垂直に並進することができる。ゲート弁組立体696は共通開口部694を真空封止することができる。
一実施形態では、処理システム600は、TERA層の酸化された形状構成をトリミングするための化学的酸化物除去(COR)システム610を含み得る。処理システム600は、酸化された表面のようなTERA層上の形状構成の露出表面を化学的に処理するための化学処理システム610を含み、それによってTERA層上の形状構成の露出表面上の処理化学的性質の吸着が露出表面の化学的変更に影響を与える。さらには、処理システム600は、基材を熱処理するための熱処理システム620を含み、それによってTERA層上の形状構成の化学的に変更された露出表面を脱着(または蒸発)するために基材温度が高められる。
典型的なCOR処理は幾つかの処理工程を含み得る。例えば、基材642は、基材転送システムを使用して化学処理システム610の中へ転送され得る。基材642は、基材保持体640内部に収容されている持上げピンによって受取り可能であり、かつ基材642は基材保持体640まで降下される。その後で、基材642は、静電式クランプシステムのようなクランプシステムを使用して基材保持体660に固定可能であり、さらに熱伝達ガスが基材642の背面に供給され得る。
次に、基材642の化学処理のための1つまたは複数の化学処理パラメータが確立され得る。例えば、これらの1つまたは複数の化学処理パラメータは、化学処理処理圧、化学処理壁温度、化学処理基材保持体温度、化学処理基材温度、化学処理ガス分配システム温度、または化学処理ガス流量、もしくはこれらの2つ以上の組合せを含む。次いで、基材642は、第1の時間の間、化学的に処理され得る。この第1の時間は、例えば、10から480秒の範囲にわたり得る。
次に、基材642は化学処理チャンバ611から熱処理チャンバ621に転送され得る。この時間の間に、基材クランプは取外し可能であり、基材642の背面に対する熱伝達ガスの流れは停止され得る。基材642は、基材保持体640内部に収容されている持上げピン組立体を使用して、基材保持体640から転送平面に垂直に持ち上げられ得る。転送システムは基材642を持上げピンから受け取ることが可能であり、かつ基材642を熱処理システム620の内部に位置決めすることが可能である。その内部で、基材持上げ組立体690は、基材641’、642”を基材転送システムから受け取り、基材642’を基材保持体670に降下させる。
次いで基材642’の熱処理のための熱処理パラメータが設定され得る。例えば、これらの1つまたは複数の熱処理パラメータは、熱処理壁温度、熱処理上部組立体温度、熱処理基材温度、熱処理基材保持体温度、熱処理基材温度、または熱処理処理圧、もしくはこれらの2つ以上の組合せを含む。次いで、基材642’は、第2の時間の間、化学的に処理され得る。この第2の時間は、例えば、10から480秒の範囲にわたり得る。
図7は、本発明の実施形態に係る処理サブシステム700の簡略化されたブロック図を例示する。例示された実施形態では、エッチング、灰化、洗浄、および酸化のような幾つかの処理を実行するための処理サブシステム700が提示されている。例示された実施形態では、処理サブシステム700は、処理チャンバ710と、上部組立体720と、ガス供給システム750と、シャワープレート組立体756と、基材705を支持するための基材保持体730と、圧力制御システム780と、制御装置790とを含み得る。
一実施形態では、処理サブシステム700は、処理チャンバ710に結合され得る圧力制御システム780を含み得る。例えば、圧力制御システム780は、絞り弁(図示せず)およびターボ分子ポンプ(TMP)(図示せず)を含むことが可能であり、処理チャンバ710内に制御された圧力を供給することが可能である。別法の実施形態では、圧力制御システム700はドライポンプを含み得る。例えば、チャンバ圧は、約0.1ミリトールから約100ミリトールの範囲にわたり得る。別法として、チャンバ圧は約0.1ミリトールから約20ミリトールの範囲にわたり得る。
処理チャンバ710は、処理空間702内のプラズマの形成を促進し得る。処理サブシステム700は、200mm基板、300mm基板、またはより大きな基板など、任意のサイズの基材を処理するように構成され得る。別法として、処理サブシステム700は、1つまたは複数の処理チャンバ内でプラズマを生成することによって動作可能である。
処理サブシステム700は、ガス分配システム構成要素756および752に結合されたシャワープレート758を備え得る。例えば、このガス分配システム構成要素752は、ガス分配システム750に結合され得る。このシャワープレート758は、石英を含むことが可能であり、基材保持体730に対向して取付け可能である。シャワープレート758は1つまたは複数の分配領域(図示せず)を含み得る。遮蔽リング744を使用して、シャワープレート758をガス分配システム構成要素756に結合することができる。セラミック絶縁体740、742、および746を使用して、ガス分配システム構成要素756およびシャワープレート758を処理チャンバ710に結合することができる。
ガス分配システム750は処理ガスをガス分配システム構成要素756、752に、およびシャワープレート758に供給することができる。ガスの化学的性質および流量は個々に制御され得る。
処理サブシステム700は、ガス分配システム構成要素756、752に、シャワープレート758に、および処理チャンバ710に結合され得る上部電極725を含み得る。この上部電極725は温度制御要素(図示せず)を含み得る。上部電極725は、第1の整合回路網772を使用して第1のRF源770に結合され得る。別法として、別体の整合回路網772は必要ない。
第1のRF源770はTRF信号を上部電極に供給することが可能であり、この第1のRF源770は、約0.1MHzから約200MHzの周波数域内で動作可能である。TRF信号は、約1MHzから約100MHzの周波数域内に、別法として約10MHzから約100MHzの周波数域内にあり得る。第1のRF源790は、約0ワットから約10000ワットの電力域内で動作可能であるか、または別法として、第1のRF源700は、約0ワットから約5000ワットの電力域内で動作可能である。
上部電極725および第1のRF源770は、容量結合プラズマ源の一部であり得る。この容量結合プラズマ源は、誘導結合プラズマ(ICP)源、変成器結合プラズマ(TCP)源、マイクロ波プラズマ源、電子サイクロトロン共鳴(ECR)プラズマ源、ヘリコン波プラズマ源、および表面波プラズマ源によって置き換えられてもよいし、または増強されてもよい。当業者でよく知られているように、上部電極725は、様々な適切なプラズマ源において排除されもよいし、または再構成されてもよい。
基材705は、例えば、スロット弁(図示せず)およびチャンバ貫通部品(図示せず)を介して基材転送システム(図示せず)によって処理チャンバ710の中へ、かつそこから転送され得るが、それは基材保持体730によって受け取られ得る。別法の実施形態では、処理チャンバ710は並進装置(図示せず)を含み得るが、基材705が基材転送システムから受け取られるとき、基材705は、基材保持体730に結合され得る並進装置(図示せず)を使用して持上げおよび/または降下され得る。
基材705は、静電式クランプシステム764によって基材保持体730に固定され得る。例えば、静電式クランプシステム764は電極およびESC電源を含み得る。例えば、約−5000Vから約+5000Vの範囲にわたり得るクランプ電圧が、クランプ電極に供給され得る。別法として、クランプ電圧は約−2500Vから約+2500Vの範囲にわたり得る。別法の実施形態では、ESCシステムおよび電源は全く割愛されてもよい。
基材保持体730は、基材705を基材保持体730の表面へ、かつ/またはそれから降下および/もしくは持ち上げるための持上げピン(図示せず)を含み得る。別法の実施形態では、異なる持上げ装置が基材保持体730の中に設けられ得る。別法の実施形態では、ガスが、例えば、基材705と基材保持体730との間のガスギャップ熱伝導係数を向上させるために、背面ガスシステムによって基材705の背面に送達され得る。
温度制御システムも設けられ得る。このようなシステムは、基材の温度制御が高いまたは低い温度で必要なときに利用され得る。例えば、温度制御要素(図示せず)が、基材保持体730、処理チャンバ710、および/または上部組立体720の中に具備され得る。
また、電極768が、第2の整合回路網777を使用して第2のRF源775に結合され得る。別法として、この整合回路網777は全く割愛されてもよい。
第2のRF源775は底部RF信号(BRF)を下部電極768に供給可能であり、この第2のRF源775は約0.1MHzから約200MHzの周波数域内で動作可能である。BRF信号は約0.2MHzから約30MHzの周波数域内に、または別法として約0.3MHzから約15MHzの周波数域内にあり得る。第2のRF源775は約0.0ワットから約2500ワットの電力域内で動作可能であるか、または別法として第2のRF源775は約0.0ワットから約500ワットの電力域内で動作可能である。様々な実施形態では、この下部電極768は、使用されなくてもよいし、またはチャンバ内部の唯一のプラズマ源であってもよいし、または追加的な任意のプラズマ源を増強してもよい。
さらには、基材保持体730は石英焦点リング762および石英絶縁体760、776をさらに含み得る。別法として、焦点リング762および/または石英絶縁体760、766は全く割愛されてもよい。
処理チャンバ710は、チャンバライナ714および少なくとも1つの保護要素716をさらに含み得る。例えば、この保護要素716はセラミック材料を含むことが可能であり、基材保持体730および壁を保護するために使用可能である。別法の実施形態では、保護要素716が全く割愛されてもよい。
一実施形態では、ギャップが、処理チャンバ710に関する異なる壁高を使用してシャワープレート758と基材保持体730との間に確立され得る。例えば、170mmのギャップが確立され得る。別法の実施形態では、異なるギャップサイズが使用され得る。他の実施形態では、並進装置(図示せず)を使用して可変ギャップを設けることが可能であり、このギャップは固定された状態に留まってもよいし、またはこのギャップは処理中に変更されてもよい。
別法の実施形態では、処理チャンバ710は、例えば、監視ポート(図示せず)をさらに含み得る。監視ポートは、例えば、処理空間702の光学的監視を許容し得る。
処理サブシステム700は制御装置790も含み得る。この制御装置790は、処理チャンバ710、ガス供給システム750、第1のRF整合回路772、第1のRF源770、第2のRF整合回路787、第2のRF源785、および圧力制御システム780に結合され得る。制御装置790は、これらの構成要素に制御データを供給し、かつこれらの構成要素からの処理データのようなデータを受け取るように構成され得る。例えば、制御装置790は、マイクロプロセッサと、メモリと、処理システム700に対する入力を通信および励起するばかりでなく、処理システム700からの出力を監視するのに十分な制御電圧を生成できるデジタル入/出力ポートとを含み得る。
さらには、制御装置790は情報をシステム構成要素と交換することができる。また、メモリ中に格納されたプログラムを利用して、処理レシピに従って処理サブシステム700の前述の構成要素を制御することもできる。さらには、制御装置790は、処理データを分析し、処理データを目標処理データと比較し、さらにこのような比較を利用して処理を変更しかつ/または蒸着ツールを制御するように構成され得る。また、制御装置790は、処理データを分析し、処理データを履歴処理データと比較し、さらにこのような比較を利用して欠陥を予測し、防止し、かつ/または宣告するように構成され得る。TERA層のエッチング中に、基材705は処理チャンバ710内部の基材保持体730の上に配置され得る。例えば、処理チャンバ710は、上部電極表面725と基材保持体730の表面との間のギャップサイズに基づいて選択され得る。このギャップは、約10mmから約200mmの範囲にわたり得るか、または別法として、このギャップは約150mmから約190mmの範囲にわたり得る。別法の実施形態では、ギャップサイズが異なり得る。
TERA層のエッチング処理中、TRF信号が、第1のRF源770を使用して上部電極725に供給され得る。例えば、第1のRF源770は約0.1MHzから約200MHzの周波数域内で動作可能である。別法として、第1のRF源770は約1MHzから約100MHzの周波数域内で動作可能であるか、または第1のRF源770は約20MHzから約100MHzの周波数域内で動作可能である。第1のRF源770は約10ワットから約10000ワットの電力域内で動作可能であるか、または別法として第1のRF源770は10ワットから5000ワットの電力域内で動作可能である。
また、TERA層をエッチングするとき、BRF信号が、第2のRF源775を使用して下部電極768に供給され得る。例えば、第2のRF源775は約0.1MHzから約200MHzの周波数域内で動作可能である。別法として、第2のRF源775は約0.2MHzから約30MHzの周波数域内で動作可能であるか、または第2のRF源775は約0.3MHzから約15MHzの周波数域内で動作可能である。第2のRF源775は約0.0ワットから約1000ワットの電力域内で動作可能であるか、または別法として第2のRF源775は0.0ワットから500ワットの電力域内で動作可能である。別法の実施形態では、BRF信号は必要ない。
さらには、処理ガスが、シャワープレート758を使用して処理チャンバ710に供給され得る。例えば、処理ガスは酸素含有ガスおよび不活性ガスを含み得る。例えば、酸素含有ガスは、O、CO、NO、NO、またはCO、もしくはこれらの2つ以上の組合せを含むことが可能であり、その流量は約0sccmから約10000sccmの範囲にわたり得る。不活性ガスは、アルゴン、ヘリウム、または窒素、もしくはこれらの2つ以上の組合せを含むことが可能であり、不活性ガスに関する流量は約0sccmから約10000sccmの範囲にわたり得る。
さらには、チャンバ圧および基材温度は、TERA層のエッチング中に制御され得る。例えば、そのチャンバ圧は約0.1ミリトールから約100.0ミリトールの範囲にわたり得るが、その基材温度は約0℃から約500℃の範囲にわたり得る。
TERA層の形状構成の酸化中に、基材は処理チャンバ710内部の基材保持体730の上に配置され得る。例えば、処理チャンバ710は、上部電極表面725と基材保持体730の表面との間のギャップサイズに基づいて選択され得る。このギャップサイズは、約10mmから約200mmの範囲にわたり得るか、または別法として、このギャップは約150mmから約190mmの範囲にわたり得る。別法の実施形態では、このギャップサイズは非常に多様な所定の値から選択され得る。
TERA層の形状構成の酸化中に、TRF信号が、第1のRF源770を使用して上部電極725に供給され得る。例えば、第1のRF源770は、約0.1MHzから約200MHzの周波数域内で動作可能である。別法として、第1のRF源770は、約1MHzから約100MHzの周波数域内で動作可能であるか、または第1のRF源770は、約20MHzから約100MHzの周波数域内で動作可能である。第1のRF源770は、約10ワットから約10000ワットの電力域内で動作可能であるか、または別法として、第1のRF源770は、約10ワットから約5000ワットの電力域内で動作可能である。
また、TERA層の形状構成を酸化するときに、BRF信号が、第2のRF源775を使用して下部電極768に供給され得る。例えば、第2のRF源775は、約0.1MHzから約200MHzの周波数域内で動作可能である。別法として、第2のRF源775は、約0.2MHzから約30MHzの周波数域内で動作可能であるか、または第2のRF源は、約0.3MHzから約15MHzの周波数域内で動作可能である。第2のRF源775は、約0.0ワットから約1000ワットの電力域内で動作可能であるか、または別法として、第2のRF源775は、約0.0ワットから約500ワットの電力域内で動作可能である。別法の実施形態では、BRF信号は必要ない。
さらにはTERA層の形状構成を酸化するときに、処理ガスが、シャワープレート758を使用して処理チャンバ710に供給され得る。例えば、処理ガスは酸素含有ガスおよび/または不活性ガスを含み得る。例えば、酸素含有ガスは、O、CO、NO、NO、またはCO、もしくはこれらの2つ以上の組合せを含むことが可能であり、その流量は約0.0sccmから約10000sccmの範囲にわたり得る。不活性ガスは、アルゴン、ヘリウム、または窒素、もしくはこれらの2つ以上の組合せを含むことが可能であり、不活性ガスに関する流量は約0sccmから約10000sccmの範囲にわたり得る。さらには、TERA層の形状構成を酸化するときに、チャンバ圧および基材温度が制御され得る。例えば、チャンバ圧は、約0.1ミリトールから約100.0ミリトールの範囲にわたり得るが、基材温度は約0℃から約500℃の範囲にわたり得る。
以上に本発明の幾つかの実施形態のみが詳細に説明されたが、本発明の新規の教示および利点から実質的に逸脱することなく数多くの変更が可能であることを当業者は容易に理解しよう。したがって、このようなすべての変更は本発明の範囲内に包含されることが企図されている。
よって、本明細書は本発明を限定することを企図するものではなく、本発明の構成、動作、および挙動は、本明細書に存在する詳細の水準があれば、これらの実施形態の変更および変形が可能であるという理解の下に説明されている。したがって、以上の詳細な説明は、いずれにしても、本発明を限定しようとするものでもまたは企図するものでもなく、そうではなく、本発明の範囲は添付の特許請求の範囲によって画定されるものである。さらには、本明細書でリストが供されている場合には、これらのリストは例示的であることのみが企図されている。リストは、制限されていないので、本発明の範囲を列挙された特定の実施形態のみに限定しようとするものではない。反対に、当業者には理解されるはずであるように、本発明の企図された範囲から逸脱することなく、更なる構成要素、段階、配置等が容易に追加または代用されてもよい。
本発明の実施形態に係る処理システムを例示する模式図である。 本発明の実施形態に係る処理システムを動作させる方法を例示する簡略化されたフローチャートである。 本発明の実施形態に係る基材処理方法を例示する簡略化された模式図である。 本発明の実施形態に係る基材処理方法を例示する簡略化された模式図である。 本発明の実施形態に係る基材処理方法を例示する簡略化された模式図である。 本発明の実施形態に係る基材処理方法を例示する簡略化された模式図である。 本発明の実施形態に係る基材処理方法を例示する簡略化された模式図である。 本発明の実施形態に係る基材処理方法を例示する簡略化された模式図である。 本発明の別の実施形態に係る基材処理方法を例示する簡略化された模式図である。 本発明の別の実施形態に係る基材処理方法を例示する簡略化された模式図である。 本発明の別の実施形態に係る基材処理方法を例示する簡略化された模式図である。 本発明の別の実施形態に係る基材処理方法を例示する簡略化された模式図である。 本発明の別の実施形態に係る基材処理方法を例示する簡略化された模式図である。 本発明の別の実施形態に係る基材処理方法を例示する簡略化された模式図である。 本発明の別の実施形態に係る基材処理方法を例示する簡略化された模式図である。 本発明の実施形態に係るPECVDシステムを例示する簡略化されたブロック図である。 本発明の実施形態に係る処理システムを例示する簡略化されたブロック図である。 本発明の実施形態に係る処理サブシステムを例示する簡略化されたブロック図である。

Claims (27)

  1. 基材上の可調エッチング速度ARC(TERA)層を処理する方法であって、
    プラズマ促進化学蒸着(PECVD)システムを使用して、前記TERA層を前記基材の上に蒸着するステップと、
    エッチングシステムを使用して、形状構成を前記TERA層の中に創出するステップであって、
    前記基材の上にフォトレジスト層が設けられ、
    前記フォトレジスト層の中へパターンが転写され、
    前記フォトレジスト層を現像することによって、形状構成が前記フォトレジスト層の中に創出され、
    エッチング処理を使用して、前記形状構成が前記TERA層の中へ転写される、
    ステップと、
    前記TERA層中の前記形状構成のサイズを縮小するステップであって、
    トリミング量が決定され、
    前記のTERA層中の形状構成の酸化された露出表面が、HFとNH3を有する非プラズマ酸化プロセスを用いることによって化学的に処理され、
    前記トリミング量が前記非プラズマ酸化処理の制御に用いられ、
    前記のTERA形状構成の酸化された部分は非プラズマ熱処理プロセスを用いることによって除去される、
    ステップと、
    を含む方法。
  2. 前記方法は、
    前記PECVDシステム内部の処理チャンバ内の基材保持体の上に前記基材を位置決めするステップと、
    処理ガスを前記処理チャンバに供給するステップとをさらに含み、前記処理ガスは、不活性ガスと、珪素含有前駆物質または炭素含有前駆物質の一方とをさらに含む、請求項1に記載の方法。
  3. 前記方法は、
    上部電極表面と前記基材保持体の表面との間にギャップを確立するステップをさらに含み、前記PECVDシステムは前記処理チャンバに結合された上部電極を備え、前記基材保持体は並進装置を備える、請求項2に記載の方法。
  4. 前記ギャップは約10mmから約200mmの範囲にわたる、請求項3に記載の方法。
  5. 前記方法は、
    第1のRF源を前記上部電極に結合するステップと、
    前記第1のRF源を約0.1MHzから約200MHzの周波数域内で動作させるステップと、
    前記第1のRF源を約10ワットから約10000ワットの電力域内で動作させるステップとをさらに含む、請求項3に記載の方法。
  6. 前記方法は、
    第2のRF源を前記基材保持体に結合するステップと、
    前記第2のRF源を約0.1MHzから約200MHzの周波数域内で動作させるステップと、
    前記第2のRF源を約10ワットから約10000ワットの電力域内で動作させるステップとをさらに含む、請求項5に記載の方法。
  7. 前記方法は、
    RF源を前記基材保持体に結合するステップと、
    前記RF源を約0.1MHzから約200MHzの周波数域内で動作させるステップと、
    前記RF源を約10ワットから約10000ワットの電力域内で動作させるステップとをさらに含む、請求項3に記載の方法。
  8. 前記珪素含有前駆物質は、モノシラン(SiH)、テトラエチルオルソシリケート(TEOS)、モノメチルシラン(1MS)、ジメチルシラン(2MS)、トリメチルシラン(3MS)、テトラメチルシラン(4MS)、オクタメチルシクロテトラシロキサン(OMCTS)、ジメチルジメトキシシラン(DMDMOS)、またはテトラメチルシクロテトラシラン(TMCTS)、もしくはこれらの2つ以上の組合せを含む、請求項2に記載の方法。
  9. 前記炭素含有前駆物質は、CH、C、C、C、またはCOH、もしくはこれらの2つ以上の組合せを含む、請求項2に記載の方法。
  10. 前記第1の処理ガスは、アルゴン、ヘリウム、または窒素、もしくはこれらの2つ以上の組合せを含む不活性ガスを包含する、請求項2に記載の方法。
  11. 前記TERA層は、SiOH材料、またはSiCH材料、もしくはこれらの組合せを含む、請求項1に記載の方法。
  12. 前記TERA層は、248nm、193nm、または157nmの少なくとも1つの波長で測定されるときに約1.5から約2.5の範囲にわたる屈折率(n)を有し、かつ248nm、193nm、または157nmの少なくとも1つの波長で測定されるときに約0.10から約0.9の範囲にわたる吸光率(k)を有する材料を含む、請求項1に記載の方法。
  13. 前記方法は、
    前記エッチングシステム中の処理チャンバ内の基材保持体の上に前記基材を位置決めするステップと、
    酸素含有ガスおよび不活性ガスを含む処理ガスを前記処理チャンバに供給するステップと、
    前記形状構成を前記TERA層の中に創出するためにプラズマを確立するステップとをさらに含む、請求項1に記載の方法。
  14. 前記方法はさらに、
    トリミング量を決定するステップを含み、
    前記TERA層中の前記形状構成の露出表面を酸化するステップを含み、前記トリミング量を使用して前記酸化処理を制御し、
    前記TERA形状構成の前記酸化された部分を除去するステップを含み、前記除去処理は化学的酸化物除去(COR)処理を含む、請求項1に記載の方法。
  15. 前記方法はさらに、
    処理ガスを供給することによって、CORモジュールを使用して前記形状構成の前記露出表面を化学的に処理するステップを含み、前記トリミング量にほぼ等しい厚みを有する固体反応生成物が、前記TERA層中の前記形状構成の前記酸化された露出表面の少なくとも1つの上に形成され、
    前記固体反応生成物を蒸発させ、それによって前記トリミング量だけ前記TERA層中の前記形状構成の少なくとも1つをトリミングすることによって、後熱処理(PHT)モジュールを使用してPHT処理を実行するステップを含む、請求項14に記載の方法。
  16. 前記処理ガスは、酸素含有ガス、窒素含有ガス、フッ素含有ガス、または塩素含有ガス、もしくはこれらの2つ以上の組合せを含む、請求項15に記載の方法。
  17. 前記処理ガスはHFおよびNHを含む、請求項16に記載の方法。
  18. 基材上の可調エッチング速度ARC(TERA)層を処理するためのシステムであって、
    プラズマ促進化学蒸着(PECVD)システムを使用して、前記TERA層を前記基材の上に蒸着するための処理サブシステムと、
    エッチングシステムを使用して、形状構成を前記TERA層の中に創出するための処理サブシステムであって、
    前記基材の上にフォトレジスト層が設けられ、
    前記フォトレジスト層の中へパターンが転写され、
    前記フォトレジスト層を現像することによって、形状構成が前記フォトレジスト層の中に創出され、
    エッチング処理を使用して、前記形状構成が前記TERA層の中へ転写される、
    処理サブシステムと、
    前記TERA層中の前記形状構成のサイズを縮小するための処理サブシステムであって、
    トリミング量が決定され、
    前記のTERA層中の形状構成の酸化された露出表面が、HFとNH3を有する非プラズマ酸化プロセスを用いることによって化学的に処理され、
    前記トリミング量が前記非プラズマ酸化処理の制御に用いられ、
    前記のTERA形状構成の酸化された部分は非プラズマ熱処理プロセスを用いることによって除去される、
    処理サブシステムと、
    を備えるシステム。
  19. 前記システムは、
    前記PECVDシステム中の処理チャンバ内の基材保持体と、
    処理ガスを前記処理チャンバに供給する手段とをさらに備え、前記処置ガスは、不活性ガスと、珪素含有前駆物質または炭素含有前駆物質、もしくはこれらの組合せとを含む、請求項18に記載のシステム。
  20. 前記システムは、
    前記処理チャンバに結合された上部電極と、
    上部電極表面と前記基材保持体の表面との間のギャップを確立するために前記基材保持体に結合された並進装置とをさらに備える、請求項19に記載のシステム。
  21. 前記ギャップは約10mmから約200mmの範囲にわたる、請求項20に記載のシステム。
  22. 前記システムは、
    前記上部電極に結合された第1のRF源をさらに備え、前記第1のRF源は、約0.1MHzから約200MHzの周波数域内で動作し、かつ約10ワットから約10000ワットの電力域内で動作する、請求項19に記載のシステム。
  23. 前記システムは、
    前記基材保持体に結合された第2のRF源をさらに備え、前記第2のRF源は約0.1MHzから約200MHzの周波数域内で動作し、かつ約10ワットから約10000ワットの電力域内で動作する、請求項22に記載のシステム。
  24. 前記システムは、
    前記基材保持体に結合されたRF源をさらに備え、前記RF源は約0.1MHzから約200MHzの周波数域内で動作し、かつ約10ワットから約10000ワットの電力域内で動作する、請求項19に記載のシステム。
  25. 前記珪素含有前駆物質は、モノシラン(SiH)、テトラエチルオルソシリケート(TEOS)、モノメチルシラン(1MS)、ジメチルシラン(2MS)、トリメチルシラン(3MS)、テトラメチルシラン(4MS)、オクタメチルシクロテトラシロキサン(OMCTS)、ジメチルジメトキシシラン(DMDMOS)、またはテトラメチルシクロテトラシラン(TMCTS)、もしくはこれらの2つ以上の組合せを含む、請求項19に記載のシステム。
  26. 前記炭素含有前駆物質は、CH、C、C、C、またはCOH、もしくはこれらの2つ以上の組合せを含む、請求項19に記載のシステム。
  27. 前記第1の処理ガスは、アルゴン、ヘリウム、または窒素、もしくはこれらの2つ以上の組合せを含む不活性ガスを包含する、請求項19に記載のシステム。
JP2007520300A 2004-07-06 2005-05-06 Tera層を化学処理するための処理システムおよび方法 Expired - Fee Related JP4842263B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/883,784 2004-07-06
US10/883,784 US7097779B2 (en) 2004-07-06 2004-07-06 Processing system and method for chemically treating a TERA layer
PCT/US2005/015925 WO2006014193A1 (en) 2004-07-06 2005-05-06 Processing system and method for chemically treating a tera layer

Publications (2)

Publication Number Publication Date
JP2008506255A JP2008506255A (ja) 2008-02-28
JP4842263B2 true JP4842263B2 (ja) 2011-12-21

Family

ID=34969066

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007520300A Expired - Fee Related JP4842263B2 (ja) 2004-07-06 2005-05-06 Tera層を化学処理するための処理システムおよび方法

Country Status (6)

Country Link
US (2) US7097779B2 (ja)
JP (1) JP4842263B2 (ja)
KR (1) KR101114615B1 (ja)
CN (1) CN1973358B (ja)
TW (1) TWI278018B (ja)
WO (1) WO2006014193A1 (ja)

Families Citing this family (148)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7806126B1 (en) * 2002-09-30 2010-10-05 Lam Research Corporation Substrate proximity drying using in-situ local heating of substrate and substrate carrier point of contact, and methods, apparatus, and systems for implementing the same
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US20070048456A1 (en) * 2004-09-14 2007-03-01 Keshner Marvin S Plasma enhanced chemical vapor deposition apparatus and method
JP4860219B2 (ja) * 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
JP2007059705A (ja) * 2005-08-25 2007-03-08 Seiko Epson Corp キャパシタおよびその製造方法、強誘電体メモリ装置の製造方法、アクチュエータの製造方法、並びに、液体噴射ヘッドの製造方法
JP4854317B2 (ja) 2006-01-31 2012-01-18 東京エレクトロン株式会社 基板処理方法
US7662718B2 (en) * 2006-03-09 2010-02-16 Micron Technology, Inc. Trim process for critical dimension control for integrated circuits
US7795148B2 (en) * 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
JP5015534B2 (ja) * 2006-09-22 2012-08-29 財団法人高知県産業振興センター 絶縁膜の成膜方法
US20080078743A1 (en) * 2006-09-28 2008-04-03 Munoz Andres F Elevated temperature chemical oxide removal module and process
US20080139003A1 (en) * 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
JP2008181996A (ja) * 2007-01-24 2008-08-07 Tokyo Electron Ltd 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びコンピュータ記憶媒体
JP4949091B2 (ja) * 2007-03-16 2012-06-06 東京エレクトロン株式会社 基板処理装置、基板処理方法および記録媒体
US8980706B2 (en) * 2008-09-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Double treatment on hard mask for gate N/P patterning
KR101795658B1 (ko) * 2009-01-31 2017-11-08 어플라이드 머티어리얼스, 인코포레이티드 에칭을 위한 방법 및 장치
JP5655429B2 (ja) * 2009-08-28 2015-01-21 三菱マテリアル株式会社 多結晶シリコンの製造方法、製造装置及び多結晶シリコン
US20110151142A1 (en) * 2009-12-22 2011-06-23 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
KR101341024B1 (ko) 2010-06-11 2013-12-13 엘지디스플레이 주식회사 박막 패턴의 제조 방법과 그를 가지는 평판 표시 소자
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
JP5955062B2 (ja) * 2011-04-25 2016-07-20 東京エレクトロン株式会社 プラズマ処理装置
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
KR101715460B1 (ko) * 2012-06-08 2017-03-10 도쿄엘렉트론가부시키가이샤 가스 처리 방법
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
CN104425222B (zh) * 2013-08-28 2018-09-07 中芯国际集成电路制造(上海)有限公司 图形化方法
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
WO2015079632A1 (ja) * 2013-11-28 2015-06-04 株式会社Joled 原子層堆積装置
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) * 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
CN105826197A (zh) * 2015-01-08 2016-08-03 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9805747B2 (en) * 2015-08-17 2017-10-31 Western Digital Technologies, Inc. Method for making a perpendicular magnetic recording write head with write pole having thin side gaps and thicker leading gap
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
KR20190035036A (ko) * 2017-09-25 2019-04-03 삼성전자주식회사 박막 형성 장치 및 이를 이용한 비정질 실리콘 막 형성방법
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11871667B2 (en) 2020-09-17 2024-01-09 Applied Materials, Inc. Methods and apparatus for warpage correction
US20220139706A1 (en) * 2020-11-02 2022-05-05 Applied Materials, Inc. Methods and apparatus for processing a substrate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001326224A (ja) * 2000-02-28 2001-11-22 Canon Sales Co Inc 半導体装置及びその製造方法
WO2003044833A2 (en) * 2001-11-20 2003-05-30 International Business Machines Corporation Method for limiting divot formation in post shallow trench isolation processes
JP2003179064A (ja) * 2001-12-10 2003-06-27 Sony Corp 配線パターンの形成方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US6444137B1 (en) * 1990-07-31 2002-09-03 Applied Materials, Inc. Method for processing substrates using gaseous silicon scavenger
TW204411B (ja) * 1991-06-05 1993-04-21 Tokyo Electron Co Ltd
JPH07283216A (ja) 1994-04-12 1995-10-27 Sony Corp Al系金属配線構造およびそのパターニング方法
WO1995034916A1 (fr) * 1994-06-15 1995-12-21 Seiko Epson Corporation Fabrication d'un equipement a semi-conducteurs a couches minces, equipement a semi-conducteurs a couches minces, afficheur a cristaux liquides et equipement electronique
US5656123A (en) * 1995-06-07 1997-08-12 Varian Associates, Inc. Dual-frequency capacitively-coupled plasma reactor for materials processing
US6391690B2 (en) * 1995-12-14 2002-05-21 Seiko Epson Corporation Thin film semiconductor device and method for producing the same
US5639345A (en) * 1996-01-11 1997-06-17 Taiwan Semiconductor Manufacturing Company Ltd. Two step etch back process having a convex and concave etch profile for improved etch uniformity across a substrate
US6013574A (en) * 1996-01-30 2000-01-11 Advanced Micro Devices, Inc. Method of forming low resistance contact structures in vias arranged between two levels of interconnect lines
US5661093A (en) * 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US6124154A (en) * 1996-10-22 2000-09-26 Seiko Epson Corporation Fabrication process for thin film transistors in a display or electronic device
KR100497879B1 (ko) * 1997-01-23 2005-09-08 동경 엘렉트론 주식회사 플라즈마처리장치
US6316167B1 (en) * 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
JP3567855B2 (ja) * 2000-01-20 2004-09-22 住友電気工業株式会社 半導体製造装置用ウェハ保持体
US20020086547A1 (en) 2000-02-17 2002-07-04 Applied Materials, Inc. Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US7087504B2 (en) * 2001-05-18 2006-08-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device by irradiating with a laser beam
JP4133810B2 (ja) 2001-07-10 2008-08-13 東京エレクトロン株式会社 ドライエッチング方法
DE10223954A1 (de) 2002-05-29 2003-12-11 Infineon Technologies Ag Plasmaangeregtes chemisches Gasphasenabscheide-Verfahren zum Abscheiden von Siliziumnitrid oder Siliziumoxinitrid, Verfahren zum Herstellen einer Schicht-Anordnung und Schicht-Anordnung
US20040038537A1 (en) * 2002-08-20 2004-02-26 Wei Liu Method of preventing or suppressing sidewall buckling of mask structures used to etch feature sizes smaller than 50nm
US6794230B2 (en) * 2002-10-31 2004-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Approach to improve line end shortening
US6750127B1 (en) * 2003-02-14 2004-06-15 Advanced Micro Devices, Inc. Method for fabricating a semiconductor device using amorphous carbon having improved etch resistance
US20050106888A1 (en) * 2003-11-14 2005-05-19 Taiwan Semiconductor Manufacturing Co. Method of in-situ damage removal - post O2 dry process

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001326224A (ja) * 2000-02-28 2001-11-22 Canon Sales Co Inc 半導体装置及びその製造方法
WO2003044833A2 (en) * 2001-11-20 2003-05-30 International Business Machines Corporation Method for limiting divot formation in post shallow trench isolation processes
JP2003179064A (ja) * 2001-12-10 2003-06-27 Sony Corp 配線パターンの形成方法

Also Published As

Publication number Publication date
KR20070032938A (ko) 2007-03-23
US20060254716A1 (en) 2006-11-16
US7097779B2 (en) 2006-08-29
CN1973358B (zh) 2010-05-12
KR101114615B1 (ko) 2012-03-05
WO2006014193A1 (en) 2006-02-09
US20060006136A1 (en) 2006-01-12
CN1973358A (zh) 2007-05-30
JP2008506255A (ja) 2008-02-28
TW200616039A (en) 2006-05-16
TWI278018B (en) 2007-04-01

Similar Documents

Publication Publication Date Title
JP4842263B2 (ja) Tera層を化学処理するための処理システムおよび方法
EP1604387B1 (en) Processing system and method for treating a substrate
EP1604389B1 (en) Processing system and method for thermally treating a substrate
EP1604388B1 (en) Processing system and method for chemically treating a substrate
KR101283837B1 (ko) 유전체 막의 처리 방법 및 피처 형성 방법
KR101200132B1 (ko) 기판을 처리하기 위한 처리 시스템 및 방법
JP4629678B2 (ja) 基板上に材料を堆積させる方法。
US20050218114A1 (en) Method and system for performing a chemical oxide removal process
US7288483B1 (en) Method and system for patterning a dielectric film
US7371436B2 (en) Method and apparatus for depositing materials with tunable optical properties and etching characteristics
WO2005047564A2 (en) Method of improving post-develop photoresist profile on a deposited dielectric film
US20240120193A1 (en) Carbon replenishment of silicon-containing material
TWI798215B (zh) 選擇性側壁間隔物

Legal Events

Date Code Title Description
RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20070910

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20070921

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080403

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110125

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110318

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110517

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110714

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110906

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111005

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141014

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees