KR20150141906A - 포토레지스트-스트립 성능을 향상시키고 유기 막들을 개질하기 위한 과산화증기 처리 - Google Patents

포토레지스트-스트립 성능을 향상시키고 유기 막들을 개질하기 위한 과산화증기 처리 Download PDF

Info

Publication number
KR20150141906A
KR20150141906A KR1020150081682A KR20150081682A KR20150141906A KR 20150141906 A KR20150141906 A KR 20150141906A KR 1020150081682 A KR1020150081682 A KR 1020150081682A KR 20150081682 A KR20150081682 A KR 20150081682A KR 20150141906 A KR20150141906 A KR 20150141906A
Authority
KR
South Korea
Prior art keywords
photoresist
plasma
organic film
substrate
hydroxyl
Prior art date
Application number
KR1020150081682A
Other languages
English (en)
Inventor
바유 아트마자 데드조이스우로
브래들리 존 제이콥스
이반 베리
데이비드 청
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20150141906A publication Critical patent/KR20150141906A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/469Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After-treatment of these layers
    • H01L21/47Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • H01L51/0009

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)

Abstract

기판으로부터 유기막을 제거하기 전에 수산기 생성 화합물을 사용하여 포토레지스트와 같은 유기막을 처리하기 위한 방법들 및 장치가 제공된다. 처리들은 비플라즈마 환경에서 과산화수소 증기 및 수증기 중 하나 이상으로의 노출을 포함한다. 일부 구현예들에서, 표면 상의 응결이 억제되도록 하는 조건이다. 방법들은 고 도즈 이온 주입 포토레지스트들 및 플라즈마 후 도핑 포토레지스트들을 재료의 손실이 거의 없거나 전혀 없이 처리하는 것을 포함하고, 처리 후에 포토레지스트의 약 플라즈마 제거를 허용한다.

Description

포토레지스트-스트립 성능을 향상시키고 유기 막들을 개질하기 위한 과산화증기 처리{PEROXIDE-VAPOR TREATMENT FOR ENHANCING PHOTORESIST-STRIP PERFORMANCE AND MODIFYING ORGANIC FILMS}
많은 반도체 제조 프로세스에서, 포토레지스트는 기판 상의 층들을 패터닝하도록 사용되었다. 기판의 포토레지스트 코팅된 표면은 고 에너지 복사의 패턴에 노출되고 포토레지스트의 일부는 아래에 놓인 표면을 드러내기 위해 제거된다. 아래에 놓인 표면들은, 남아 있는 포토레지스트가 마스크로 기능하는 동안, 에칭 프로세스, 막 증착, 이온 주입, 또는 다른 프로세스들을 겪는다. 하나 이상의 이러한 동작들 후에, 남아 있는 포토레지스트가 제거된다. 이들 동작들은 또한 남아 있는 포토레지스트에 영향을 줄 수 있어, 제거 과제들을 생성한다. 예를 들어, 이온 주입 후의 포토레지스트들의 제거는 어려울 수 있다.
제거 전에 유기 막을 처리하기 위한 방법들이 제공된다. 본 개시의 일 양태는, 비플라즈마 조건들 하에서 유기 막을 개질하기 위해 유기 막을 과산화수소 증기에 노출시키는 단계; 및 유기 막을 과산화수소 증기에 노출시킨 후, 유기 막을 제거하기 위해 유기 막을 플라즈마에 노출시키는 단계에 의해 기판으로부터 유기 막을 제거하는 방법을 수반한다. 일부 실시예들에서, 플라즈마는 실질적으로 불소가 없을 (fluorine-free) 수도 있다.
다양한 실시예들에서, 기판은 일반적으로 표면 상에 유기 막을 갖지 않는 하나 이상의 노출된 표면들을 포함한다. 일부 실시예들에서, 수산기 생성 가스들로의 노출은 하나 이상의 노출된 표면들로부터 실질적으로 재료 손실을 발생시키지 않는다. 일부 실시예들에서, 유기 막은 포토레지스트이다. 일부 실시예들에서, 수산기 생성 가스들로의 노출은 포토레지스트를 화학적으로 및/또는 물리적으로 개질한다. 일부 실시예들에서, 포토레지스트는 크러스트로 캡슐화된 (encapsulated) 벌크 포토레지스트를 포함하고, 크러스트는 벌크 포토레지스트와 상이한 특성들을 갖는다. 일부 실시예들에서, 포토레지스트는 크러스트로 캡슐화된 벌크 포토레지스트를 포함하고, 크러스트는 벌크 포토레지스트보다 조밀하다. 포토레지스트는 이온 주입된 포토레지스트일 수도 있다.
본 개시의 또 다른 양태는 유기 막을 제거하기 전에 노출이 수행되도록, 유기 막을 화학적으로 또는 물리적으로 개질하는 수산기들을 생성하여 유기 막을 처리하기 위해, 하나 이상의 수산기 생성 가스들의 응결을 방지하거나 억제하는 조건들 하에서, 상부에 유기 막을 갖는 기판을 하나 이상의 수산기 생성 가스들에 노출시키는 단계에 의해 유기 막을 제거하는 방법을 수반한다. 수산기 생성 가스들의 예들은 과산화수소 증기 또는 수증기, 및 과산화수소 증기와 수증기의 혼합물을 포함한다.
다양한 실시예들에서, 유기 막을 처리한 후, 유기 막을 제거하기 위해 기판은 플라즈마에 노출된다. 일부 실시예들에서, 플라즈마는 실질적으로 불소가 없다. 일부 실시예들에서, 플라즈마는 형성 가스로부터 생성된다.
상부에 유기 막을 갖는 기판은, 일반적으로 표면 상에 유기 막을 갖지 않는 하나 이상의 노출된 표면들을 포함한다. 수산기 생성 가스들로의 노출은 이들 노출된 표면들로부터 실질적으로 재료 손실을 발생시키지 않을 수도 있다. 방법은 자외선 복사와 같은 활성화 에너지 소스에 하나 이상의 수산기 생성 가스들을 노출시키는 단계를 더 포함할 수도 있다. 자외선 복사로의 노출은 기판을 하우징하는 챔버 내 또는 챔버의 업스트림에서 발생할 수도 있다.
다양한 실시예들에서, 유기 막은 포토레지스트이다. 일부 실시예들에서, 포토레지스트는 크러스트로 캡슐화된 벌크 포토레지스트를 포함하고, 크러스트는 벌크 포토레지스트와 상이한 특성들을 갖는다. 다양한 실시예들에서, 포토레지스트는 크러스트로 캡슐화된 벌크 포토레지스트를 포함하고, 크러스트는 벌크 포토레지스트보다 조밀하다. 포토레지스트는 이온 주입된 포토레지스트일 수도 있다. 일부 실시예들에서, 수산기 생성 가스들로의 노출은 포토레지스트를 화학적으로 및/또는 물리적으로 개질한다.
본 개시의 또 다른 양태는, 샤워헤드 및 기판 지지부를 포함하는 프로세스 챔버; 및 적어도 하나의 프로세서 및 메모리는 서로 통신가능하게 접속되고, 적어도 하나의 프로세서는 적어도 플로우 제어 하드웨어와 동작가능하게 접속되고, 그리고 메모리는, 포토레지스트를 제거하기 위해 플라즈마를 도입하기 전에 포토레지스트를 처리하도록 하나 이상의 수산기 생성 가스들의 응결을 방지하거나 억제하는 조건들 하에서, 하나 이상의 수산기 생성 가스들을 도입하기 위한, 머신 판독가능한 인스트럭션들을 저장하는, 적어도 하나의 프로세서 및 메모리를 갖는 제어기를 포함하는, 반도체 기판들을 프로세싱하기 위한 장치를 수반한다.
본 개시의 또 다른 양태는, 샤워헤드 및 기판 지지부를 포함하는 프로세스 챔버; 및 적어도 하나의 프로세서 및 메모리는 서로 통신가능하게 접속되고, 적어도 하나의 프로세서는 적어도 플로우 제어 하드웨어와 동작가능하게 접속되고, 그리고 메모리는, 유기 막을 제거하기 위해 플라즈마를 도입하기 전에 기판 상의 유기 막을 개질하도록 과산화수소 증기를 도입하기 위한, 머신 판독가능한 인스트럭션들을 저장하는, 적어도 하나의 프로세서 및 메모리를 갖는 제어기를 포함하는, 반도체 기판들을 프로세싱하기 위한 장치를 수반한다.
이들 및 다른 양태들은 도면들을 참조하여 이하에 더 기술된다.
도 1a 내지 도 1d는 이온 주입 및 스트립 동작 전후의 반도체 디바이스 제조의 다양한 단계들의 개략적인 예시이다.
도 2는 다양한 실시예들에 따른, 방법들의 관련 동작들을 도시하는 프로세스 흐름도이다.
도 3은 개시된 실시예들을 실시하기에 적합한 프로세스 챔버의 예의 개략적인 예시이다.
도 4는 개시된 실시예들을 실시하기에 적합한 툴의 예의 개략적인 예시이다.
도 5a 및 도 5b는 기판들 상의 포토레지스트들의 SEM 이미지들을 도시한다.
도 5c는 다양한 실시예들에 따른, 기판 상의 포토레지스트들의 SEM 이미지들을 도시한다.
도 6은 개시된 실시예들에 따른 실험 결과들을 도시하는 그래프이다.
도 7a 및 도 7b는 다양한 실시예들에 따른 포토레지스트들에 대한 푸리에 변환 적외선 스펙트로스코피 스펙트럼들이다.
도 8a, 도 8b 및 도 8c는 개시된 실시예들을 수행한 실험 결과들을 도시하는 그래프들이다.
이하의 기술에서, 다수의 구체적인 상세들이 본 실시예들의 전체적인 이해를 제공하기 위해 언급된다. 개시된 실시예들은 이들 구체적인 상세들 중 일부 또는 전부 없이도 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들이 구체적인 실시예들에 관하여 기술되지만, 이는 개시된 실시예들을 제한하는 것으로 의도되지 않는다는 것이 이해될 것이다.
본 출원에서, 용어들 “워크피스”, “반도체 웨이퍼”, “웨이퍼”, 및 “부분적으로 제조된 집적 회로”는 상호교환 가능하게 사용될 것이다. 당업자는 용어 “부분적으로 제조된 집적 회로”가 그 위의 집적 회로 제조의 많은 단계들 중 임의의 단계 동안 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 이하의 상세한 기술은 개시된 실시예들이 웨이퍼 상에서 구현된다는 것을 가정한다. 그러나, 개시된 실시예들은 이것으로 제한되지 않는다. 워크피스는 다양한 형상들, 사이즈들, 및 재료들일 수도 있다. 반도체 웨이퍼들에 부가하여, 개시된 실시예들의 장점을 취할 수도 있는 다른 워크피스들은 디스플레이들, 인쇄 회로 기판들, 등과 같은 다양한 물품들을 포함한다. 개시된 실시예들이 주로 포토레지스트를 논의하지만, 개시된 실시예들은 유기 화합물들을 포함하는 다른 유기 막들 또는 막들에 적용가능할 수도 있다. 예들은 주입된 SiARC 및 유기 재료 상의 폴리머성 잔여물 또는 막 (예를 들어, SOC (spin-on carbon)) 을 포함한다.
포토레지스트는 특정한 제조 프로세스들에서 사용된 감광성 재료 (light-sensitive material) 이다. 막의 리소그래픽 패터닝은 단계들 각각이 다수의 가능한 툴들을 사용하여 제공되는, 이하의 단계들: (1) 스핀-온 (spin-on) 툴 또는 스프레이-온 (spray-on) 툴을 사용하여 기판 상에 포토레지스트를 도포하는 단계; (2) 핫 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 단계; (4) 레지스트를 선택적으로 제거하도록 레지스트를 현상하여 습식 벤치와 같은 툴을 사용하여 레지스트를 패터닝하는 단계; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 그 아래에 놓인 막 또는 기판 내로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계의 일부 또는 전부를 포함한다.
포토레지스트는 프로세싱 동안 워크피스, 예를 들어, 반도체 웨이퍼 상에 패터닝된 코팅을 형성할 수도 있다. 에칭, 증착, 및 이온 주입과 같은 반도체 프로세스들은 레지스트를 제거하기 전에 커버되지 않은 표면 및 남아 있는 포토레지스트 상에 수행될 수도 있다. 하나 이상의 반도체 프로세스들을 수행한 후, 남아 있는 포토레지스트는 스트립 동작에서 제거된다.
이온 주입 동안, 도펀트 이온들, 예를 들어, 붕소, 이플루오르화 붕소 (boron difluoride), 인듐, 갈륨, 탈륨, 인, 비소, 안티몬, 비스무스, 또는 게르마늄의 이온들이 워크피스 타깃을 향해 가속된다. 예를 들어, 워크피스의 노출된 영역들에서의 이온 주입은 웰 영역들 (소스/드레인) 뿐만 아니라 LDD (lightly doped drain) 영역 및 DDD (doubled diffused drain) 영역을 형성한다. HDI (high-dose ion-implantation) 프로세스 및 플라즈마 도핑 프로세스 동안, 이온 주입 프로세스는 또한 주입 종으로 레지스트를 포화시키고 (impregnate), 표면의 수소를 공핍시키고, 아래에 놓인 벌크 포토레지스트와 상이한 속성들을 갖는 크러스트층을 생성할 수도 있다. 레지스트의 크러스트는 아래에 놓인 벌크층보다 훨씬 더 조밀하고 보다 다이아몬드-유사형 (diamond-like) 탄소화된 층일 수도 있다. 크러스트는 또한 벌크 포토레지스트에서보다 불포화 결합들의 보다 높은 농도를 가질 수도 있다. 이들 두 층들은 상이한 열 팽창 레이트들을 갖고 상이한 레이트로 스트립 프로세스들과 반응한다. HDI에서, 이온 도즈는 1 x 1015 ions/㎠보다 클 수도 있고 에너지는 1 keV로부터 100 keV보다 클 수도 있다. 이러한 고 도즈는 크러스트층과 벌크층 간의 뚜렷한 차를 갖는 HDI 후 레지스트들을 생성할 수 있다. 크러스트의 속성들은 웨이퍼 표면 상에 숨겨진 잔여물을 남기지 않고 완전히 제거되는 것이 과제가 되게 한다.
도 1a 내지 도 1d는 이온 주입 및 스트립 동작들 전후에 반도체 제조의 예의 다양한 단계들을 도시한다. 도 1a는 벌크 포토레지스트 재료 (103) 로 코팅된 노출된 실리콘 표면 (102) 을 포함하는 반도체 기판 (101) 을 도시한다. 도 1a의 예의 반도체 기판 (101) 은, 다양한 실시예에 따라, 노출된 실리콘 표면 (102) 을 갖고, 반도체 기판 (101) 은 하나 이상의 층들의 증착된 막, 예를 들어, 산화막, 실리사이드 콘택트, 및/또는 폴리실리콘 막을 포함할 수도 있고, 또는 예를 들어, SOI (silicon-on-insulator) 타입 기판을 포함하는, 배어 실리콘 (bare silicon) 기판일 수도 있다. 증착된 막은 또한, 예를 들어, 질화 실리콘, 산화 실리콘, 고-k/금속 게이트 스택 내에서 발견된 재료들, 질화 티타늄, 질화 탄탈, 및 금속들을 포함할 수도 있다. 임의의 이들 재료들은 벌크 포토레지스트 (103) 에 의해 노출될 수도 있다. 처음에, 포토레지스트 재료는 전체 기판 표면을 코팅한다. 이어서 포토레지스트는 마스크를 통해 생성된 패터닝된 복사에 노출되고 재료의 부분, 예를 들어, 남아 있는 벌크 포토레지스트 재료 (103) 사이의, 도 1a에 도시된 개구부 (104) 를 제거하기 위해 현상된다.
포토레지스트가 패터닝된 후, 이어서 기판이 이온 주입 프로세스에 노출된다. 이온 주입 동안, 워크피스 또는 웨이퍼의 표면에 도펀트 이온들이 주입된다. 프로세스는 예를 들어, PIII (plasma-immersion ion implantation), 플라즈마 도핑 프로세스, 또는 빔-라인 프로세스 (beam-line process) 일 수도 있다. 도 1b는 이온 빔 플럭스 (114) 및 백 스퍼터 플럭스 (116) 를 포함하는, 주입 동안 기판을 도시한다. 백 스퍼터 플럭스 (116) 는 포토레지스트 (103) 의 측벽 상에 (비소, Si, SiO2, 또는 SiN과 같은) 기판 재료들을 증착한다. 이온 빔 플럭스 (114) 로부터의 이온들이 노출된 실리콘층 (102) 및 포토레지스트 (103) 를 포함하는 기판 표면 (102) 에 퍼부어진다. 노출된 실리콘층 (102) 에서, 도핑된 영역 (109) 이 생성된다. 이온 에너지 또는 충돌의 강도는 도핑된 영역의 깊이 또는 두께를 결정한다. 이온 플럭스의 밀도는 도핑 정도를 결정한다.
도 1c는 고 에너지 이온 주입으로 인해 포토레지스트 측벽들 상에 스퍼터링된 적은 양의 아래에 놓인 재료 (107) 를 갖는 웨이퍼를 도시한다. 이 재료는 주입 종의 일부, 플라즈마 또는 이온 빔 내의 다른 재료, 및 주입의 부산물들을 포함할 수도 있다. 재료들은 비소, 실리콘, 알루미늄, 탄소, 불소, 티타늄, 코발트와 같은 다른 콘택트 재료들, 및 원소 형태 및 화합물 형태 양자의 산소를 포함한다. 실제 종은 이온 주입 전 기판의 조성, 포토레지스트, 및 주입된 종에 의존한다. 이온들은 포토레지스트 표면을 포화시키고 도 1c에 도시된 바와 같이, 벌크 포토레지스트 (103) 의 상단부 (105a) 및 측벽들 (105b) 상의 크러스트층 (105) 을 생성하는데 기여한다. 크러스트층 (105) 은 또한 수소가 공핍될 수도 있다. 상단 크러스트층 (105a) 및 측벽 크러스트층 (105b) 은 이온 주입 빔 플럭스 (114) 의 각도 및 백 스퍼터된 플럭스 (116) 로부터의 측벽 증착으로 인해 주입 동안 상이한 화학물질들에 노출될 수도 있고, 따라서 상단 크러스트층 (105a) 및 측벽 크러스트층 (105b) 이 상이한 화학물질을 가질 수도 있다.
크러스트층 (105) 은 탄화될 수도 있고 강하게 가교 결합된 폴리머 체인들 및 유기 화합물들을 포함할 수도 있다. 많은 실시예들에서, 크러스트층 (105) 은 벌크 포토레지스트 (103) 보다 더 조밀하다. 상대 밀도는 이온 플럭스에 의존하는 반면, 크러스트층 (105) 의 두께는 이온 에너지에 의존한다. HDI 후의 크러스트층과 아래에 놓인 벌크 포토레지스트층 간의 밀도 차는 훨씬 높을 수도 있고, 크러스트는 또한 보다 두꺼울 수도 있다. 이 크러스트층 (105) 은 아래의 벌크 포토레지스트 (103) 보다 스트립하기 더 어렵다. 크러스트층의 제거 레이트들은 포토레지스트 (103) 보다 50 % 또는 75 % 더 느릴 수도 있다. 벌크 포토레지스트 (103) 는 비교적 고레벨의 화학적으로 결합된 질소 및 이의 원 주조 용매 (original casting solvent) 를 함유할 수도 있다.
예를 들어, 150 ℃ 이상에서 200 ℃ 이상으로 상승된 웨이퍼 온도들에서, 벌크 포토레지스트 (103) 는 탈기 (outgas) 되고 크러스트층 (105) 에 대해 확장할 수도 있다. 그 후 전체 포토레지스트는 아래에 놓인 벌크 포토레지스트 (103) 가 크러스트층 (105) 아래에서 압력을 고조시키기 (builds up) 때문에 "팝 (pop)" 할 수도 있다. 포토레지스트 팝핑은, 잔여물들이 웨이퍼 소스 및 챔버 내부 부분들로부터 세정하기 특히 어렵기 때문에, 입자들 및 프로세스 디펙트들의 근원이다. 따라서, 크러스트된 포토레지스트는 팝핑을 회피하기 위해 종종 저온에서 스트립된다. 그러나, 저온 프로세싱은 플라즈마 종의 크러스트층 (105) 과의 반응도를 감소시켜, 보다 느린 포토레지스트 스트립 프로세스 및 보다 낮은 쓰루풋을 발생시킨다.
도 1d는 포토레지스트 (103') 를 완전히 제거하는데 실패한 스트립 후, 크러스트층 (105) 및 측벽 스퍼터 잔여물 (107) 을 포함하는 반도체 기판을 도시한다. 측벽 스퍼터 잔여물 (107) 은 종래의 스트립 화학물질들 하에서 휘발성 화합물을 형성하지 않는 입자들을 포함할 수도 있다. 이들 입자들은 종래의 스트립 동작 후에 남아 있을 수도 있다. 측벽 스퍼터 잔여물 (107) 은 또한 종래의 스트립 화학물질에서 사용된 반응성 산소로 형성된 주입 종의 산화물들, 예를 들어, 산화 붕소 및 산화 비소를 포함할 수도 있다. 크러스트층 (105) 의 일부들은 또한 반도체 기판 (101) 상에 남아 있을 수도 있다. 포토레지스트 비아들의 하단부에서 측벽들 및 코너들에서의 크러스트는 기하학적 구조로 인해 스트립하기 어려울 수도 있다.
종래의 HDIS (HDI strip) 프로세스들은, 일원자 산소 플라즈마가 프로세스 챔버로부터 떨어져서 형성되고 이어서 워크피스 표면에 지향되는, 산소 화학물질을 채용한다. 반응성 산소는, 진공 펌프를 사용하여 제거되는 가스성 부산물들을 형성하기 위해 포토레지스트와 결합한다. HDIS를 위해, 부가적인 가스들이 산소와 함께 주입된 도펀트들을 제거하기 위해 사용될 수도 있다. 주 HDIS 고려사항들은 스트립 레이트, 잔여물의 양, 및 노출되고 아래에 놓인 막층의 막 손실을 포함할 수도 있다.
스트립 후에, 표면은 고수율을 보장하고 부가적인 잔여물 제거 프로세싱을 제거하기 위해 잔여물이 없거나 (residue-free) 실질적으로 잔여물이 없어야 한다. 그러나, 도 1d에 도시된 바와 같이, 잔여물들은, 고에너지 주입 동안 크러스트의 불완전한 제거, 스퍼터링 및/또는 레지스트 내에서 주입 원자들의 산화로 인해 종래의 HDIS 후에 기판 표면 상에서 일반적으로 발견된다. 보다 두꺼운 크러스트를 갖는 레지스트에 대해, 크러스트층과 벌크 레지스트층 간의 차는 훨씬 더 뚜렷하다. 보다 두꺼운 크러스트 측벽들 및 코너들은 스트립하기 훨씬 더 어렵다. 따라서, 스트립 프로세스들은 두꺼운 크러스트를 제거하도록 설계되고 또한 실리콘 함유 기판을 보다 더 제거하려는 경향이 있다.
통상적으로, 잔여물들은, 잔여물 제거에 부가하여 모든 포토레지스트를 제거하기 위해 명목상으로 사용된 지점을 지나 스트립함으로써 레지스트 균일성 및 기하구조를 처리하기 위해 오버-스트립 (over-stripping) 함으로써 제거될 수도 있다. 다른 곳들보다 웨이퍼의 일부 영역들에서 포토레지스트가 완전히 제거되면, 스트립 프로세스를 계속하는 것은, 부가적인 재료, 통상적으로 실리콘 및 산화 실리콘이 이미 스트립된 영역들로부터 제거되게 할 것이다. 따라서, 오버-스트립은 때때로 아래에 놓인 기능적 디바이스 구조물의 일부를 제거하여, 많은 재료 손실이 발생한다. 재료 손실은 레지스트 두께, 크러스트 두께, 및 오버-스트립 퍼센트의 함수이다. 보다 두꺼운 레지스트를 제거하기 위한 보다 길고 보다 공격적인 스트립은 또한 보다 많은 실리콘을 제거할 수 있다. 대안적으로, 불소 함유 플라즈마들은 종종 잔여물 생성을 완화시키기 위해 사용된다. 그러나, 불소의 사용은 상당한 재료 손실을 유발할 수도 있고, 이는 진보된 기술 노드들에 대해 일반적으로 바람직하지 않다.
그러나, 진보된 기술 노드들에서 (예를 들어, 45 ㎚ 이상), 오버-스트립 프로세스들은, 임의의 재료 손실이 디바이스를 비효율적으로 만들 수 있기 때문에, 로직 디바이스들과 같은 일부 애플리케이션들에서 사용될 수 없다. 따라서, 주변의 재료들의 무결성을 보존하면서 잔여물을 남기지 않고 주입된 포토레지스트를 완전히 스트립하는 것은 매우 어렵다. 디바이스 층에서, 심지어 트랜지스터 소스/드레인 영역들로부터의 실리콘 손실이 거의 없어도 디바이스 성능 및 수율, 특히, 진보된 기술 노드들에서 제조된 초박막 접합 (ultra-shallow junction) 디바이스들에 악영향을 줄 수도 있다. 또한, 많은 종래의 스트립 기법들은, 불가능하지는 않지만, 제거하기 어려운, 기판 표면의 다른 부분들 상에 크러스트의 입자들을 남기면서, 크러스트가 벗겨지거나 (peel) 떨어지게 (flake) 할 수도 있다. 종래의 방법들에서 포토레지스트를 제거하기 위해, 보다 덜 공격적인, 마일드 (mild) 무불소 플라즈마 (non-fluorine plasma) 를 사용하면, 웨이퍼 표면 상에 잔여물을 남긴다. 본 명세서에 제공된 방법들은 주변의 재료들의 손실을 발생시키지 않고, 잔여물을 남기지 않고 주입된 포토레지스트를 완전히 제거할 수 있다.
일 양태에서, 방법들은 포토레지스트를 수산기 생성 가스에 노출시킴으로써 제거하기 전에 포토레지스트를 처리하는 단계를 수반한다. 수산기 생성 가스들은 수산기들 (-OH) 을 해방시키기 위해 반응 또는 분해할 수 있는 가스 또는 가스들의 혼합물들이다. 일반적으로, 반드시 그런 것은 아니지만, 수산기 생성 가스는 적어도 하나의 수산기를 함유한다. 처리 동안, 반응 종은 이온 종 또는 다른 고 에너지 분자 종일 수도 있다. 많은 실시예들에서, 수산기 라디컬은 반응 종이다.
처리는, 후속 포토레지스트 제거 동작이 스트립이 잔여물 없는 표면을 여전히 생성하면서 극히 적은 (ultra low) 재료 손실을 유발하도록 보다 마일드 스트립 화학물질을 사용하게 한다. 예를 들어, 기판은 마일드 무불소 플라즈마 제거를 사용하기 전에 과산화수소 (H2O2) 에 노출될 수도 있다. 본 명세서에 기술된 처리 방법들은 포토레지스트 제거에 후속하여 실질적으로 잔여물이 없는 기판들을 발생시키고 재료 손실을 거의 또는 전혀 발생시키지 않을 수도 있다. 많은 실시예들에서, 처리는 비플라즈마 조건들 하에서 수행된다. 개시된 실시예들은 매우 작은 피처들 또는 임계 치수들, 예를 들어, 100 ㎚ 이하, 65 ㎚ 이하, 또는 45 ㎚ 이하를 갖는 웨이퍼들에 적합하다. 개시된 실시예들은 진보된 로직 디바이스들의 매우 얕은 접합뿐만 아니라, FEOL (front end of line) 이온 주입, 특히 고 도즈 이온 주입을 겪는 웨이퍼들에 특히 적합할 수도 있다.
일부 실시예들에서, 수산기 생성 증기 화합물 처리는 크러스트 및 아래에 놓인 벌크 포토레지스트 양자를 개질할 수도 있다. 일부 경우들에서, 개질을 향상시키기 위해 수증기 (H2O) 가 수산기 생성 화합물에 첨가될 수도 있지만, 다른 경우들에서, 수산기 생성 증기 화합물만이 사용될 수도 있다. 특정한 이론으로 제한되지 않고, 물의 존재는 수산기의 농도를 상승시키는 것을 도울 수도 있다. 다양한 실시예들에서, 수증기는 과산화수소에 첨가될 수도 있다. 예를 들어, 주입된 포토레지스트는 H2O2/H2O 증기에 노출될 수도 있고, 이는 주입된 포토레지스트의 후속하는 스트립을 향상시키기 위해 화학적 및/또는 물리적 개질을 발생시킬 수도 있고, 궁극적으로 두드러지게 개선된 잔여물 제거를 발생시킨다. 일부 실시예들에서, 과산화수소 증기만이 사용된다.
기술된 방법들 및 장치는 고 도즈 주입 후에 부가적으로 중 도즈 주입 또는 저 도즈 주입 후에 포토레지스트를 처리하기 위해 효과적으로 사용될 수도 있다. 붕소, 비소, 및 인과 같은 특정한 도펀트 이온들이 논의되지만, 기술된 방법들 및 장치는 질소, 산소, 탄소, 게르마늄, 및 알루미늄과 같은, 다른 도펀트들에 함침된 레지스트들을 처리하기 위해 효과적으로 사용될 수도 있다. 또한, 기술된 방법들 및 장치는 또한 도핑되지 않은 레지스트들을 제거하는데 실용적일 수도 있다.
다른 처리 프로세스들은 오존/물 (DIO3) 프로세스와 같은, 주입된 포토레지스트를 개질하기 위해 사용될 수도 있다. 그러나, 종래의 DIO3 프로세스들에서, 수증기는, 궁극적으로 포토레지스트를 제거하는 것을 돕는 활성 종을 생성하는, 오존을 사용하는 일련의 화학 반응들이 발생하기 전에, 웨이퍼 표면 상에서 액체 상으로 응결된다. 웨이퍼 표면 상에서 물의 응결은 다양한 이유들에서 바람직하지 않을 수도 있다. 포토레지스트 표면은 소수성이기 때문에, 웨이퍼 표면 상에서 물의 제습 (dewetting) 은 포토레지스트의 불균일한 제거를 유발하는 경향을 갖는다. 웨이퍼 표면 상의 액체 물 막의 두께는 활성 종과 포토레지스트 표면 간의 반응 레이트를 상승시키도록 제어되지만 이러한 제어는, 스트립 수행 비용을 증가시키는, 복잡한 하드웨어를 사용할 수도 있다. 반대로, 본 명세서에 개시된 다양한 실시예들은, 처리 프로세스를 구현하는데 사용된 하드웨어 및 프로세스 동작들의 복잡도를 상당히 최소화시키는, 가스-액체 상 변화를 무효화할 수도 있다. 종래의 DIO3 프로세스와 달리, 개시된 실시예들은, 수산기 생성 화합물들이 처리 프로세스 동안 가스 상으로 존재할 수도 있도록 할 수도 있다. 그 결과, 개시된 실시예들을 수행한 결과와 같이, 제거 균일성이 개선될 수도 있다.
도 2는 개시된 실시예들에 따른 방법들을 수행하기 위한 다양한 동작들을 도시하는 프로세스 흐름도이다. 동작 202에서, 패터닝된 포토레지스트를 갖는 기판이 프로세싱 챔버 내에 제공된다. 많은 실시예들에서, 기판은 실리콘 기판과 같은 반도체 기판이다. 다양한 실시예들에서, 기판은 에칭된 재료의 노출된 층들을 포함한다. 이들 재료들의 예들은 질화 실리콘, 실리콘, 산화 실리콘, 고 k/금속 게이트 스택의 재료들, 질화 티타늄, 질화 탄탈, 및 금속들을 포함한다.
다양한 실시예들에서, 패터닝된 포토레지스트를 갖는 기판은 에칭, 증착, 및 이온 주입과 같은, 하나 이상의 반도체 프로세스들을 겪는다. 패터닝된 포토레지스트는 크러스트 및 벌크 포토레지스트 양자를 포함할 수도 있다. 많은 실시예들에서, 크러스트는 상단 표면 및 선택적으로, 포토레지스트의 측벽들에 형성된다. 다양한 실시예들에서, 상단부 및 측벽들의 크러스트는 화학적 특성 및/또는 두께가 불균일하다. 크러스트의 두께 및 화학적 특성은 동작 202 전에 기판 상에서 수행된 동작들에 의존할 수도 있다. 다양한 실시예들에서, 크러스트는 약 200 Å 내지 약 1500 Å의 두께, 또는 약 200 Å 내지 약 600 Å이다. 다양한 실시예들에서, 벌크 포토레지스트는 약 60 ㎚ 내지 약 1000 ㎚ 두께이다. 개시된 실시예들은 임의의 두께의 크러스트를 갖는 포토레지스트를 포함하는 임의의 두께의 포토레지스트 상에서 수행될 수도 있다.
다음에, 동작 204에서, 하나 이상의 수산기 생성 화합물들은, 기판이 수산기 생성 화합물(들)에 노출되도록 챔버에 도입된다. 일부 실시예들에서, 하나 이상의 수산기 함유 화합물들은 동작 204에서 챔버로 도입된다. 다양한 실시예들에서, 수산기 생성 화합물은 과산화수소 (H2O2) 이다. 많은 실시예들에서, 수산기 생성 화합물은 가스 상 (또한 증기 상이라고도 함) 이다. 일반적으로, 가스 상 화합물들은 플라즈마 내에 있지 않다. 일부 실시예들에서, 이들은 자외선 또는 마이크로파 복사와 같은 에너지 소스에 의해 활성화될 수도 있다. 수산기 생성 화합물들의 예들은 과산화물, 물, 오존, 에탄올 및 이소프로판올과 같은 알콜 함유 화합물들, 및 이들의 임의의 조합을 포함할 수도 있다. 일부 실시예들에서, 하나 이상의 수산기 생성 화합물들은 과산화물 및 물의 혼합물을 포함한다.
하나 이상의 수산기 생성 화합물들은 미리 혼합되고, 부분적으로 혼합되고, 또는 혼합되지 않을 수도 있다. 개별 가스 또는 증기 소스들은 챔버에 도입되기 전에 혼합 플레넘 내로 흐를 수도 있다. 다른 실시예들에서, 하나 이상의 수산기 생성 화합물들은 개별적으로 챔버로 들어갈 수도 있다.
일부 실시예들에서, 수산기 생성 화합물은 비플라즈마 조건들 하에서 도입된다. 다양한 실시예들에서, 수산기들은 과산화물 생성기를 사용하여 생성된다. 그러나, 일부 실시예들에서, 수산기 생성 화합물은 기판에 노출되기 전에 또는 기판에 노출되는 동안 선택적으로 활성화될 수도 있다. 활성화는 수산기들 또는 수산기 라디컬들의 농도를 상승시킬 수도 있다. 수산기 생성 화합물 또는 화합물들은 활성화 에너지 소스로부터 활성화될 수도 있다. 예를 들어, 수산기 생성 화합물은, 챔버 내로의 도입으로부터 떨어진 업스트림에서 활성화될 수도 있고, 또는 프로세싱 챔버 내에서 활성화될 수도 있다. 다양한 실시예들에서, 활성화는 자외선 복사를 사용하여 수행된다. 일부 실시예들에서, 활성화는 제어기 열 확산기를 사용하여 수행된다. 일부 실시예들에서, 수산기 생성 화합물은 수증기의 광분해를 사용하여 또는 열 생성에 의해 활성화된다.
많은 실시예들에서, 수산기 생성 화합물이 비플라즈마 상태에 있지만, 일부 실시예들에서, 활성화는 RF (radio frequency) 플라즈마를 사용하여 수행될 수도 있다. 예를 들어, 플라즈마는 수소 및 NO2를 생성하도록 사용될 수도 있고, 나중에 이들은 OH를 형성하기 위해 서로 반응할 수도 있다. 반응은 이하의 일반적인 화학 반응으로 나타낼 수도 있다:
Figure pat00001
일부 실시예들에서, 플라즈마로부터 생성된 수산기 라디컬들은 다른 소스로부터 생성되거나 활성화된 수산기 라디컬들과 함께 프로세싱 챔버에 도입될 수도 있다. 그러나, 플라즈마 활성화된 화합물들이 수산기 라디컬들을 생성하기 위해 사용될 수도 있지만, 플라즈마 활성화된 화합물들은 기판을 손상시킬 수도 있고 기판의 산화를 유발할 수도 있어서, 이러한 대미지를 피하기 위해 케어가 취해져야 한다는 것을 주의한다.
일부 실시예들에서, OH기들을 사용한 처리 후에 포토레지스트 제거를 위한 플라즈마가 형성 가스 (N2 내의 4 % H2 혼합물) 로부터 생성된다. O2 또는 CO2와 같은 작은 농도의 산소 라디컬 생성 가스들이 사용될 수도 있다. 산소 라디컬은 포토레지스트의 제거 레이트를 상승시키기 위해 작은 농도로 첨가될 수도 있다. 산소 라디컬 농도가 너무 높지 않아 재료 손실을 유발한다는 것을 보장하기 위한 케어가 취해져야 한다는 것을 주의한다.
동작 204 동안, 기판 온도는 응결을 최소화하기 위한 레벨이어야 하고 기판에 대한 대미지를 방지하도록 충분히 낮아야 한다. 온도는 수산기 생성 화합물의 압력 및 처리 챔버의 볼륨에 의존하여 조정될 수도 있다. 기판 온도들의 예들은 약 20 ℃ 내지 약 250 ℃, 또는 약 20 ℃ 내지 약 150 ℃일 수도 있다.
기판은 포토레지스트를 처리하기에 충분한 시간 동안 수산기 생성 화합물에 노출될 수도 있다. 노출 시간은 포토레지스트의 양 및 두께, 처리 화학물질, 온도, 수산기 생성 화합물의 농도와 같은 인자들에 의존할 수도 있다. 예를 들어, 다양한 실시예들에서, 기판은, 약 10 초 내지 약 10 분의 시간 동안 챔버 내에서 수산기 생성 화합물에 노출된다.
수산기 생성 화합물은 가스 상으로 도입될 수도 있다. 특정한 이론으로 제한되지 않고, 수산기 생성 화합물을 가스 상으로 도입하는 것은 응결과 연관된 문제들, 예를 들어, 기판 상의 불균일성 및 액체 막에 걸친 반응 종의 이동 제한을 회피하는데 유익할 수도 있다고 믿어진다. 액체 수산기 생성 화합물이 사용될 때, 압력 및 온도 양자는 프로세스가 실질적으로 액체 상태에서 동작하도록 조정될 수도 있다. 일부 실시예들에서, 표면 상에 적은 양의 응결이 있을 수도 있고, 이는 포토레지스트를 처리하는데 유용할 수도 있다. 기판이 하나 이상의 수산기 생성 가스들의 응결을 방지하는 조건들에 노출된, 일부 실시예들에서, 다소 적은 양의 응결이 기판의 표면 상에 존재한다. 다른 실시예들에서, 응결이 존재하지 않는다.
수산기 생성 화합물의 농도는 응결 없이 그리고 기판을 손상시키지 않고 포토레지스트를 처리하기에 충분한 양일 수도 있다. 농도는 처리 화학물질, 기판의 크기, 포토레지스트의 양 및 두께, 온도, 및 처리 챔버의 볼륨에 의존할 수도 있다. 농도는 예를 들어 ppm (parts per million) 또는 분압 (partial pressure) 으로 나타낼 수도 있다. 챔버에 도입된 수산기 생성 화합물의 예시적인 농도들은 약 225 ppm 내지 약 10000 ppm, 또는 약 550 ppm 내지 약 10000 ppm, 또는 약 550 ppm 내지 약 5000 ppm일 수도 있다. 챔버에 도입된 수산기 생성 화합물의 분압은 챔버의 총 압력에 따라 가변될 것이다. 일부 실시예들에서, 분압은 장치에 의해 제한되고 상기 기술된 수산기 생성 화합물의 농도들을 사용하여 그에 따라 조정될 수도 있다. 상기 기술된 농도들은 넓은 범위의 총 챔버 압력들에 적용가능할 수도 있다.
일부 실시예들에서, 챔버의 총 압력은 약 0.6 Torr 내지 약 760 Torr, 또는 약 0.6 Torr 내지 약 3.5 Torr, 또는 약 10 Torr 내지 760 Torr일 수도 있다. 처리가 후속하는 포토레지스트 스트립과 동일한 챔버에서 수행되는 일부 실시예들에서, 챔버의 압력은 약 0.6 Torr 내지 약 10 Torr일 수도 있다. 일부 실시예들에서, 챔버의 총 압력은 약 760 Torr이다.
일 예에서, 1 atm의 총 챔버 압력에 대해, 과산화수소 증기의 분압은 약 0.4 Torr 내지 약 7.6 Torr일 수도 있다. 또 다른 예에서, 760 Torr의 총 압력을 갖는 챔버 내에서 수증기의 분압은 약 0.2 Torr일 수도 있다.
수산기 생성 화합물은 캐리어 가스를 사용하여 챔버에 도입될 수 있다. 예시적인 캐리어 가스들은 질소 (N2) 및 임의의 다른 적합한 캐리어 가스를 포함한다. 일부 실시예들에서, 수산기 생성 화합물은 연속적으로 흐른다. 일부 실시예들에서, 2 이상의 수산기 생성 화합물들이 챔버에 도입되면, 2 이상의 수산기 생성 화합물들이 함께 흐를 수도 있고 또는 교대로 펄싱될 수도 있다. 예를 들어, 일부 실시예들에서, 과산화물 및 물은 챔버에 교대로 펄싱될 수도 있다.
본 명세서에 기술된 처리 프로세스는 포토레지스트 스트립 프로세스들에서 복수의 장점들을 가질 수도 있다. 본 명세서에 기술된 처리 프로세스의 한가지 주요 이점은 감소된 재료 손실이다. 처리 자체는 주변 재료들에 대한 재료 손실을 실질적으로 유발하지 않고, 보다 마일드 플라즈마가 사용될 수도 있기 때문에, 후속하는 제거 동안 재료 손실이 감소되거나 없을 수도 있다.
동작 204에 대하여 상기 기술된 처리의 조건들은 처리 동안 기판의 표면 상에 응결되지 않게 하는 것일 수도 있다. 조건들은 처리 프로세스 동안 주변 재료들을 산화시키지 않는 것일 수도 있다. 일부 실시예들에서, 처리 조건들은, 기판의 표면 상의 하나 이상의 수산기 생성 가스들의 응결이 방지되거나 억제되도록, 한다. 예를 들어, 처리는 기판을 처리하지만, 표면 상에서 가스들의 응결을 방지하기 위해 충분한 수산기 생성 가스를 제공하기에 충분한 압력에서 수행될 수도 있다. 유사하게, 처리는, 기판의 표면 상에서의 응결을 방지하기 위해 하나 이상의 수산기 생성 가스들을 처리 내내 가스 상으로 유지되는, 온도 및 압력에서 수행될 수도 있다. 일부 실시예들에서, 기판의 표면 상에 적은 양의 응결이 있을 수도 있다. 고려될 수도 있는 다른 조건들은 챔버 및 기판의 온도, 하나 이상의 수산기 생성 가스들의 화학적 특성, 및 처리 동안 다른 화학물질들의 존재를 포함한다.
응결을 방지하기 위한 조건들은 하나 이상의 수산기 생성 가스들과 연관된 상 다이어그램을 분석하고 응결이 방지되는 온도 및 압력을 선택함으로써 결정될 수도 있다. 온도 및 압력은 또한 하나 이상의 수산기 생성 화합물들의 수성 혼합물들에 대한 질량-질량 퍼센트 농도를 평가함으로써 결정될 수도 있다. 예를 들어, 처리가 과산화수소 및 물을 흘리는 것을 포함하면, 분압 또는 증기압 및 온도는 액체 상으로의 응결을 방지하고 가스 상으로의 존재를 유지하기에 적합한 수성 과산화수소 용액들의 질량-질량 퍼센트 농도에 의존할 수도 있다. 처리 조건들을 결정하기 위해 사용될 수도 있는, 과산화수소 및 물의 혼합물들의 평형 곡선 및 상 다이어그램들은, Craig W. Jones, Applications of Hydrogen Peroxide and Derivatives ch. 1 (1999) 에서 발견될 수도 있다.
특정한 이론으로 제한되지 않고, 처리 프로세스는 포토레지스트 및 벌크 포토레지스트 중 적어도 하나 또는 양자를 개질한다고 믿어진다, 예를 들어, 처리는 크러스트 또는 벌크 포토레지스트, 또는 양자를 화학적으로 및/또는 물리적으로 개질한다. 예를 들어, 하나 이상의 수산기 생성 화합물들로부터 생성된 수산기들은 포토레지스트 내에 존재하는 유기 작용기들 (예를 들어, 알켄들, 알칼들, 페놀들) 과 화학적으로 반응할 수도 있고, 일련의 화학 반응들을 발생시킨다. 이들 화학 반응들은 궁극적으로 폴리머 체인들의 분해 또는 분열 (fragmentation) 을 초래할 수도 있고, 이는 크러스트층으로 하여금 후속하는 플라즈마 노출 동안 보다 쉽게 제거되게 한다. 보이드들 또는 디펙트들이 크러스트의 화학적 및 물리적 개질들의 전체 프로세스 동안 생성될 수도 있고, 이는 결국 수산기들의 아래에 놓인 벌크 포토레지스트로의 이동을 용이하게 할 수도 있다는 것이 타당해 보인다. 이어서 수산기 라디컬들은 또한 상기 기술된 바와 같은 일련의 화학적 및 물리적 이벤트들이 벌크 포토레지스트에서 발생하게 함으로써 벌크 포토레지스트를 개질할 수도 있다. 총체적으로, 주입된 포토레지스트의 수산기들로의 노출은, 주입된 포토레지스트로 하여금 잔여물이 없는 표면을 생성하기 위해 마일드 프로세스를 사용하여 후속하여 스트립되게 할 수도 있다. 예를 들어, 주입된 포토레지스트를 스트립하기 위해 공격적인 불소 함유 플라즈마들을 사용하는 대신, 처리 단계는 샘플로 하여금 마일드 불소 비함유 플라즈마를 사용하여 스트립되게 하고 여전히 잔여물이 없게 할 수도 있다. 처리 및 후속하는 불소 비함유 플라즈마의 조합은 재료 손실을 상당히 방지할 수도 있다. 처리는 또한 궁극적으로 주입된 포토레지스트를 제거할 때 후속 플라즈마 화학물질의 반응성을 향상시킬 수도 있다.
처리 노출로부터 발생할 수도 있는 주입된 포토레지스트에 대한 개질들은 또한 잠재적으로 포토레지스트 스트립 레이트를 상승시켜 처리량의 증가를 발생시킨다. 예를 들어, 보이드 또는 채널들의 생성 및 폴리머 체인들의 분열과 같은, 크러스트 내에서의 물리적 개질들은, 후속하는 스트립 프로세스 동안 크러스트 및 아래에 놓인 벌크 포토레지스트 양자의 제거 레이트를 상승시킬 수도 있다. 그 결과, 주입된 포토레지스트의 제거의 전체 처리량이 증가된다.
재료 손실을 추가로 보전하기 위해, 처리 단계는 약 200 ℃보다 낮은 온도들에서와 같이, 비교적 저온에서 플라즈마 없이 수행될 수도 있다. 이들 조건들은 노출된 주변 재료들의 산화를 억제하는데 도움이 되고, 따라서 진보된 노드들에서의 반도체 프로세싱을 위한 극히 적은 산화 및 손실 요건들을 만족한다.
따라서, 본 명세서에 기술된 처리 방법들은, 기판 처리량을 개선할 수도 있는, 마일드 플라즈마를 사용하여 저온에서 개선된, 잔여물이 없거나 적은 포토레지스트 제거를 산출할 수도 있다. 처리 방법들은, 다른 것들 중에서 빔-라인, 플라즈마 도핑을 포함하는, 고 도즈 이온 주입을 겪는 포토레지스트들의 제거에 적용가능할 수도 있다. 포토레지스트 제거 프로세스들의 다양한 예들이 본 명세서에 제공되지만, 개시된 실시예들의 처리 방법들은 임의의 포토레지스트 방법에 의한 제거 전에 임의의 타입의 포토레지스트의 처리에 적용가능할 수도 있다.
선택적으로, 포토레지스트 제거 프로세스는 동작 204에서의 처리 후에 수행될 수도 있다. 마일드 무불소 플라즈마 또는 불소 함유 플라즈마가 동작 204 후에 포토레지스트를 제거하기 위해 사용될 수도 있다. 다양한 실시예들에서, 수소/질소 (H2/N2) 플라즈마가 포토레지스트를 제거하기 위해 챔버에 도입될 수도 있다. 또 다른 예시적인 포토레지스트 제거 방법은 수소를 함유하는 가스들로부터 생성된 플라즈마들에 처리된 포토레지스트를 노출하는 것을 수반할 수도 있다. 일부 실시예들에서, 불소 함유 플라즈마는 저 농도 불소를 갖고 사용될 수도 있다. 일부 실시예들에서, 가스들은 또한 약 산화제 및 불소 함유 가스를 함유할 수도 있다. 일부 실시예들에서, 가스들은 또한 약 산화제 및 불소 비함유 가스를 함유할 수도 있다.
약 산화제들의 예들은, 이산화탄소 (CO2), 일산화 탄소 (CO) 와 같은 탄소 산화물들; 아산화 질소 (N2O), 산화 질소 (NO), 이산화 질소 (NO2) 와 같은 질소 산화물들; 및 산화 황 (SO) 및 이산화 황 (SO2) 과 같은 황 산화물들을 포함한다. 다른 약 산화물들의 예들은 임의의 산소 함유 하이드로카본들 (C x H y O z ) 및 물 (H2O) 을 포함한다. 특정한 실시예들에서, 약 산화제는 탄소 함유 화합물이다. 특정한 실시예들에서, 저렴하고, 안전하고, 효과적이기 때문에, 이산화탄소가 약 산화제로서 사용된다.
불소 함유 가스는 삼불화 질소 (NF3), 육불화 황 (SF6), 헥사플루오로에탄 (C2F6), 테트라플루오로메탄 (CF4), 트리플루오로메탄 (CHF3), 디플루오로메탄 (CH2F2), 옥타플루오로프로판 (C3F8), 옥타플루오로시클로부탄 (C4F8), 옥타플루오로[1-]부탄 (C4F8), 옥타플루오로[2-]부탄 (C4F8), 옥타플루오로이소부틸렌 (C4F8), 불소 (F2), 등일 수 있다. 일부 실시예들에서, 불소 함유 가스는 NF3, SF6, F2, 또는 불화 수소 (HF) 증기이다. 일부 실시예들에서, 불소 함유 화합물은 무탄소 함유 화합물이다. 일부 실시예들에서, NF3는 불소 함유 가스로서 사용된다. 일부 실시예들에서, HF 증기로 용이하게 변환되는 임의의 불소 함유 가스가 사용될 수도 있다. 일부 실시예들에서, 산소계 화학물질 또는 다른 적합한 스트립 화학물질이 포토레지스트를 제거하기 위해 사용될 수도 있다.
당업자는 플라즈마 내에 존재하는 실제 종이 상이한 이온들, 라디컬들, 및 수소, 약 산화제, 및 프로세싱 가스로부터 유도된 분자들의 혼합물일 수도 있다는 것을 인식할 것이다. 적은 하이드로카본들, 이산화탄소, 수증기 및 유기 포토레지스트 및 다른 잔여물들과 플라즈마 반응하고 분해하는, 다른 휘발성 성분들과 같은, 다른 종이 선택적인 스트립 프로세스 동안 반응 챔버 내에 존재할 수도 있다는 것을 주의한다. 당업자는 플라즈마 내로 도입되는 최초 가스/가스들이 종종 스트립 동안 워크피스와 접촉하는 가스/가스들뿐만 아니라 플라즈마 내에 존재하는 가스/가스들과 상이하다는 것을 인식할 것이다.
다양한 타입들의 플라즈마 소스들이 RF, DC, 및 마이크로파 기반 플라즈마 소스들을 포함하는, 선택적인 포토레지스트 스트립을 위해 사용될 수도 있다. 일부 실시예들에서, 다운스트림 RF 플라즈마 소스가 사용된다. 통상적으로, 300 ㎜ 웨이퍼에 대한 RF 플라즈마 전력은 약 300 W 내지 약 10 kW의 범위이다. 일부 실시예들에서, RF 플라즈마 전력은 약 2000 W 내지 5000 W, 예를 들어, 3500 W이다.
장치
도 3은 특정한 실시예들에 따른 장치 (300) 의 예의 개략적인 예시이다. 장치 (300) 는 선택적인 플라즈마 소스 (301) 및 샤워헤드 어셈블리 (305) 에 의해 분리된 프로세스 챔버 (303) 를 갖는다. 플라즈마 소스 (301) 는 하나 이상의 가스 유입구들 (311) 에 연결된다. 샤워헤드 (309) 는 샤워헤드 어셈블리 (305) 의 하단부를 형성한다. 불활성 가스 유입구 (313) 는 플라즈마 소스 (301) 의 다운스트림 및 웨이퍼 (323) 및 샤워헤드 (309) 의 업스트림에 있을 수도 있다. 프로세스 챔버 (303) 내부에서, 웨이퍼 (323) 가 포토레지스트/건식 에칭 부산물 재료 또는 유기 막과 함께 기판 지지부 (또는 스테이지) (317) 상에 얹혀진다. 기판 지지부 (317) 는, 필요에 따라 플래튼 상의 웨이퍼 (323) 를 가열하거나 냉각할 수도 있는 온도 제어 메커니즘과 피트 (fit) 될 수도 있다. 일부 실시예들에서, 플래튼 (317) 은 또한 웨이퍼 (323) 에 바이어스를 인가하도록 구성된다. 진공 펌프 및 도관 (319) 을 통해 저압이 반응 챔버 (303) 내에서 달성된다.
동작 시, 가스가 가스 유입구 (311) 를 통해 플라즈마 소스 (301) 에 도입된다. 플라즈마 소스에 도입된 가스는 플라즈마를 형성하기 위해 플라즈마 소스 내에서 이온화될 화학적으로 활성 종을 함유한다. 가스 유입구 (311) 는 임의의 타입의 가스 유입구일 수도 있고 복수의 포트들 또는 제트들을 포함할 수도 있다. 플라즈마 소스 (301) 에서 플라즈마를 형성하기 위해 소스에 도입된 가스의 활성 종이 생성된다. 도 3에서, RF 플라즈마 소스는, 플라즈마를 생성하기 위해 에너자이징되는, 유도 코일 (315) 과 함께 도시된다. 불활성 가스가 가스 유입구들 (313) 을 통해 샤워헤드의 업스트림 및 플라즈마 소스의 다운스트림에 도입된다. 불활성 가스는 플라즈마와 혼합된다. 가스 유입구들 (313) 은 임의의 타입의 가스 유입구들일 수도 있고 불활성 가스와 플라즈마의 혼합을 최적화하기 위해 복수의 포트들 또는 제트들을 포함할 수도 있다. 샤워헤드 (309) 는 플라즈마/불활성 가스 혼합물을 샤워헤드 홀들 (321) 을 통해 프로세스 챔버 (303) 내로 지향시킨다. 프로세스 챔버 (303) 내의 플라즈마/가스 혼합물의 균일성을 최대화하기 위해 임의의 수 및 배열의 샤워헤드 홀들 (321) 이 있을 수도 있다. 전기적으로 접지되거나 인가된 전압을 가질 수도 있는, 샤워헤드 어셈블리 (305) 는 몇몇 이온들을 캡처하고 방전시킬 수도 있어서 프로세스 챔버 (303) 내로 흐르는 가스의 조성을 변화시킬 수도 있다: 즉, 가스가 증가된 비율의 중성 종을 함유할 것이다. 언급된 바와 같이, 웨이퍼 (323) 는 온도 제어될 수도 있고 및/또는 RF 바이어스가 인가될 수도 있다. 플라즈마/불활성 가스 혼합물은 웨이퍼로부터 포토레지스트/에칭 부산물 재료를 제거한다.
일부 실시예들에서, 장치는 샤워헤드 어셈블리 (305) 및 샤워헤드 (309) 를 포함하지 않는다. 이들 실시예들에서, 불활성 가스 유입부들 (313) 은, 프로세스 챔버 내로 화합물을 바로 도입하고, 웨이퍼 (315) 의 업스트림에서 플라즈마와 선택적으로 혼합된다. 많은 실시예들에서, 장치는 플라즈마 소스 (301) 를 포함하지 않고, 화합물은 가스 유입부 (311 또는 313) 를 통해 챔버로 직접 도입된다. 플라즈마가 사용되는 실시예들에서, 다양한 구성들 및 기하구조들의 플라즈마 소스 (301) 및 유도 코일들 (315) 이 사용될 수도 있다. 예를 들어, 유도 코일들 (315) 은 인터레이스된 패턴으로 플라즈마 소스 (301) 둘레에 감길 (loop) 수도 있다. 또 다른 예에서, 플라즈마 소스 (301) 는 실린더 대신 돔 형상일 수도 있다.
프로세스 챔버는 도 3에 도시된 바와 같은, 후속하는 선택적인 스트립 동작이 수행되는 동안 또한 사용될 수 있는 임의의 적합한 반응 챔버일 수도 있다. 많은 실시예들에서, 프로세스 챔버는 멀티스테이션 장치의 일 스테이션일 수도 있고, 또는 단순히 단일 챔버 장치일 수도 있다. 본 명세서에 기술된 처리 방법들은 또한 로드록, 또는 포토레지스트 스트립 전에 처리를 위해 특별히 설계된 에서 수행될 수도 있다. 챔버는 또한 상이한 웨이퍼들이 동시에 프로세싱되는 복수의 스테이션들을 포함할 수도 있다. 프로세스 챔버는 주입, 에칭, 또는 다른 레지스트-매개된 프로세스가 발생하는 동일한 챔버일 수도 있다. 다른 실시예들에서, 별도의 챔버가 스트립을 위해 예비된다. 일부 실시예들에서, 처리는 에칭, 증착, 또는 이온 주입 프로세스들이 수행되기 전에 동일한 챔버에서 수행된다. 일부 실시예들에서, 에칭, 증착, 또는 이온 주입 프로세스들이 수행되기 전에 별도의 챔버에서 처리가 수행된다.
단일 스테이션 스트립 장치는 개시된 실시예들을 수행하기 위해 사용될 수도 있다. 일부 실시예들에서, 단일 스테이션 스트립 장치는 하나 이상의 챔버들을 포함할 수도 있고, 처리는 포토레지스트 제거 전에 일 챔버에서 수행될 수도 있다. 일부 실시예들에서, 처리는 스트립과 동일한 챔버 내에서 수행된다. 일부 실시예들에서, 스트립은 처리가 수행된 챔버와 상이한 챔버에서 수행된다.
둘 이상의 스테이션들이 병렬 프로세싱에서 처리 및/또는 스트립을 수행하기 위해 사용될 수도 있다. 대안적으로, 웨이퍼는 처리 동작들이 2 이상의 스테이션들에서 순차적으로 수행되도록 인덱싱될 수도 있다. 적합한 플라즈마 장치들은, 캘리포니아, 프레몬트의 Lam Research Corp.에 의해 제안된 Gamma 2100, 2130 I2CP (Interlaced Inductively Coupled Plasma), G400, 및 GxT를 포함한다.
프로세스 챔버는, 스트립 동작들이 수행되는, 하나 이상의 프로세싱 스테이션들을 포함할 수도 있다. 특정한 실시예들에서, 하나 이상의 프로세싱 스테이션들은 예열 스테이션, 적어도 하나의 스트립 스테이션, 및 오버애시 (over-ash) 스테이션을 포함한다. 기판 지지부는 프로세싱 동안 웨이퍼를 지지하도록 구성된다. 기판 지지부는 또한 필요에 따라 웨이퍼 온도를 조정하기 위해 프로세싱 동안 웨이퍼로부터 그리고 웨이퍼로 열을 전달할 수도 있다. 특정한 실시예들에서, 웨이퍼는 복수의 최소 접촉부들 상에서 지지되고 웨이퍼 지지 표면 플레인과 물리적으로 접촉하지 않는다. 스핀들은 웨이퍼를 픽업하고 (pick up) 하나의 스테이션으로부터 또 다른 스테이션으로 웨이퍼를 이송한다.
수산기 생성 화합물은 챔버의 업스트림에서 생성되고 및/또는 활성화될 수도 있다. 예를 들어, 과산화물 및 수증기는, 프로세스 챔버에 후속하여 전달되는, OH기들을 생성하기 위해 엑스 시추 (ex situ) 활성화될 수도 있다. 수산기 생성 화합물 전달 시스템은, 동작 동안 프로세스 조건들을 제어하도록 프로그램된, 프로세스 챔버를 위한 제어기 내로 통합될 수도 있다. 제어기는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 수도 있다. 프로세서는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 접속부들, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다.
수산기 생성 화합물은 플라즈마를 사용하여 챔버 내에서 활성화될 수도 있다. 예를 들어, 수소 및 NO2는 OH 라디컬들을 인 시추 생성하기 위해 플라즈마와 함께 챔버에 도입될 수도 있다.
멀티스테이션 스트립 장치는 본 명세서에 기술된 포토레지스트 또는 유기 막 및 잔여물 스트립 프로세스들을 수행하기 위해 채용될 수도 있다. 도 4는 스테이션들 (1, 2, 3, 4, 5, 및 6) 을 포함하는 장치의 상단 도면을 도시하는 단순화된 개략도이다. 일부 실시예들에서, 처리는 스테이션들 (1, 2, 3, 4, 5, 및 6) 중 하나에서의 포토레지스트 스트립 동작 전에, 로드록 (401) 과 같은 로드록에서 수행된다. 로봇 블레이드 (robotic blade) (미도시) 가 먼저 웨이퍼들을 로드록 (401) 으로부터 스테이션 (1) 로 이동시킬 수도 있다. 챔버 내의 웨이퍼 이송 디바이스 (일반적으로 로봇 암 유닛) 가 챔버 내에서 모듈들 또는 스테이션들 사이에서 웨이퍼들을 이동시킬 수도 있다. 웨이퍼들은 스테이션 (1) 에서 챔버 또는 로드록 (401) 을 통해 장치에 들어가고, 그 스테이션에서 프로세싱 동작을 위해 차례로 스테이션 각각으로 이송되고 프로세스가 완료된 후 챔버 또는 로드록 (402) 을 통해 스테이션 (6) 으로부터 나온다.
다양한 실시예들에서, 동작들 동안 프로세스 조건들을 제어하기 위해 시스템 제어기 (450) 가 채용된다. 시스템 제어기 (450) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 접속부들, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다.
시스템 제어기 (450) 증착 장치의 모든 액티비티들을 제어할 수도 있다. 시스템 제어기 (450) 는 타이밍, 플로우 레이트들, 가스들 또는 증기들의 혼합물, 챔버 압력, 챔버 온도, 웨이퍼 온도, 적용가능하다면 RF (radio frequency) 전력 레벨들, 웨이퍼 척 또는 페데스탈 위치, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는, 시스템 제어 소프트웨어를 실행한다. 시스템 제어기 (450) 와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 일부 실시예들에서 채용될 수도 있다. 대안적으로, 제어 로직은 제어기 내에서 하드 코딩될 수도 있다. ASICs (Applications Specific Integrated Circuits), PLD들 (Programmable Logic Devices) (예를 들어, FPGAs (field-programmable gate arrays)) 등이 이들 목적들을 위해 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드"가 사용되면, 기능적으로 비슷한 하드 코딩된 로직이 그 위치에서 사용될 수도 있다.
사용자 인터페이스는 시스템 제어기 (450) 와 연관될 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽적인 소프트웨어 디스플레이 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등의 사용자 입력 디바이스들을 포함할 수도 있다.
시스템 제어 로직은 임의의 적합한 방식으로 구성될 수도 있다. 일반적으로, 로직은 하드웨어 및/또는 소프트웨어로 설계되거나 구성될 수 있다. 구동 회로를 제어하기 위한 인스트럭션들은 하드 코딩되거나 소프트웨어로서 제공될 수도 있다. 인스트럭션들은 "프로그래밍"에 의해 제공될 수도 있다. 이러한 프로그래밍은, 디지털 신호 프로세서들, ASIC들, 및 하드웨어로서 구현된 특정한 알고리즘들을 갖는 다른 디바이스들 내에서 하드 코딩된 로직을 포함하는, 임의의 형태의 로직을 포함하는 것으로 이해된다. 프로그래밍은 또한 범용 프로세서 상에서 실행될 수도 있는 소프트웨어 또는 펌웨어 인스트럭션들을 포함하는 것을 이해된다. 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
하나 이상의 수산기 생성 화합물 플로우들, 및 캐리어 가스 플로우, 및 프로세스 시퀀스의 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 종래의 컴퓨터 판독가능 프로그래밍 언어: 예를 들어, 어셈블리어, C, C++, Pascal, Fortran, 또는 다른 것들로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램 내에서 식별된 태스크들을 수행하기 위해 프로세서에 의해 실행된다. 또한 나타낸 바와 같이, 프로그램 코드는 하드 코딩될 수도 있다.
제어기 파라미터들은, 예를 들어, 화합물 증기 조성 및 플로우 레이트들, 온도, 압력, 냉각 가스 압력, 기판 온도, 및 챔버 벽 온도와 같은 프로세스 조건들과 관련된다. 이들 파라미터들은 레시피의 형태로 사용자에게 제공되고, 사용자 인터페이스를 활용하여 입력될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 시스템 제어기 (450) 의 아날로그 입력 접속부 및/또는 디지털 입력 접속부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 증착 장치 (400) 의 아날로그 출력 접속부 및 디지털 출력 접속부 상에 출력된다.
시스템 소프트웨어는 많은 상이한 방식들로 설계되거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들이 개시된 실시예들에 따른 처리 프로세스들을 수행하기 위해 필수적인 챔버 컴포넌트들의 동작들을 제어하기 위해 작성될 수도 있다. 이 목적을 위한 프로그램들의 예들 및 프로그램들의 섹션들은 기판 포지셔닝 코드, 프로세스 가스 제어 코드, 압력 제어 코드, 및 히터 제어 코드를 포함한다.
기판 포지셔닝 프로그램은 페데스탈 또는 척 상으로 기판을 로딩하고 기판과 가스 유입부 및/또는 타깃과 같은 챔버의 다른 부분들 간의 간격을 제어하기 위해 사용된 챔버 컴포넌트들을 제어하기 위한 프로그램 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은 가스 조성 및 플로우 레이트들을 제어하고 및 선택적으로 챔버 내의 압력을 안정화하기 위해 처리 전에 챔버 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 챔버의 배기 시스템의 쓰로틀 밸브를 조절함으로써, 챔버 내의 압력을 제어하기 위한 코드를 포함할 수도 있다. 히터 제어 프로그램은 기판을 가열하기 위해 사용된 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 헬륨과 같은 열 전달 가스의 웨이퍼 척으로의 전달을 제어할 수도 있다.
증착 동안 모니터링될 수도 있는 챔버 센서들의 예들은 질량 유량 제어기들, 압력계와 같은 압력 센서들, 및 페데스탈 또는 척 내에 위치된 써모커플들을 포함한다. 적절히 프로그램된 피드백 및 제어 알고리즘들은 목표된 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터와 함께 사용될 수도 있다.
전술한 바는 단일 또는 멀티 챔버 반도체 프로세싱 툴에서의 개시된 실시예들의 구현예를 기술한다. 본 명세서에 기술된 장치 및 프로세스는, 예를 들어, 반도체 디바이스들, 디스플레이들, LEDs, 광전 패널들 등의 제조 또는 제작을 위해, 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그런 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비 내에서 함께 이용되거나 수행될 것이다.
실험
실험 1
제거 전에 패터닝된 HDI (high-dose ion-implanted) 포토레지스트를 처리하지 않는 것과 비교하여, 제거 전에 H2O2/H2O 증기를 사용하여 패터닝된 HDI 포토레지스트를 처리하는 것의 효과를 판정하기 위한 실험이 수행되었다. 패터닝된 HDI 포토레지스트 웨이퍼는 프로세스 챔버 내에서 H2O2/H2O 처리를 사용하는/사용하지 않는 H2/N2 플라즈마를 사용하여 프로세싱된다. H2O2/H2O 처리는 1 atm의 총 압력으로 수행된다.
도 5a 내지 도 5c는 웨이퍼들의 SEM (scanning electron microscope) 이미지들이다. 도 5a는 프로세싱되지 않은 웨이퍼 (501) 를 도시하고, 도 5b는 처리 없이 H2/N2 플라즈마를 사용하여 스트립된 웨이퍼를 도시하고, 도 5c는 H2O2/H2O 증기를 사용하는 처리 후에 H2/N2 플라즈마 스트립된 웨이퍼를 도시한다. 도 5b에서, 단지 H2/N2 플라즈마 스트립 후에, 불소 비함유 플라즈마를 사용하는 HDI 스트립 (HDIS) 과 연관된 크러스트 제거의 어려움을 입증하는, 조잡한 (gross) 잔여물 (502) 이 도시된다. 반대로, 도 5c에 도시된 바와 같이, 잔여물 (503) 을 제거하기 위해, H2/N2 플라즈마 전에 H2O2/H2O 처리 단계를 수행한 것이 도시된다. 이러한 발견은 H2O2/H2O 증기 처리 단계가 주입된 포토레지스트의 제거 효율성을 향상시킨다는 것을 강조한다.
통상적으로, HDIS를 위해, 잔여물을 남기지 않고 주입된 포토레지스트를 스트립하기 위해 공격적인 불소 함유 플라즈마들이 통상적으로 사용되었다. 그러나, 플라즈마 내의 불소 원자들은 실리콘, 질화 실리콘, 및 산화 실리콘을 빠르게 에칭하고, 이는 높은 재료 손실을 초래한다. 그러나, H2O2/H2O 증기 처리 단계의 도입으로, 불소 비함유 플라즈마들이 잔여물을 남기지 않고 주입된 포토레지스트를 스트립하기 위해 사용될 수 있다. 도 5c에 도시된 바와 같이, 잔여물이 없는 표면을 생성하기 위해 H2O2/H2O 증기 처리된 포토레지스트를 제거하기 위해 불소 비함유 플라즈마 (H2/N2) 가 사용되었다. 이러한 방식으로, 불소 함유 플라즈마들이 사용될 때와 비교하여 전체 재료 손실이 억제될 수 있다.
실험 2
제거 전에 H2O2 증기를 사용하여 플라즈마 도핑 후 포토레지스트를 처리하는 것의 효과를 판정하기 위한 실험이 수행되었다. 잔여물 성능을 상당히 개선하는, H2O2 처리 단계의 유효성은 또한 또 다른 애플리케이션: 플라즈마 도핑 후 포토레지스트 스트립에서 관찰되었다. 패터닝된 플라즈마 도핑된 포토레지스트 샘플은 1 atm에서 4500 ppm의 H2O2 증기로 처리되고, 이어서 불소가 없는 플라즈마를 사용하여 마일드 플라즈마 스트립 프로세스를 겪고, 처리되지 않은 샘플과 비교된다.
양자의 경우들에서, 샘플은 주입된 포토레지스트를 제거하기 위해 플라즈마 프로세싱된다. 웨이퍼들의 SEM 이미지들이 분석되고, H2O2 처리된 샘플은 처리되지 않은 샘플과 비교될 때, 뚜렷한 잔여물 개선을 명확하게 보여준다. 빔-라인 및 플라즈마 도핑 후 포토레지스트 스트립과 같은, 다양한 애플리케이션들에서 잔여물 성능을 개선하는 H2O2/H2O 증기 처리의 유효성은 개시된 실시예들의 광범위한 적용가능성을 입증한다.
실험 3
포토레지스트 제거 전에 수증기와 비교하여, 과산화수소 증기를 사용한 처리의 효과를 판정하기 위한 실험이 수행되었다. 주입된 포토레지스트 샘플은, H2/N2 플라즈마를 사용한 포토레지스트 제거 전에, 1 atm의 총 압력의 챔버 내에서 2,700 ppm의 H2O2 및 200,000 ppm의 H2O를 사용하여 처리되었다. 또 다른 주입된 포토레지스트 샘플은 H2/N2 플라즈마에 노출되기 전에 200,000 ppm의 H2O 증기만으로 처리되었다. 플라즈마 노출 후에 제거된 주입된 포토레지스트의 양은 타원 편광법 (ellipsometry) 에 의해 측정되었다. 결과들이 이하의 표 1에 나타낸다.
표 1. 과산화물을 사용하여 제거된 포토레지스트 대 과산화물을 사용하지 않고 제거된 포토레지스트

처리 조건들
주입된 포토레지스트의 제거량
(Å)
2700 ppm H2O2 + 200,000 ppm H2O 571.2
200,000 ppm H2O 만 493.9
나타낸 바와 같이, H2O2/H2O 증기를 사용한 처리는 후속하는 플라즈마 스트립 프로세스 동안 주입된 포토레지스트의 제거에서 훨씬 보다 많은 향상이 생기게 한다. 이러한 발견은 H2O2/H2O 처리 프로세스가 스트립 프로세스들과 연관된 잔여물 성능 개선에서 H2O-증기 노출보다 잠재적으로 우수하다는 것을 강조한다. H2O2/H2O 처리는 주입된 포토레지스트 제거 시 훨씬 보다 많은 향상을 보여준다.
실험 4
과산화수소 처리된 포토레지스트들, H2O2/H2O-처리된 포토레지스트들, 및 H2O-처리된 포토레지스트들, 대 처리되지 않은 포토레지스트들의 제거 레이트들을 비교하기 위한 실험이 수행되었다. 캐리어 가스, N2가 모든 웨이퍼들에 대해 사용되었다. 3 개의 고 도즈 주입된 포토레지스트 샘플들이 550 ppm, 4574 ppm, 및 100,000 ppm의 농도들에서 다양한 농도들의 H2O2만을 사용하여 처리되었다. 일 HDI 포토레지스트 샘플은 2,700 ppm의 H2O2 및 200,000 ppm의 H2O를 사용하여 처리되었다. 일 HDI 포토레지스트 샘플은 200,000 ppm의 H2O를 사용하여 처리되었다. 웨이퍼 각각은 산소 (O2) 및 N2 내에 4 %의 H2 혼합물인 형성 가스 (FG) 의 플라즈마를 사용하여 차례로 스트립된다. 제어로서, 동일한 고 도즈 주입된 포토레지스트 샘플이 질소 (N2) 가스를 사용하여 처리되고, 이어서 O2/FG 플라즈마를 사용하여 스트립된다. 샘플 각각에 대해 제거된 주입된 포토레지스트의 양이 타원 분광법에 의해 측정된다. 제거된 주입된 포토레지스트의 양들은 도 6에 플롯팅되었다.
도 6은 N2로 처리된 제어 샘플과 비교할 때 주입된 포토레지스트의 보다 높은 제거 레이트들을 초래하는, H2O2만 사용하여 처리된 샘플 및 H2O2/H2O 증기를 사용하여 처리된 샘플을 도시한다.
특정한 이론으로 제한되지 않고, 플라즈마 프로세스 전에 H2O2/H2O 증기 처리를 수행함으로써 잔여물 성능에서의 개선은 H2O2/H2O 증기를 주입된 포토레지스트 내로 도입하는 개질들의 결과로 볼 수도 있다. 이들 개질들은 후속하는 플라즈마 프로세싱 단계 동안 주입된 포토레지스트의 제거를 가속 및/또는 향상시킬 수도 있다. H2O2/H2O 증기의 조합은 또한 N2 제어 및 H2O-만으로 처리된 샘플과 비교할 때 주입된 포토레지스트 제거를 향상시킨다. H2O-만으로 처리된 샘플은 또한 N2 제어보다 높은 제거를 나타낸다. 모든 처리된 샘플들이 N2-처리된 제어 샘플과 비교할 때 주입된 포토레지스트의 제거 레이트 향상을 나타낸다.
실험 5
처리된 포토레지스트들 대 처리되지 않은 포토레지스트들의 화학적 조성을 평가하기 위한 실험이 수행되었다. 주입된 포토레지스트 샘플들이 H2O2 증기에만 또는 H2O2 및 H2O 증기의 혼합물에 노출되고, 이어서 샘플들은 FTIR (Fourier transform infrared) 에 의해 분석된다. 발생된 FTIR 스펙트럼 데이터가 도 7a 및 도 7b에 도시된다. 도 7a에 도시된 바와 같이, 처리되지 않은 포토레지스트에 대한 데이터가 점선으로 도시되고, H2O2-처리된 포토레지스트에 대한 데이터가 실선으로 도시된다. 도 7b에서, 처리되지 않은 포토레지스트에 대한 데이터가 점선으로 도시되고, H2O2/H2O-처리된 포토레지스트에 대한 데이터가 실선으로 도시된다.
H2O2 처리된 포토레지스트 샘플 및 H2O2/H2O-처리된 포토레지스트 샘플 양자는 처리되지 않은 주입된 포토레지스트 샘플 (제어) 과 비교하여 1715 cm-1에서 카르보닐 피크의 강도에서의 상승을 나타내고, 특정한 이론으로 제한되지 않고, H2O2 노출이 주입된 포토레지스트를 화학적으로 개질시킨다는 것을 암시한다. 상승된 카르보닐 함량은 폴리머 체인들이 H2O2 노출에 의해 산화되었다는 것을 나타낸다. 이러한 화학적 개질은 결국 주입된 포토레지스트를 제거하기 위해 후속하는 플라즈마 스트립 프로세스의 능력에 유리한 영향을 줄 수도 있다.
주입된 포토레지스트에 대한 화학적 개질들 외에, H2O2/H2O 증기는 또한 주입된 포토레지스트를 물리적으로 개질한다. 이러한 물리적 개질들은 보다 작은 분자량을 갖는 체인 단편들을 생성하기 위한 폴리머 체인의 단편 및 크러스트층 내에서의 채널들 또는 보이드들의 생성을 포함할 수도 있다. 현상 양자는 후속하는 플라즈마 프로세싱 단계 동안 주입된 포토레지스트의 제거 레이트를 향상시킬 수도 있고, 결국 잔여물 성능 및 처리량을 증가시킬 것이다.
실험 6
재료 손실에 대한 처리의 영향을 판정하기 위한 실험이 수행되었다. 기판들은 H2/N2-플라즈마 스트립에 노출되기 전에 다양한 조건들에서 H2O2/H2O로 처리된다. 사용된 기판들은 LPCVD (low pressure chemical vapor deposition)-Si3N4, 열적 SiO2, 및 자연적으로 발생하는 천연 (native) 산화물을 갖는 배어 (bare) Si이다. 기판의 각각의 타입에 대응하는 제거량 (즉, 재료 손실) 이 H2/N2-플라즈마 스트립 프로세스의 말단에서 측정된다. 이 제거량은 H2O2/H2O 처리 단계 및 H2/N2-플라즈마 스트립 양자의 결과로 볼 수 있는 재료 손실에 대응한다. H2O2/H2O로 처리되지 않은 기판들에 대응하는, 제어 샘플들은 H2/N2 플라즈마에만 노출된다 (처리 단계는 생략됨). 따라서, 제어 샘플과 연관된 재료 손실은 H2/N2 플라즈마의 결과로 본다. 이 제어 값으로부터의 임의의 중요한 차이는 H2O2/H2O 처리 단계로 볼 수 있는 손실이다. 이 실험에서, 기판들은 주입된 웨이퍼들에서 잔여물 개선이 관찰되는 프로세스 체제에 속하는 조건들로 처리된다.
도 8a 내지 도 8c는 처리의 다양한 조건들에 대한 제거량들의 막대 그래프를 도시한다. 제어 샘플들은 H2O2/H2O로 처리되지 않고 H2/N2 플라즈마에만 5 분 동안 150 ℃에서 노출된 기판들에 대응한다. 음의 제거량들은 프로세싱 후 웨이퍼들 상에서의 증착 또는 성장을 말한다.
도 8a에서, 기판들은 2700 ppm의 H2O2 및 200,000 ppm의 H2O로 처리된다. 처리 단계 동안 O2 및 H2O 농도들은 일정하게 유지되는 반면, 온도는 75 ℃와 250 ℃ 사이에서 가변된다. H2O2/H2O 처리로 인한 질화 실리콘 (Si3N4) 손실은 약 0.7 Å의 두께에서 무시할 만한 것을 알 수 있고, 온도에 따라 불변이다. 제어는, Si가 150 ℃에서 5 분 동안 H2/N2 플라즈마에 의해 산화되고, 산화물층의 약 5 Å 성장을 발생시킨다는 것을 보여준다. 산화물층의 성장은 Si가 소비되고 SiO2를 형성하기 위해 O 원자들과 반응한다는 것을 암시한다. H2O2/H2O로 처리된 Si 샘플들은 또한 150 ℃에서 5 분 동안 H2/N2 플라즈마 스트립만으로 프로세싱된 제어 샘플과 비슷한 산화물 성장을 보여주고, 처리 단계가 부가적인 Si 손실을 발생시키지 않는다는 것을 나타낸다. 처리된 열적 산화물 샘플들은 2 Å 미만의 약간의 산화물 증착을 보여주지만, 플라즈마 처리된 제어는 무시할만한 산화물 제거를 보여준다. 약간의 증착은 웨이퍼 표면 상에 존재하는 표면 오염물질들 및/또는 산화막 두께에서의 작은 변화를 측정하는 것과 연관된 고유의 계측 불확실성에 의해 유발된 측정 에러의 결과로 볼 수 있다.
도 8b의 기판들에 대해, 기판들은 2700 ppm의 H2O2로 처리된다. 도 8c의 기판들에 대해, 기판들은 125 ℃의 고정된 온도에서 H2O2의 다양한 농도들 (550 ppm, 2700 ppm, 100000 ppm) 을 사용하여 처리된다. 이어서, 이들 기판들은 150 ℃에서 5 분 동안 H2/N2 플라즈마에 노출된다. 이들 경우들에서, H2O2 처리로 인한 Si3N4 손실은 또한 무시할 수 있다 (± 1 Å 미만). 도 8b 및 도 8c에서, Si3N4 손실은 125 ℃에서 2,700 ppm H2O2를 사용하여 이뤄진 처리에 대해 0으로 측정된다는 것을 주의한다. 일반적으로, Si 산화는 제어 샘플과 비슷한 것으로 측정되고, H2O2 처리가 Si 손실을 유도하지 않는다는 것을 나타낸다. 처리된 열적 산화물 샘플들은 측정 에러의 결과로 볼 수 있는 약간의 증착 또는 손실을 나타낸다. 도 8c에서, 125 ℃에서 550 ppm H2O2로 처리된 샘플에 대한 열적 산화물 손실은 0으로 측정되었다는 것을 주의한다. 전반적으로, 처리는 상기한 3 개의 기판들 모두에 대해 무시할만한 재료 손실을 발생시킨다.
결론
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 자명할 것이다. 본 실시예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시예들은 예시적이고 제한하지 않는 것으로 간주되고, 실시예들은 본 명세서에 제공된 상세들로 제한되지 않는다.

Claims (20)

  1. 기판으로부터 유기 막을 제거하는 방법으로서,
    비플라즈마 조건들 하에서 상기 유기 막을 개질하기 위해 상기 유기 막을 과산화수소 증기에 노출시키는 단계; 및
    상기 유기 막을 상기 과산화수소 증기에 노출시킨 후, 상기 유기 막을 제거하기 위해 상기 유기 막을 플라즈마에 노출시키는 단계를 포함하는, 기판으로부터 유기 막을 제거하는 방법.
  2. 제 1 항에 있어서,
    상기 플라즈마는 실질적으로 불소가 없는 (fluorine-free), 기판으로부터 유기 막을 제거하는 방법.
  3. 제 1 항에 있어서,
    상기 기판은 표면 상에 유기 막을 갖지 않는 하나 이상의 노출된 표면들을 더 포함하고,
    상기 노출은 상기 하나 이상의 노출된 표면들로부터 실질적으로 재료 손실을 발생시키지 않는, 기판으로부터 유기 막을 제거하는 방법.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 노출은 상기 유기 막을 화학적으로 또는 물리적으로 개질하는, 기판으로부터 유기 막을 제거하는 방법.
  5. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 유기 막은 크러스트로 캡슐화된 (encapsulated) 벌크 포토레지스트를 포함하는 포토레지스트를 포함하고,
    상기 크러스트는 상기 벌크 포토레지스트와 상이한 특성들을 갖는, 기판으로부터 유기 막을 제거하는 방법.
  6. 제 5 항에 있어서,
    상기 포토레지스트는 이온 주입된 포토레지스트인, 기판으로부터 유기 막을 제거하는 방법.
  7. 반도체 기판 상의 유기 막을 제거하는 방법으로서,
    상기 유기 막을 화학적으로 또는 물리적으로 개질하는 수산기들을 생성하여 상기 유기 막을 처리하기 위해, 하나 이상의 수산기 생성 가스들의 응결을 방지하는 조건들 하에서, 상부에 상기 유기 막을 갖는 기판을 상기 하나 이상의 수산기 생성 가스들에 노출시키는 단계를 포함하고,
    상기 노출은 상기 유기 막을 제거하기 전에 수행되는, 반도체 기판 상의 유기 막을 제거하는 방법.
  8. 제 7 항에 있어서,
    상기 유기 막은 포토레지스트인, 반도체 기판 상의 유기 막을 제거하는 방법.
  9. 제 7 항에 있어서,
    상기 하나 이상의 수산기 생성 가스들은 과산화수소 증기를 포함하는, 반도체 기판 상의 유기 막을 제거하는 방법.
  10. 제 7 항에 있어서,
    상기 하나 이상의 수산기 생성 가스들은 수증기를 포함하는, 반도체 기판 상의 유기 막을 제거하는 방법.
  11. 제 7 항에 있어서,
    상기 하나 이상의 수산기 생성 가스들은 과산화수소 증기 및 수증기를 포함하는, 반도체 기판 상의 유기 막을 제거하는 방법.
  12. 제 7 항 내지 제 11 항 중 어느 한 항에 있어서,
    상기 유기 막을 처리한 후, 상기 유기 막을 제거하도록 상기 기판을 플라즈마에 노출시키는 단계를 더 포함하는, 반도체 기판 상의 유기 막을 제거하는 방법.
  13. 제 12 항에 있어서,
    상기 플라즈마는 실질적으로 불소가 없는, 반도체 기판 상의 유기 막을 제거하는 방법.
  14. 제 12 항에 있어서,
    상기 플라즈마는 형성 가스로부터 생성되는, 반도체 기판 상의 유기 막을 제거하는 방법.
  15. 제 8 항에 있어서,
    상부에 상기 포토레지스트를 갖는 상기 기판은, 표면 상에 포토레지스트를 갖지 않는 하나 이상의 노출된 표면들을 더 포함하고,
    상기 노출은 상기 하나 이상의 노출된 표면들로부터 실질적으로 재료 손실을 발생시키지 않는, 반도체 기판 상의 유기 막을 제거하는 방법.
  16. 제 7 항 내지 제 11 항 중 어느 한 항에 있어서,
    상기 하나 이상의 수산기 생성 가스들을 자외선 복사에 노출시키는 단계를 더 포함하는, 반도체 기판 상의 유기 막을 제거하는 방법.
  17. 제 16 항에 있어서,
    상기 하나 이상의 수산기 생성 가스들은 상기 기판을 하우징하는 챔버 내에서 자외선 복사에 노출되는, 반도체 기판 상의 유기 막을 제거하는 방법.
  18. 제 8 항에 있어서,
    상기 포토레지스트는 크러스트에 의해 캡슐화된 벌크 포토레지스트를 포함하고,
    상기 크러스트는 상기 벌크 포토레지스트와 상이한 속성들을 갖는, 반도체 기판 상의 유기 막을 제거하는 방법.
  19. 제 8 항에 있어서,
    상기 포토레지스트는 이온 주입된 포토레지스트인, 반도체 기판 상의 유기 막을 제거하는 방법.
  20. 반도체 기판들을 프로세싱하기 위한 장치로서,
    샤워헤드 및 기판 지지부를 포함하는 프로세스 챔버, 및
    적어도 하나의 프로세서 및 메모리를 갖는 제어기를 포함하고,
    상기 적어도 하나의 프로세서 및 상기 메모리는 서로 통신가능하게 접속되고,
    상기 적어도 하나의 프로세서는 적어도 플로우 제어 하드웨어와 동작가능하게 접속되고, 그리고
    상기 메모리는, 유기 막을 제거하기 위해 플라즈마를 도입하기 전에 상기 유기 막을 개질하도록 상기 프로세스 챔버로 과산화수소 증기를 도입하기 위한, 머신 판독가능한 인스트럭션들을 저장하는, 반도체 기판들을 프로세싱하기 위한 장치.
KR1020150081682A 2014-06-10 2015-06-10 포토레지스트-스트립 성능을 향상시키고 유기 막들을 개질하기 위한 과산화증기 처리 KR20150141906A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/301,155 2014-06-10
US14/301,155 US9514954B2 (en) 2014-06-10 2014-06-10 Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films

Publications (1)

Publication Number Publication Date
KR20150141906A true KR20150141906A (ko) 2015-12-21

Family

ID=54770163

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150081682A KR20150141906A (ko) 2014-06-10 2015-06-10 포토레지스트-스트립 성능을 향상시키고 유기 막들을 개질하기 위한 과산화증기 처리

Country Status (4)

Country Link
US (1) US9514954B2 (ko)
KR (1) KR20150141906A (ko)
SG (1) SG10201504321SA (ko)
TW (1) TWI686866B (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US10490399B2 (en) * 2016-03-09 2019-11-26 Tokyo Electron Limited Systems and methodologies for vapor phase hydroxyl radical processing of substrates
US9741585B1 (en) * 2016-04-12 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Reactive radical treatment for polymer removal and workpiece cleaning
US11289323B2 (en) * 2017-12-15 2022-03-29 Beijing E-Town Semiconductor Co, , Ltd. Processing of semiconductors using vaporized solvents
US11721541B2 (en) 2021-03-03 2023-08-08 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement formation
US20240112921A1 (en) * 2022-10-03 2024-04-04 Rasirc, Inc. Hydrogen peroxide plasma etch of ashable hard mask
CN117894719A (zh) * 2024-03-14 2024-04-16 合肥晶合集成电路股份有限公司 晶圆加热装置、套刻标记及装置控制方法

Family Cites Families (215)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4201579A (en) 1978-06-05 1980-05-06 Motorola, Inc. Method for removing photoresist by hydrogen plasma
US4357203A (en) 1981-12-30 1982-11-02 Rca Corporation Plasma etching of polyimide
US4699689A (en) 1985-05-17 1987-10-13 Emergent Technologies Corporation Method and apparatus for dry processing of substrates
US5158644A (en) 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
US5292393A (en) 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
JPH0777211B2 (ja) 1987-08-19 1995-08-16 富士通株式会社 アッシング方法
JPH0770524B2 (ja) 1987-08-19 1995-07-31 富士通株式会社 半導体装置の製造方法
JPH01200628A (ja) 1988-02-05 1989-08-11 Toshiba Corp ドライエッチング方法
US4961820A (en) 1988-06-09 1990-10-09 Fujitsu Limited Ashing method for removing an organic film on a substance of a semiconductor device under fabrication
US5354386A (en) 1989-03-24 1994-10-11 National Semiconductor Corporation Method for plasma etching tapered and stepped vias
US5122225A (en) 1990-11-21 1992-06-16 Texas Instruments Incorporated Selective etch method
JPH05275326A (ja) 1992-03-30 1993-10-22 Sumitomo Metal Ind Ltd レジストのアッシング方法
US5716494A (en) 1992-06-22 1998-02-10 Matsushita Electric Industrial Co., Ltd. Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
JPH06208972A (ja) 1993-01-12 1994-07-26 Matsushita Electric Ind Co Ltd プラズマ処理方法
US5522932A (en) 1993-05-14 1996-06-04 Applied Materials, Inc. Corrosion-resistant apparatus
EP0664347A3 (en) 1994-01-25 1997-05-14 Applied Materials Inc Plant for the deposition of a uniform layer of a material on a substrate.
US5744049A (en) 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
US5900351A (en) 1995-01-17 1999-05-04 International Business Machines Corporation Method for stripping photoresist
JP2956524B2 (ja) 1995-04-24 1999-10-04 日本電気株式会社 エッチング方法
US5817406A (en) 1995-07-14 1998-10-06 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and brazing material connection
US5633073A (en) 1995-07-14 1997-05-27 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and eutectic connection
JPH0936099A (ja) 1995-07-19 1997-02-07 Toshiba Corp ドライエッチング方法
JP3585591B2 (ja) 1995-07-29 2004-11-04 株式会社半導体エネルギー研究所 エッチング装置及びエッチング方法
US6045618A (en) 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6193802B1 (en) 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6187072B1 (en) 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US6194628B1 (en) 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
US5968324A (en) 1995-12-05 1999-10-19 Applied Materials, Inc. Method and apparatus for depositing antireflective coating
US5707485A (en) 1995-12-20 1998-01-13 Micron Technology, Inc. Method and apparatus for facilitating removal of material from the backside of wafers via a plasma etch
JPH09205130A (ja) 1996-01-17 1997-08-05 Applied Materials Inc ウェハ支持装置
US6013574A (en) 1996-01-30 2000-01-11 Advanced Micro Devices, Inc. Method of forming low resistance contact structures in vias arranged between two levels of interconnect lines
US5651860A (en) * 1996-03-06 1997-07-29 Micron Technology, Inc. Ion-implanted resist removal method
US5660682A (en) 1996-03-14 1997-08-26 Lsi Logic Corporation Plasma clean with hydrogen gas
US5814155A (en) 1996-06-26 1998-09-29 Vlsi Technology, Inc. Plasma ashing enhancement
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US6127262A (en) 1996-06-28 2000-10-03 Applied Materials, Inc. Method and apparatus for depositing an etch stop layer
US6083852A (en) 1997-05-07 2000-07-04 Applied Materials, Inc. Method for applying films using reduced deposition rates
US6129091A (en) 1996-10-04 2000-10-10 Taiwan Semiconductor Manfacturing Company Method for cleaning silicon wafers with deep trenches
US6562544B1 (en) 1996-11-04 2003-05-13 Applied Materials, Inc. Method and apparatus for improving accuracy in photolithographic processing of substrates
US5911834A (en) 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5830775A (en) 1996-11-26 1998-11-03 Sharp Microelectronics Technology, Inc. Raised silicided source/drain electrode formation with reduced substrate silicon consumption
US5811358A (en) 1997-01-03 1998-09-22 Mosel Vitelic Inc. Low temperature dry process for stripping photoresist after high dose ion implantation
US6039834A (en) 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6077764A (en) 1997-04-21 2000-06-20 Applied Materials, Inc. Process for depositing high deposition rate halogen-doped silicon oxide layer
US6306564B1 (en) 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US6177023B1 (en) 1997-07-11 2001-01-23 Applied Komatsu Technology, Inc. Method and apparatus for electrostatically maintaining substrate flatness
JP3317209B2 (ja) 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
JPH1187307A (ja) 1997-09-05 1999-03-30 Sony Corp レジストの除去方法及びその除去装置
US5908672A (en) 1997-10-15 1999-06-01 Applied Materials, Inc. Method and apparatus for depositing a planarized passivation layer
US6797188B1 (en) 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
US6536449B1 (en) 1997-11-17 2003-03-25 Mattson Technology Inc. Downstream surface cleaning process
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
EP0940846A1 (en) 1998-03-06 1999-09-08 Interuniversitair Micro-Elektronica Centrum Vzw Method for stripping ion implanted photoresist layer
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US5980770A (en) 1998-04-16 1999-11-09 Siemens Aktiengesellschaft Removal of post-RIE polymer on Al/Cu metal line
US6432830B1 (en) 1998-05-15 2002-08-13 Applied Materials, Inc. Semiconductor fabrication process
US6086952A (en) 1998-06-15 2000-07-11 Applied Materials, Inc. Chemical vapor deposition of a copolymer of p-xylylene and a multivinyl silicon/oxygen comonomer
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6277733B1 (en) 1998-10-05 2001-08-21 Texas Instruments Incorporated Oxygen-free, dry plasma process for polymer removal
US6342446B1 (en) 1998-10-06 2002-01-29 Texas Instruments Incorporated Plasma process for organic residue removal from copper
US6171945B1 (en) 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6107184A (en) 1998-12-09 2000-08-22 Applied Materials, Inc. Nano-porous copolymer films having low dielectric constants
US6121091A (en) 1999-01-19 2000-09-19 Taiwan Semiconductor Manufacturing Company Reduction of a hot carrier effect phenomena via use of transient enhanced diffusion processes
US6417080B1 (en) 1999-01-28 2002-07-09 Canon Kabushiki Kaisha Method of processing residue of ion implanted photoresist, and method of producing semiconductor device
JP3728165B2 (ja) 1999-01-28 2005-12-21 キヤノン株式会社 イオン注入されたホトレジストの残渣の処理方法及び半導体装置の製造方法
US6130166A (en) * 1999-02-01 2000-10-10 Vlsi Technology, Inc. Alternative plasma chemistry for enhanced photoresist removal
US6242350B1 (en) 1999-03-18 2001-06-05 Taiwan Semiconductor Manufacturing Company Post gate etch cleaning process for self-aligned gate mosfets
US6204192B1 (en) 1999-03-29 2001-03-20 Lsi Logic Corporation Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures
US6709715B1 (en) 1999-06-17 2004-03-23 Applied Materials Inc. Plasma enhanced chemical vapor deposition of copolymer of parylene N and comonomers with various double bonds
US6030901A (en) 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials
US6177347B1 (en) 1999-07-02 2001-01-23 Taiwan Semiconductor Manufacturing Company In-situ cleaning process for Cu metallization
US6492186B1 (en) 1999-08-05 2002-12-10 Eaton Corporation Method for detecting an endpoint for an oxygen free plasma process
US6281135B1 (en) 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6313042B1 (en) 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US6767698B2 (en) 1999-09-29 2004-07-27 Tokyo Electron Limited High speed stripping for damaged photoresist
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US20050022839A1 (en) 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
JP4221847B2 (ja) 1999-10-25 2009-02-12 パナソニック電工株式会社 プラズマ処理装置及びプラズマ点灯方法
JP3366301B2 (ja) 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
US6352938B2 (en) 1999-12-09 2002-03-05 United Microelectronics Corp. Method of removing photoresist and reducing native oxide in dual damascene copper process
US6365516B1 (en) 2000-01-14 2002-04-02 Advanced Micro Devices, Inc. Advanced cobalt silicidation with in-situ hydrogen plasma clean
US20010027023A1 (en) 2000-02-15 2001-10-04 Shigenori Ishihara Organic substance removing methods, methods of producing semiconductor device, and organic substance removing apparatuses
JP2001308078A (ja) 2000-02-15 2001-11-02 Canon Inc 有機物除去方法、半導体装置の製造方法及び有機物除去装置並びにシステム
US6184134B1 (en) 2000-02-18 2001-02-06 Infineon Technologies North America Corp. Dry process for cleaning residues/polymers after metal etch
US6667244B1 (en) 2000-03-24 2003-12-23 Gerald M. Cox Method for etching sidewall polymer and other residues from the surface of semiconductor devices
US6409932B2 (en) 2000-04-03 2002-06-25 Matrix Integrated Systems, Inc. Method and apparatus for increased workpiece throughput
JP4470274B2 (ja) 2000-04-26 2010-06-02 東京エレクトロン株式会社 熱処理装置
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6426304B1 (en) 2000-06-30 2002-07-30 Lam Research Corporation Post etch photoresist strip with hydrogen for organosilicate glass low-κ etch applications
US20020185226A1 (en) 2000-08-10 2002-12-12 Lea Leslie Michael Plasma processing apparatus
DE10051380C2 (de) 2000-10-17 2002-11-28 Advanced Micro Devices Inc Verfahren zur Herstellung eines Halbleiterbauteils unter Anwendung eines Schrumpfprozesses eines Strukturmerkmals
US6569257B1 (en) 2000-11-09 2003-05-27 Applied Materials Inc. Method for cleaning a process chamber
US6692903B2 (en) 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
US6733594B2 (en) 2000-12-21 2004-05-11 Lam Research Corporation Method and apparatus for reducing He backside faults during wafer processing
US6479391B2 (en) 2000-12-22 2002-11-12 Intel Corporation Method for making a dual damascene interconnect using a multilayer hard mask
US6524936B2 (en) * 2000-12-22 2003-02-25 Axcelis Technologies, Inc. Process for removal of photoresist after post ion implantation
US20020127853A1 (en) 2000-12-29 2002-09-12 Hubacek Jerome S. Electrode for plasma processes and method for manufacture and use thereof
US6319842B1 (en) 2001-01-02 2001-11-20 Novellus Systems Incorporated Method of cleansing vias in semiconductor wafer having metal conductive layer
US6589879B2 (en) 2001-01-18 2003-07-08 Applied Materials, Inc. Nitride open etch process based on trifluoromethane and sulfur hexafluoride
US6777344B2 (en) 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
WO2002065532A1 (fr) 2001-02-15 2002-08-22 Tokyo Electron Limited Procede de traitement de piece et dispositif de traitement
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US6723654B2 (en) 2001-03-30 2004-04-20 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for in-situ descum/hot bake/dry etch photoresist/polyimide layer
US6951823B2 (en) 2001-05-14 2005-10-04 Axcelis Technologies, Inc. Plasma ashing process
US6834656B2 (en) 2001-05-23 2004-12-28 Axcelis Technology, Inc. Plasma process for removing polymer and residues from substrates
US6875702B2 (en) 2001-06-11 2005-04-05 Lsi Logic Corporation Plasma treatment system
US6632735B2 (en) 2001-08-07 2003-10-14 Applied Materials, Inc. Method of depositing low dielectric constant carbon doped silicon oxide
US20030036284A1 (en) 2001-08-16 2003-02-20 Yu-Ren Chou Method for removing the photoresist layer of ion-implanting process
US6872652B2 (en) 2001-08-28 2005-03-29 Infineon Technologies Ag Method of cleaning an inter-level dielectric interconnect
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
JP4838464B2 (ja) 2001-09-26 2011-12-14 東京エレクトロン株式会社 処理方法
JP5038567B2 (ja) 2001-09-26 2012-10-03 東京エレクトロン株式会社 エッチング方法
US6680164B2 (en) 2001-11-30 2004-01-20 Applied Materials Inc. Solvent free photoresist strip and residue removal processing for post etching of low-k films
JP4326746B2 (ja) 2002-01-07 2009-09-09 東京エレクトロン株式会社 プラズマ処理方法
US6720132B2 (en) 2002-01-08 2004-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Bi-layer photoresist dry development and reactive ion etch method
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US6848455B1 (en) 2002-04-22 2005-02-01 Novellus Systems, Inc. Method and apparatus for removing photoresist and post-etch residue from semiconductor substrates by in-situ generation of oxidizing species
US7074298B2 (en) 2002-05-17 2006-07-11 Applied Materials High density plasma CVD chamber
US6656832B1 (en) 2002-07-25 2003-12-02 Taiwan Semiconductor Manufacturing Co., Ltd Plasma treatment method for fabricating microelectronic fabrication having formed therein conductor layer with enhanced electrical properties
US7833957B2 (en) 2002-08-22 2010-11-16 Daikin Industries, Ltd. Removing solution
US6900135B2 (en) 2002-08-27 2005-05-31 Applied Materials, Inc. Buffer station for wafer backside cleaning and inspection
US6777173B2 (en) 2002-08-30 2004-08-17 Lam Research Corporation H2O vapor as a processing gas for crust, resist, and residue removal for post ion implant resist strip
US6693043B1 (en) 2002-09-20 2004-02-17 Novellus Systems, Inc. Method for removing photoresist from low-k films in a downstream plasma system
US6837967B1 (en) 2002-11-06 2005-01-04 Lsi Logic Corporation Method and apparatus for cleaning deposited films from the edge of a wafer
US6787452B2 (en) 2002-11-08 2004-09-07 Chartered Semiconductor Manufacturing Ltd. Use of amorphous carbon as a removable ARC material for dual damascene fabrication
KR100476136B1 (ko) 2002-12-02 2005-03-10 주식회사 셈테크놀러지 대기압 플라즈마를 이용한 표면처리장치
US6780782B1 (en) 2003-02-04 2004-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Bi-level resist structure and fabrication method for contact holes on semiconductor substrates
US20040195208A1 (en) 2003-02-15 2004-10-07 Pavel Elizabeth G. Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal
WO2004102640A1 (en) 2003-05-07 2004-11-25 Axcelis Technologies, Inc. Wide temperature range chuck system
US20040237997A1 (en) 2003-05-27 2004-12-02 Applied Materials, Inc. ; Method for removal of residue from a substrate
KR100542031B1 (ko) 2003-05-30 2006-01-11 피에스케이 주식회사 반도체 제조공정에서의 포토레지스트 제거방법
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US7256134B2 (en) 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US7799685B2 (en) 2003-10-13 2010-09-21 Mattson Technology, Inc. System and method for removal of photoresist in transistor fabrication for integrated circuit manufacturing
US6924239B2 (en) 2003-10-14 2005-08-02 Texas Instruments Incorporated Method for removal of hydrocarbon contamination on gate oxide prior to non-thermal nitridation using “spike” radical oxidation
US20050106888A1 (en) 2003-11-14 2005-05-19 Taiwan Semiconductor Manufacturing Co. Method of in-situ damage removal - post O2 dry process
WO2005072211A2 (en) 2004-01-20 2005-08-11 Mattson Technology, Inc. System and method for removal of photoresist and residues following contact etch with a stop layer present
US20050158667A1 (en) 2004-01-20 2005-07-21 Applied Materials, Inc. Solvent free photoresist strip and residue removal processing for post etching of low-k films
JP2005268312A (ja) 2004-03-16 2005-09-29 Semiconductor Leading Edge Technologies Inc レジスト除去方法及びそれを用いて製造した半導体装置
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
JP4421609B2 (ja) 2004-03-31 2010-02-24 富士通マイクロエレクトロニクス株式会社 基板処理装置および半導体装置の製造方法、エッチング装置
US7628864B2 (en) 2004-04-28 2009-12-08 Tokyo Electron Limited Substrate cleaning apparatus and method
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7632756B2 (en) 2004-08-26 2009-12-15 Applied Materials, Inc. Semiconductor processing using energized hydrogen gas and in combination with wet cleaning
JP2006073612A (ja) 2004-08-31 2006-03-16 Rohm Co Ltd レジスト除去方法
US7597816B2 (en) 2004-09-03 2009-10-06 Lam Research Corporation Wafer bevel polymer removal
US20060051965A1 (en) 2004-09-07 2006-03-09 Lam Research Corporation Methods of etching photoresist on substrates
US7169623B2 (en) 2004-09-09 2007-01-30 Tegal Corporation System and method for processing a wafer including stop-on-aluminum processing
US20060102197A1 (en) 2004-11-16 2006-05-18 Kang-Lie Chiang Post-etch treatment to remove residues
US7202176B1 (en) 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
KR100607777B1 (ko) 2004-12-27 2006-08-01 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
DE102004063036A1 (de) 2004-12-28 2006-07-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Ausbilden von Kontaktflecken
US7601272B2 (en) 2005-01-08 2009-10-13 Applied Materials, Inc. Method and apparatus for integrating metrology with etch processing
US7344993B2 (en) 2005-01-11 2008-03-18 Tokyo Electron Limited, Inc. Low-pressure removal of photoresist and etch residue
US7268071B2 (en) 2005-01-12 2007-09-11 Sony Corporation Dual damascene interconnections having low K layer with reduced damage arising from photoresist stripping
JP2006203035A (ja) 2005-01-21 2006-08-03 Tokyo Electron Ltd プラズマエッチング方法
US7432172B2 (en) 2005-01-21 2008-10-07 Tokyo Electron Limited Plasma etching method
JP2006221772A (ja) 2005-02-14 2006-08-24 Fuji Photo Film Co Ltd ディスク状情報媒体の製造方法
US7198677B2 (en) 2005-03-09 2007-04-03 Wafermasters, Inc. Low temperature wafer backside cleaning
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
JP2006351594A (ja) 2005-06-13 2006-12-28 Toshiba Ceramics Co Ltd 半導体ウェーハの電気特性の測定方法
JP2007019367A (ja) 2005-07-11 2007-01-25 Ricoh Co Ltd 半導体装置の製造方法
JP5011852B2 (ja) 2005-07-20 2012-08-29 富士通セミコンダクター株式会社 電子デバイスの製造方法
US7411298B2 (en) 2005-08-17 2008-08-12 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.) Source/drain electrodes, thin-film transistor substrates, manufacture methods thereof, and display devices
US7468326B2 (en) 2005-08-24 2008-12-23 United Microelectronics Corp. Method of cleaning a wafer
KR101221560B1 (ko) * 2005-09-02 2013-01-14 주식회사 동진쎄미켐 변성된 포토레지스트 제거를 위한 반도체 소자용 박리액조성물
US7465680B2 (en) 2005-09-07 2008-12-16 Applied Materials, Inc. Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2
US7909960B2 (en) 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
JP2007109744A (ja) 2005-10-11 2007-04-26 Tokuyama Corp 基板洗浄液
KR100742279B1 (ko) 2005-12-22 2007-07-24 삼성전자주식회사 반도체 소자의 제조 장치 및 방법
KR20070069802A (ko) 2005-12-28 2007-07-03 엘지.필립스 엘시디 주식회사 평판표시소자의 제조장치 및 그를 이용한 기판파손방지방법
US7432209B2 (en) 2006-03-22 2008-10-07 Applied Materials, Inc. Plasma dielectric etch process including in-situ backside polymer removal for low-dielectric constant material
US8034176B2 (en) 2006-03-28 2011-10-11 Tokyo Electron Limited Gas distribution system for a post-etch treatment system
US7851369B2 (en) 2006-06-05 2010-12-14 Lam Research Corporation Hardmask trim method
US8124516B2 (en) 2006-08-21 2012-02-28 Lam Research Corporation Trilayer resist organic layer etch
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US7655571B2 (en) 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US7595005B2 (en) 2006-12-11 2009-09-29 Tokyo Electron Limited Method and apparatus for ashing a substrate using carbon dioxide
DE102006062035B4 (de) 2006-12-29 2013-02-07 Advanced Micro Devices, Inc. Verfahren zum Entfernen von Lackmaterial nach einer Implantation mit hoher Dosis in einem Halbleiterbauelement
US8083963B2 (en) 2007-02-08 2011-12-27 Applied Materials, Inc. Removal of process residues on the backside of a substrate
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
JP5332052B2 (ja) * 2007-06-01 2013-11-06 シャープ株式会社 レジスト除去方法、半導体製造方法、及びレジスト除去装置
KR101440282B1 (ko) 2007-07-11 2014-09-17 주성엔지니어링(주) 플라즈마 세정 방법
US20090061623A1 (en) 2007-09-05 2009-03-05 United Microelectronics Corp. Method of forming electrical connection structure
JP5192214B2 (ja) 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
WO2009099660A2 (en) 2008-02-08 2009-08-13 Lam Research Corporation Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
JP5102653B2 (ja) 2008-02-29 2012-12-19 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
US20090277871A1 (en) 2008-03-05 2009-11-12 Axcelis Technologies, Inc. Plasma mediated ashing processes that include formation of a protective layer before and/or during the plasma mediated ashing process
TWI472882B (zh) 2008-05-06 2015-02-11 Novellus Systems Inc 光阻剝離方法及設備
US8791001B2 (en) 2008-09-08 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. N2 based plasma treatment and ash for HK metal gate protection
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US20120024314A1 (en) 2010-07-27 2012-02-02 Axcelis Technologies, Inc. Plasma mediated ashing processes
US20100130017A1 (en) 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
US8268722B2 (en) 2009-06-03 2012-09-18 Novellus Systems, Inc. Interfacial capping layers for interconnects
WO2011008436A2 (en) 2009-07-13 2011-01-20 Applied Materials, Inc. Method for removing implanted photo resist from hard disk drive substrates
TWI559501B (zh) 2009-08-07 2016-11-21 半導體能源研究所股份有限公司 半導體裝置和其製造方法
WO2011072061A2 (en) 2009-12-11 2011-06-16 Novellus Systems, Inc. Enhanced passivation process to protect silicon prior to high dose implant strip
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US8415212B2 (en) 2010-03-11 2013-04-09 Freescale Semiconductor, Inc. Method of enhancing photoresist adhesion to rare earth oxides
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch

Also Published As

Publication number Publication date
TW201611120A (zh) 2016-03-16
TWI686866B (zh) 2020-03-01
US20150357202A1 (en) 2015-12-10
SG10201504321SA (en) 2016-01-28
US9514954B2 (en) 2016-12-06

Similar Documents

Publication Publication Date Title
US9514954B2 (en) Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9941108B2 (en) High dose implantation strip (HDIS) in H2 base chemistry
JP5888652B2 (ja) 方法、装置および製造方法
KR102013959B1 (ko) 개선된 디바이스 무결성을 위한 포토레지스트 스트립 공정들
US9443701B2 (en) Etching method
KR102148035B1 (ko) 높은 선택도에 의한 폴리실리콘 및 자연 산화물의 제거
JP5770740B2 (ja) 高ドーズインプラントストリップの前に行われる、シリコンを保護するためのパッシベーションプロセスの改善方法およびそのための装置
US20080153306A1 (en) Dry photoresist stripping process and apparatus
TWI497235B (zh) 於基於氫氣之化學物中的高劑量植入剝離法
US6955177B1 (en) Methods for post polysilicon etch photoresist and polymer removal with minimal gate oxide loss
TW202018807A (zh) 電子激勵原子層蝕刻