KR102013959B1 - 개선된 디바이스 무결성을 위한 포토레지스트 스트립 공정들 - Google Patents

개선된 디바이스 무결성을 위한 포토레지스트 스트립 공정들 Download PDF

Info

Publication number
KR102013959B1
KR102013959B1 KR1020120093279A KR20120093279A KR102013959B1 KR 102013959 B1 KR102013959 B1 KR 102013959B1 KR 1020120093279 A KR1020120093279 A KR 1020120093279A KR 20120093279 A KR20120093279 A KR 20120093279A KR 102013959 B1 KR102013959 B1 KR 102013959B1
Authority
KR
South Korea
Prior art keywords
photoresist
substrate
hydrogen
strip
plasma
Prior art date
Application number
KR1020120093279A
Other languages
English (en)
Other versions
KR20130023167A (ko
Inventor
로이 샤비브
커크 오스트로스키
데이비드 청
준홍 박
바유 더조이스워로
패트릭 제이 로드
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20130023167A publication Critical patent/KR20130023167A/ko
Application granted granted Critical
Publication of KR102013959B1 publication Critical patent/KR102013959B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature

Abstract

본 출원에서 제공된 것은 실리콘 웨이퍼 또는 다른 기판에서의 전위 (dislocation) 들을 감소시키는 수소계 포토레지스트 스트립 작업들의 방법들 및 장치이다. 갖가지 실시형태들에 따르면, 수소계 포토레지스트 스트립 방법들은 다음의 기법들 중 하나 이상을 채용할 수 있다: 1) 최소 오버스트립 지속시간을 갖는 단기 공정들을 이용하는 것에 의한 수소 예산의 최소화, 2) 희석 수소, 예컨대, 2% - 16% 수소 농도를 제공하는 것, 3) 처리 조건들 및 화학물질을 제어하는 것에 의한 재료 손실의 최소화, 4) 저온 레지스트 스트립을 이용하는 것, 5) 주입 조건들 및 농도들을 제어하는 것, 및 6) 하나 이상의 스트립 후 벤팅 공정들을 수행하는 것. 이 포토레지스트 스트립 방법들을 수행하기에 적합한 장치도 또한 제공된다.

Description

개선된 디바이스 무결성을 위한 포토레지스트 스트립 공정들{PHOTORESIST STRIP PROCESSES FOR IMPROVED DEVICE INTEGRITY}
관련 출원에 대한 상호 참조
이 출원은 2011년 8월 26일자로 출원된 미국 특허 가출원 제61/528,029호와 2012년 8월 20일자로 출원된 미국 특허 출원 제13/590,083호를 우선권 주장하며, 이것들은 참조로 본 명세서에 통합된다.
포토레지스트는, 프로세싱 동안, 작업편 (work piece), 예컨대, 반도체 웨이퍼 상에 패터닝된 코팅물을 형성하기 위해 소정의 제작 공정들에서 사용되는 광감성 재료이다. 포토레지스트 코팅된 표면을 고 에너지 방사선의 패턴에 노출시킨 후, 이 포토레지스트의 일 부분을 제거하여 그 표면 아래를 드러내며, 그 표면의 나머지는 보호되게 그대로 둔다. 에칭, 퇴적, 및 이온 주입과 같은 반도체 공정들이 덮여있지 않은 표면 및 남아있는 포토레지스터에 대해 수행될 수 있다. 하나 이상의 반도체 공정들을 수행한 후, 남아있는 포토레지스트는 스트립 작업으로 제거된다.
본 출원에서 제공된 것은 실리콘 웨이퍼 또는 다른 기판에서의 전위 (dislocation) 들을 감소시키는 수소계 포토레지스트 스트립 작업들의 방법들 및 장치이다. 갖가지 실시형태들에 따르면, 수소계 포토레지스트 스트립 방법들은 다음의 기법들 중 하나 이상을 채용할 수 있다: 1) 최소 오버스트립 지속시간을 갖는 단기 공정들을 이용하는 것에 의한 수소 예산 (hydrogen budget) 의 최소화, 2) 희석 수소, 예컨대, 2% - 16% 수소 농도를 제공하는 것, 3) 처리 조건들 및 화학물질 (chemistry) 을 제어하는 것에 의한 재료 손실의 최소화, 4) 저온 레지스트 스트립을 이용하는 것, 5) 주입 조건들 및 농도들을 제어하는 것, 및 6) 하나 이상의 스트립 후 벤팅 공정들을 수행하는 것. 이 포토레지스트 스트립 방법들을 수행하기에 적합한 장치도 또한 제공된다.
한 방법은, 포토레지스트가 상부에 배치된 기판을 제공하는 단계와 그 기판을 질소 및 수소를 포함하고 약 2% 와 16% 사이의 수소 농도 [H]를 갖는 처리 가스로부터 발생된 플라즈마에 노출시켜 상기 기판으로부터 포토레지스트를 제거하는 단계를 제공한다. 소정의 실시형태들에서, 처리 가스는 분자 질소 (N2) 및 분자 수소 (H2) 를 포함한다. 소정의 실시형태들에서, 처리 가스는 실질적으로 무 산소 함유 화합물들을 함유한다. 실시형태들에서, 수소 농도는 약 2% 와 10% 사이이고 8% 미만일 수 있다. 일부 실시형태들에서, 수소 농도는 약 4%이다.
처리 가스들 화학물질들의 예들은 H2/N2, H2/N2/Ar, 및 H2/N2/He을 포함한다. 일부 실시형태들에서, 기판은 시간 t 동안 플라즈마에 노출되고, [H] 및 시간 t의 교적 (cross-product) ([H] × t) 은 약 50 과 2000 sccm-초 사이, 또는 약 50 과 500 sccm-초 사이이다. 소정의 실시형태들에서, 기판 온도는 약 285℃ 미만이며, 예를 들어 약 200℃ 와 250℃ 사이이다.
본 명세서에서 제공되는 이 개시내용의 다른 양태는, 포토레지스트가 상부에 배치된 기판을 반응 챔버에 제공하는 단계; 기판을 수소를 포함하는 처리 가스로부터 발생된 플라즈마에 노출시켜 기판으로부터 포토레지스트를 제거하는 단계; 기판을 플라즈마에 노출시킨 후, 기판을 약 200℃ 와 450℃ 사이의 기판 온도에서 벤팅 (venting) 하는 단계를 포함하는 방법이다. 일부 실시형태들에서, 이 방법들은, 기판을 벤팅한 후, 고온 주입 드라이브 (high temperature implant drive) 처리를 적어도 약 800℃의 기판 온도에서 수행하는 단계를 더 포함한다. 처리 가스의 수소 농도는 소정의 실시형태들에서 16% 이상일 수 있다. 소정의 실시형태들에서, 처리 가스의 수소 농도 [H]는 16% 미만이다. 소정의 실시형태들에서, 처리 가스의 수소 농도 [H]는 10% 미만이다. 소정의 실시형태들에서, 처리 가스의 수소 농도 [H]는 5% 미만이다.
본 명세서에서 개시된 주제의 다른 양태는, 플라즈마 소스; 가스 혼합물을 플라즈마 소스에 도입하기 위한 가스 인입구; 가스 인입구의 하류에 위치된 샤워헤드; 및 샤워헤드 하류의 기판 지지체를 포함하는 장치이며, 상기 기판 지지체는 기판 지지체 상에 지지되는 기판의 온도를 제어하는 온도 제어 메커니즘 및 페데스탈, 및 본 명세서에 기재된 방법들을 수행하기 위한 명령들 (instructions) 의 세트를 실행하는 제어기를 포함한다. 일부 실시형태들에서, 명령들의 세트는, 질소 및 수소를 포함하고 약 2% 와 16% 사이의 수소 농도 [H]를 갖는 가스 혼합물을 가스 인입구에 도입하기 위한 명령들을 포함한다. 일부 실시형태들에서, 명령들의 세트는, 기판의 온도를 약 285℃ 이하로 유지하기 위한 명령들을 포함한다.
도 1(a) - 도 1(d) 는 이온 주입 및 스트립핑 작업들 전후의 반도체 제작의 다양한 스테이지들을 도시한다.
도 2는 포토레지스트 스트립 공정의 소정의 작업들을 예시하는 흐름도를 나타낸다.
도 3은 a) 16% H2를 이용하는 포토레지스트 스트립 플라즈마에 대한 노출, b) 4% H2를 이용하는 포토레지스트 스트립 플라즈마에 대한 노출, c) Si 웨이퍼의 베어 (bare) 제어 및 d) 급속 열 처리 (RTP) 후 900℃에서 30초 동안의 어닐 후의 실리콘 웨이퍼들의 400 Å의 깊이까지 원자들의 농도를 보여주는 그래프이다.
도 4는 a) 16% H2를 이용하는 포토레지스트 스트립에 대한 노출, b) 4% H2를 이용하는 포토레지스트 스트립에 대한 노출, 및 c) Si 웨이퍼의 베어 제어 후의 실리콘 웨이퍼들에 대한 FTIR 스펙트럼들을 나타낸다.
도 5a 및 5b는 게이트 아래의 탄소 도핑된 실리콘의 개략도들이다.
도 6은 결정성 Si에서의 탄소 함량의 분석을 수소 플라즈마에 대한 노출의 함수로서 나타낸다.
도 7은 포토레지스트 스트립 공정의 소정 작업들을 예시하는 흐름도를 나타낸다.
도 8은 제어를 위해 비교되는, a) 16% H2를 이용하는 포토레지스트 스트립 플라즈마에 대한 노출과 12 시간 200℃ 공기 중의 어닐, 및 b) 4% H2를 이용하는 포토레지스트 스트립 플라즈마에 대한 노출과 12 시간 200℃의 공기 중의 어닐 후의 기판들의 FTIR 스펙트럼들을 나타낸다.
도 9는 본 명세서에서 설명되는 방법들을 실행하는데 적합한 다운스트림 플라즈마 장치의 양태들을 보여주는 개략도이다.
도 10은 본 명세서에서 설명되는 방법들을 실행하는데 적합한 멀티-스테이션 장치의 평면도를 보여주는 단순화된 개략도이다.
본 발명의 다음의 상세한 설명에서, 다수의 특정 실시형태들이 본 발명의 완전한 이해를 제공하기 위해 언급된다. 그러나, 당업자들에게 명백한 바와 같이, 본 발명은 이들 특정 세부사항들 없이 또는 대안적인 요소들 또는 프로세스들을 이용하는 것에 의해 실행될 수도 있다. 다른 사례들에서 주지의 프로세스들, 절차들 및 구성요소들이 상세히 설명되고 있지 않은데 본 발명의 양태들을 불필요하게 모호하도록 하지 않기 위해서이다.
이 출원에서, 용어들 "작업편 (work piece)", "반도체 웨이퍼", "웨이퍼" 및 "부분적으로 제작된 집적 회로"는 상호교환적으로 사용될 것이다. 당업자는, 용어 "부분적으로 제작된 집적 회로"가 집적회로 제작의 많은 스테이지들 중의 임의의 스테이지 동안의 실리콘 웨이퍼를 지칭할 수 있음을 이해할 것이다. 다음의 상세한 설명은 본 발명이 웨이퍼 상에 구현됨을 가정한다. 그러나, 본 발명이 그렇게 제한적이지는 않는다. 작업편은 다양한 형상들, 사이즈들, 및 재료들로 될 수도 있다. 반도체 웨이퍼들 외에, 본 발명을 이용할 수도 있는 다른 작업편들은 디스플레이들, 인쇄 회로판들 등과 같은 다양한 아티클들을 포함한다.
포토레지스트는, 프로세싱 동안, 작업편, 예컨대, 반도체 웨이퍼 상에 패터닝된 코팅물을 형성하기 위해 소정의 제작 공정들에서 사용되는 광감성 재료이다. 포토레지스트 코팅된 표면을 고 에너지 방사선의 패턴에 노출시킨 후, 이 포토레지스트의 일 부분을 제거하여 그 표면 아래를 드러내며, 그 표면의 나머지는 보호되게 그대로 둔다. 에칭, 퇴적, 및 이온 주입과 같은 반도체 공정들이 덮여있지 않은 표면 및 남아있는 포토레지스터에 대해 수행된다. 하나 이상의 반도체 공정들을 수행한 후, 남아있는 포토레지스트는 스트립 작업으로 제거된다.
p 및 n MOSFET 및 다른 트랜지스터 제작 공정들, DRAM 스토리지 커패시터 제작 공정들, 및 플래시 부유 게이트 제작 공정들을 포함한 FEOL (Front-End-of-Line) 제작 공정들이, 다수의 리소그래픽 패터닝 작업들, 및 다수의 포토레지스트 스트립 공정들을 수반할 수 있다. 일부 실시형태들에서, 포토레지스트 스트립 공정들은 고 도즈 주입 스트립 (high-dose implant strip; HDIS) 또는 다른 주입 스트립 공정들일 수도 있거나 또는 그런 공정들을 포함할 수도 있다.
이온 주입 동안, 도펀트 이온들, 예컨대, 붕소, 이불화 붕소, 인듐, 갈륨, 탈륨, 인, 비소, 안티몬, 비스무트, 탄소, 제논, 아르곤 또는 게르마늄의 이온들은, 작업편 타깃을 향하여 가속된다. 이 이온들은 작업편의 노출된 영역들에 뿐만 아니라 남아있는 포토레지스트 표면에 주입된다. 이 공정은 웰 영역들 (소스/드레인), 저농도 도핑된 드레인 (LDD), 할로 주입 영역들, 및 이중 확산된 드레인 (DDD) 영역들, 뿐만 아니라 다른 주입된 영역들을 형성할 수도 있다. 이온 주입은 레지스트에 주입 종들을 침투시키고 표면 수소를 공핍시킨다. 레지스트의 외부 층 또는 크러스트는 하부의 벌크 레지스트 층보다 훨씬 더 긴밀할 수도 있는 탄화된 층을 형성한다. 이들 두 개의 층들은 상이한 열팽창율들을 가지고 상이한 율들에서 스트립핑 공정에 반응한다.
외부 층과 벌크 층 사이의 차이는 고도즈 이온 주입 레지스트 후에 상당히 두드러진다. 고도즈 주입에서, 이온 도즈는 1 × 1015 ions/cm2 보다 클 수도 있고 에너지는 10 KeV부터 100 KeV 초과까지일 수도 있다. 전통적인 HDIS 공정들은 일원자 산소 플라즈마가 공정 챔버로부터 떨어진 곳에서 형성된 다음 작업편 표면으로 향하게 되는 산소 화학물질들을 채용한다. 반응성 산소는 포토레지스트와 결합하여 진공 펌프에 의해 제거되는 기체 부산물들을 형성한다. HDIS의 경우, 부가적인 가스들이 주입된 도펀트들을 산소로 제거하는데 필요하다.
기본적인 주입 스트립 고려사항들은 스트립 속도, 잔여물의 양, 및 노출된 하부의 박층의 막 손실을 포함한다. 잔여물들은 주입 및 스트립핑 후에 기판 표면에서 보통 발견된다. 그것들은 고에너지 주입 동안의 스퍼터링, 크러스트의 불완전한 제거, 및/또는 레지스트에서의 주입 원자들의 산화로부터 생겨날 수도 있다. 스트립핑 후, 표면은 높은 수율 (yield) 을 보장하고 부가적인 잔여물 제거 프로세싱에 대한 필요를 없애기 위해 잔여물이 없거나 또는 실질적으로 잔여물이 없어야 한다. 잔여물들은 오버스트립핑, 즉, 모든 포토레지스트를 제거하기 위해 명목상 필요한 포인트 후의 스트립 공정의 지속에 의해 제거될 수도 있다. 유감스럽게도, 기존의 주입 스트립 작업들에서, 오버스트립핑은 하부의 기능적 디바이스 구조물의 일부를 때때로 제거한다. 디바이스 층에서, 트랜지스터 소스/드레인 영역들로부터의 매우 적은 실리콘 손실조차도, 특히 <32nm 디자인 룰 이하에서 제작된 울트라 쉘로우 접합 (ultra shallow junction) 디바이스들에 대해서는, 디바이스 성능 및 수율에 악영향을 줄 수도 있다.
일부 실시형태들에서, 방법들 및 장치는 고도즈 이온 주입 후 포토레지스트 재료들을 효율적으로 및 효과적으로 제거하기 위해 사용될 수도 있다. 이 방법들 및 장치는 고도즈 주입 스트립 (HDIS) 으로 제한되지 않는다. 이 방법들 및 장치는 또한 주입되는 도펀트들의 임의의 특정 카테고리로 제한되지 않는다. 예를 들면, 설명된 방법들 및 장치는 중간 또는 낮은 도즈 주입 후의 스트립핑으로 효과적으로 사용될 수도 있다. 붕소, 비소, 및 인과 같은 특정 도펀트 이온들이 논해졌지만, 설명된 방법들 및 장치는 다른 도펀트들, 이를테면 질소, 산소, 탄소, 게르마늄, 및 알루미늄이 침투된 레지스트를 스트립핑하는데 효과적으로 사용된다. 게다가, 이 방법들 및 장치는 주입 후의 포토레지스트 제거로 제한되지 않으며, 또한, 주입을 받지 않은 포토레지스트를 제거하는데에 사용될 수도 있다.
본 명세서에서 설명되는 방법들 및 장치는 포토레지스트 및/또는 관련된 잔여물을 제거하기 위해 수소계 화학물질들을 채용한다. 일부 실시형태들에서, 화학물질들은 수소와 함께, 선택적인 가스, 예컨대, 실질적으로 어떠한 산화제 또는 불소계 화학물질들도 없는 질소, 헬륨, 아르곤 등을 포함한다. 일부 다른 실시형태들에서, 화학물질들은 산소 함유 화합물 및/또는 불소 함유 화합물을 포함할 수도 있다.
본 명세서에서 설명되는 스트립 공정들은 다양한 성분 가스들을 포함하는 가스로부터 플라즈마를 발생시키는 것을 일반적으로 수반한다. 나타낸 바와 같이, 본 명세서에서 설명되는 스트립 화학물질들은 수소계이다. 분자 수소 (H2) 는 통상 플라즈마 발생 가스의 주성분이다. 일부 실시형태들에서, 전체 스트립 공정의 작업들 중의 하나 이상에 대한 스트립 화학물질들은 본질적으로 H2 및 N2로 이루어진 가스로부터 발생된다.
H2/N2 화학물질들과 같은 수소계 스트립 화학물질들은 포토레지스트의 깨끗하며, 빠르고 효과적인 제거가 일어나게 하지만, 소정의 수소계 스트립 공정들은 FEOL 제작 동안 실리콘 기판들에서 전위들 또는 다른 결함들을 초래한다는 것이 뜻밖에 확인되었다. 이들 결함들은 디바이스 무결성 (integrity) 을 위태롭게 할 수 있다. Si 결정에서의 전위의 형성은 디바이스 성능에 해로울 수 있다. 일부 경우들에서 전위들은 격자 변형 (lattice straining) 의 긍정적인 영향을 무효화활 수 있고 디바이스를 느리게 할 수 있다. 다른 경우들에서 전위들은 수율 손실을 초래할 수 있다. 또 다른 경우들에서, 전위들은 장기 작업에 영향을 주는 디바이스 신뢰도 문제를 만들어 낼 수 있다. 본 명세서에서 설명되는 방법들 및 장치는 효과적인 포토레지스트 스트립핑을 제공하면서도 결함들을 감소시키거나 최소화한다.
도 1(a) - 도 1(d)는 이온 주입 및 스트립핑 작업들 전후의 반도체 제작의 다양한 스테이지들을 도시한다. 도 1(a) - 도 1(d) 가 본 명세서에서 설명되는 방법들을 채용할 수도 있는 제작 프로세스의 일 예를 제공하지만, 이 방법들은 그렇게 제한적이지 않고 임의의 수소계 포토레지스트 스트립 공정에, FEOL 공정들의 특정한 응용들에 채용될 수도 있다. 도 1(a) 는 포토레지스트 재료 (103) 로 코팅된 반도체 기판 (101) 을 나타낸다. 이 기판 (101) 은 퇴적된 막, 예컨대, 산화막, 실리사이드 콘택트, 및/또는 폴리실리콘막으로 된 하나 이상의 층들을 구비할 수도 있거나, 또는 예를 들어 SOI (silicon-on-insulator) 형 기판을 포함하여, 베어 실리콘 기판일 수도 있다. 처음에, 포토레지스트 재료가 전체 기판 표면을 코팅한다. 그 다음 포토레지스트가 마스크를 통해 발생된 패터닝된 방사선에 노출되고 현상되어 재료의 일 부분, 예컨대, 남아있는 포토레지스트 재료들 (103) 사이의 도 1(a) 에 도시된 개구부 (104) 를 제거한다.
그 다음 기판은 이온 주입 공정에 노출된다. 이온 주입 동안, 작업편 또는 웨이퍼의 표면은 도펀트 이온들로 주입된다. 이 공정은, 예를 들어, 플라즈마 침지 이온 주입 (plasma-immersion ion implanation; PIII) 또는 이온 빔 주입일 수도 있다. 이온들은 노출된 실리콘 층 (101) 및 포토레지스트 (103) 를 포함하여, 기판 표면을 폭격한다. 고 에너지 이온 주입으로, 적은 양들의 잠재적인 (underlying) 재료 (107) 가 포토레지스트 측벽들에 스퍼터링될 수도 있다. 도 1(b) 를 참조한다. 이 재료는 주입 종들 (species) 의 일부, 플라즈마 또는 이온 빔에서의 다른 재료, 및 주입의 부산물을 포함할 수도 있다. 그것들은 실리콘, 알루미늄, 탄소, 불소, 티타늄, 코발트와 같은 다른 콘택트 재료들, 및 산소를 원소 및 화합물 양쪽 모두의 형태들로 포함한다. 실제 종들은 이온 주입 전의 기판의 조성물, 포토레지스트, 및 주입된 종들에 의존한다.
노출된 실리콘 층 (101) 에서, 도핑된 영역 (109) 이 형성된다. 폭격의 이온 에너지 또는 세기는 도핑된 영역의 깊이 또는 두께를 결정한다. 이온 플럭스의 밀도는 도핑의 정도를 결정한다. 이온들은 또한 포토레지스트 표면에 침투하여 크러스트 층 (105) 을 만든다. 크러스트 층 (105) 은 탄화되고 폴리머 체인들이 고도로 가교된다. 크러스트는 보통 수소가 공핍되고 주입 종들이 침투된다. 크러스트 층 (105) 은 벌크 레지스트 층 (103) 보다 더 긴밀하다. 상대 밀도는 이온 플럭스에 의존하지만 크러스트 층의 두께는 이온 에너지에 의존한다.
이 크러스트 층 (105) 은 아래의 벌크 포토레지스트 (103) 보다 스트립에 더 단단하다. 크러스트 층의 제거 속도들은 하부의 벌크 포토레지스트보다 50% 또는 75% 더 낮을 수도 있다. 벌크 포토레지스트는 비교적 높은 레벨들의 화학 결합된 질소 및 그 원래의 캐스팅 용매의 일부를 포함한다. 상승된 웨이퍼 온도, 예컨대, 150℃ 초과 내지 200℃ 초과에서, 벌크 레지스트는 탈기하여 크러스트 층에 대해 팽창할 수 있다. 그러면 전체 포토레지스트는, 하부의 벌크 포토레지스트가 크러스트 밑에 압력을 구축하므로 "팝 (pop)" 될 수 있다. 포토레지스트 팝핑 (popping) 은 입자들 및 공정 결함들의 소스인데, 잔여물들은 웨이퍼 표면 및 챔버 내부 부분들로부터 세정하기가 특히 어렵기 때문이다. 고도즈 이온 주입으로, 크러스트와 하부의 벌크 포토레지스트 층 사이의 밀도 차이는 훨씬 높다. 크러스트는 또한 더 두꺼울 수도 있다.
도 1(c) 는 포토레지스트 (103) 및 측벽 스퍼터 잔여물 (107) 을 완전히 제거하는데 실패한 스트립 후의 기판을 나타낸다. 측벽 스퍼터 잔여물 (107) 은 기존의 스트립 화학물질들 하에서 휘발성 화합물을 형성하지 않는 입자들을 포함할 수도 있다. 이들 입자들은 기존의 스트립 작업 후에 그대로 있을 수도 있다. 잔여물은 또한 기존의 스트립 화학물질에서 사용된 반응성 산소에 의해 형성된 주입된 종들의 산화물들, 이를테면 붕소 산화물 및 비소 산화물을 포함할 수도 있다. 크러스트 (105) 의 부분들은 또한 기판 상에서 그대로 있을 수도 있다. 포토레지스트 비아들의 바닥에 형성된 크러스트 측벽들 및 코너들은 기하구조들 때문에 스트립하기에 어려울 수도 있다. 두 개의 인접한 노출 영역들 사이의 무노출에 의해 남겨질 수도 있는 스트링어들, 길며, 좁은 포토레지스트 잔여물 세그먼트들이 또한 존재할 수도 있다. 이들 잔여물 입자들은 오버스트립핑에 의해 제거될 수도 있다. 오버스트립은 모든 포토레지스트를 제거하기 위해 명목상 필요한 포인트 후의 스트립 공정의 지속에 의해 제거될 수도 있다. 포토레지스트가 웨이퍼의 일부 영역들에서 전체적으로 제거되지만 다른 영역들에서 그렇지 않다면, 스트립 공정의 지속은 부가적인 재료, 통상 실리콘 및 실리콘 산화물로 하여금 이미 스트립된 영역들로부터 제거되게 할 것이다. 도 1(d) 는 모든 잔여물이 제거된 후의 기판을 나타낸다.
여기서 설명되는 것은 이온 주입 또는 다른 패터닝 후 프로세싱 후에 포토레지스트를 스트립핑하는데 사용될 수 있는 수소 함유 플라즈마 스트립 공정들이다. 예를 들어, 본 명세서에서 설명되는 방법들 및 장치는 할로 주입 후 레지스트 스트립을 위해 구현될 수 있다. 방법들 및 장치는 디바이스 무결성을 위태롭게 할 수 있는, Si 또는 다른 기판에서의 전위들을 감소시킨다. 위에서 지적했듯이, 소정의 실시형태들에서, 수소 함유 플라즈마들은 산소 및 불소 종들과 같은 다른 반응성 종들을 함유할 수도 있거나 또는 함유하지 않을 수도 있다. 특정 이론에 의해 얽매이는 일 없이, 전위들은 산소, 불소, 또는 수소와 반응할 수 있는 다른 종들을 함유하지 않는 수소계 플라즈마들에 의해 형성되기가 더 쉽다고 생각된다. 그러나, 일부 실시형태들에서, 본 명세서에서 설명되는 접근법들은 산소, 불소 및 다른 반응성 종들을 포함하는 수소계 플라즈마들 뿐만 아니라 포함하지 않는 플라즈마들에 의해 이용될 수 있어 이롭다.
갖가지 실시형태들에 따르면 수소계 레지스트 스트립 공정들은 다음의 기법들 중 하나 이상을 채용한다: 1) 최소 오버스트립 지속시간을 갖는 단기 공정들을 이용하는 것에 의한 수소 예산의 최소화, 2) 희석 수소, 예컨대, 2% - 16% H2를 제공하는 것, 3) 처리 조건들 및 화학물질을 제어하는 것에 의한 재료 손실의 최소화, 4) 저온 레지스트 스트립을 이용하는 것, 5) 주입 조건들 및 농도들을 제어하는 것, 및 6) 하나 이상의 스트립 후 벤팅 공정들을 수행하는 것. 이것들은 아래에서 논해진다.
도 2는 포토레지스트 스트립 공정의 소정의 작업들을 예시하는 흐름도를 나타낸다. 공정 200은 블록 201에서 포토레지스트를 상부에 갖는 기판을 제공하는 것으로 시작한다. 포토레지스트를 갖는 기판들의 예들은 도 1(a) - 도 1(c) 에 관하여 위에서 설명되었다. 기판은 예를 들어, SOI 기판을 포함하는 실리콘 기판일 수 있다. 포토레지스트는 일부 실시형태들에서 실리콘 기판의 표면에 직접 위치될 수 있다. 일부 실시형태들에서, 퇴적된 막 또는 재료로 된 하나 이상의 층들이 실리콘 또는 다른 기판과 포토레지스트 사이에 있을 수도 있다. 일부 실시형태들에서, 포토레지스트와 기판은 이온 주입 공정을 받을 수도 있다. 공정 200은 계속해서 블록 203에서 벌크 포토레지스트 스트립을 행한다. 일부 실시형태들에서, 블록 203은 얇은 크러스트 층을 제거하는 하나 이상의 작업들을 포함하거나 그러한 작업들이 먼저 행해질 수도 있다. 소정의 실시형태들에서, 블록 203은 수소계 스트립 화학물질을 수반한다. 스트립 화학물질들의 예들은 다음을 포함한다: H2/N2, H2/N2/Ar, H2/N2/He, H2/N2/다른 노블 가스, H2/Ar, H2/He, 및 H2/다른 노블 가스. 다른 수소 함유 화합물들은 H2 대신에 또는 그것에 부가하여 사용될 수도 있다. 예들은 NH2를 포함한다. 다른 불활성 화합물들은 N2, Ar 또는 He 대신에 또는 그것에 부가하여 사용될 수도 있다. 이들 예들에서, 스트립 화학물질은 산화제들 또는 할로겐 함유 화합물들을 포함하지 않는다. 일부 다른 실시형태들에서, 스트립 화학물질은 설명된 N2 및 노블 가스들에 부가하여 또는 대신에 산화제 및/또는 불소 또는 다른 할로겐 함유 화합물을 포함할 수도 있다. 일부 실시형태들에서, 산화제는 비교적 강 산화제, 이를테면 O2, 또는 약 산화제일 수도 있다. 약 산화제들의 예들은 이산화 탄소 (CO2), 일산화 탄소 (CO) 와 같은 탄소 산화물들, 아산화 질소 (N2O), 일산화 질소 (NO), 이산화 질소 (NO2) 와 같은 질소 산화물들, 그리고 산화 황 (SO) 및 이산화 황 (SO2) 과 같은 황 산화물들을 포함한다. 다른 약한 산화물들의 예들은 임의의 산소 함유 탄화수소들 (CXHYOZ) 및 물 (H2O) 을 포함한다. 강 산화제들의 다른 예들은 오존 (O3) 및 과산화수소 (H2O2) 를 포함한다. 불소 함유 화합물들의 예들은 삼불화 질소 (NF3), 육불화 황 (SF6), 헥사플루오로에탄 (C2F6), 테트라플루오로메탄 (CF4), 트라이플루오로메탄 (CHF3), 디플루오로메탄 (CH2F2), 옥토플루오로프로판 (C3F8), 옥토플루오로부탄 (C4F8), 옥토플루오로[1-]부탄 (C4F8), 옥토플루오로[2-]부탄 (C4F8), 옥토플루오로이소부틸렌 (C4F8), 불소 (F2) 등을 포함한다.
공정 200은 계속해서 블록 205에서 벌크 레지스트 스트립 종결점을 검출한다. 블록 205는 적외선 검출, 광학적 검출, 방출 검출 또는 다른 적절한 검출 방법을 수반할 수 있다. 공정 200은 계속해서 블록 207에서 오버스트립 작업을 한다. 일부 실시형태들에서, 오버스트립 화학물질 및 처리 조건들은 블록 203에서의 벌크 포토레지스트 스트립을 위해 사용되는 것과 동일하다. 일부 다른 실시형태들에서, 블록 205는 블록 203에서 사용된 것과는 다른 화학물질, 성분 농도, 또는 처리 조건들을 이용하는 것을 수반할 수도 있다. 예들은 블록 203에서보다 낮은 온도, 또는 수소 농도를 이용하는 것을 포함한다.
오버스트립 작업은 벌크 스트립 작업의 지속시간 백분율 (percent duration) 로서 측정될 수 있다. Si 웨이퍼 또는 다른 기판의 적어도 부분은 종결점까지 레지스트에 의해 덮인다. 오버스트립 동안, 기판은 수소계 화학물질에 의해 야기된 전위들에 특히 취약할 수도 있다. 오버스트립 단계의 지속시간은 실험적으로 결정되며, 상이한 마스크 레벨들 및 주입 단계들에서 차이가 있을 수도 있다. 일부 실시형태들에서, 오버스트립 지속시간은 종결점에 대한 시간의 약 0 내지 200%, 예를 들어, 0 내지 50%, 또는 0 내지 20%의 범위에 있다. 오버스트립을 최소화하는 것도 또한 열 예산 및 플라즈마에 대한 기판 노출을 감소시킨다.
일부 실시형태들에서, Si 또는 다른 기판으로의 수소 흡수는, 수소를 질소 또는 다른 불활성 가스로 희석시키는 것에 의해 감소된다. 예를 들어, 수소 농도 [H]는 체적 유량 백분율로 측정된 바와 같이, 약 2% 와 16% 사이에 있을 수도 있다. 도 3은 a) 16% H2를 이용하는 포토레지스트 스트립 플라즈마에 대한 노출, b) 4% H2를 이용하는 포토레지스트 스트립 플라즈마에 대한 노출, c) Si 웨이퍼의 베어 (bare) 제어 및 d) 급속 열 처리 (RTP) 후 900℃에서 30초 동안의 어닐 후의 실리콘 웨이퍼들의 400 Å의 깊이까지 원자들의 농도 (이차 이온 질량 분석기 (Secondary Ion Mass Spectrometry; SIMS) 에 의해 측정됨) 를 보여주는 그래프이다. 질소는 a) 및 b) 에 대해 희석제로서 사용되었다. 도 3은 스트립 후에 웨이퍼에서의 H 원자들의 수가 포토레지스트 스트립 플라즈마에서의 [H]에 상관관계가 있음을 입증한다. 도 3은 또한 잡음을 초과하는 임의의 신호를 나타내는 RTP 후 곡선으로, 이들 원자들이 RTP 어닐 후에 유도된다는 것을 입증한다. 특정 이론에 의해 얽매이는 일 없이, H 원자들을 유도하는 RTP 어닐과 같은 스트립 후 프로세싱이 전위들을 유발할 수 있는 Si 결정에서의 여파를 초래할 수도 있다고 생각된다.
16%의 [H]를 갖는 스트립 처리 가스가 스트링거들 및 다른 잔여물을 제거함에 있어서 빠르고 효과적인 세정을 만들지만, 그것은 기판에서 전위들을 유발할 수도 있다. 따라서, 일부 실시형태들에서, 결함들을 줄이기 위해, 16% 미만, 예컨대, 2% 와 15% 사이 또는 2% 와 10% 사이의 [H]를 갖는 스트립 처리 가스가 사용된다. 일부 실시형태들에서, [H]는 8% 또는 5% 미만이다.
도 4는 a) 16% H2를 이용하는 포토레지스트 스트립에 대한 노출, b) 4% H2를 이용하는 포토레지스트 스트립에 대한 노출, 및 c) Si 웨이퍼의 베어 제어 후의 실리콘 웨이퍼들에 대한 FTIR 스펙트럼들을 나타낸다. 도 4에 보인 바와 같이, Si-H 결합들에서의 증가는 4% 및 16% 스펙트럼들에 대해 나타나지만, Si-H2 결합들에서의 증가는 16% 스펙트럼에 대해서만 나타난다. 이는 Si 웨이퍼에서의 본딩 (bonding) 이 스트립 화학물질에서의 [H]에 의해 조절될 수 있다는 것을 나타낸다.
소정의 실시형태들에서, 스트립 처리 가스에서의 수소는 시스템에서의 수소의 함입 (incorporation) 을 조절할 뿐만 아니라 본딩을 조절하기 위해서 제어된다. 이는 [H]가 체적 유량 및 t로 측정되는, 층 당 약 1 과 2400 sccm-초 사이의 교적 (cross product) [H] × 시간을 이용하여 구현될 수 있다. 예를 들어, [H] × 시간은 층 당 약 50 과 2000 sccm-초 사이, 예컨대, 50 과 1000 sccm-초 사이, 또는 스트립 장치 통과 당 약 50 과 500 sccm-초 사이일 수도 있다. 갖가지 실시형태들에 따르면, 이들 범위들 내의 교적을 갖는 스트립 작업은 위에서 설명된 바와 같은 16% 미만의 [H]를 가질 수도 있거나 또한 가지지 않을 수도 있다는 점에 주의한다.
일부 실시형태들에서, 스트립 작업은 100℃ 와 400℃ 사이의 온도에서 수행된다. 일부 실시형태들에서, 온도는 약 285℃ 미만, 예컨대, 200℃ 와 285℃ 사이, 또는 약 200℃ 와 250℃ 사이이다. Si 결정으로의 수소 흡수, 결정 내부로의 수소 확산, Si-H 및 Si-H2 결합들의 형성과 전위 형성 및 전파 모두는 온도에 의해 영향을 받을 수도 있다. 꼬임 쌍 (kink pair) 형성 및 이동은 온도에 의해 영향을 받을 수도 있다. 낮은 온도와 특히 낮은 열 예산 (sㆍK 단위로 시간 및 온도의 곱으로서 정의됨) 은 전파 및 전위들 형성의 동역학 (kinetics) 의 강한 영향을 줄 수도 있다. 특정 이론에 얽매이는 일 없이, 수소 확산 및/또는 Si-H 및 Si-H2 결합들의 형성의 속도들은 온도에 지수적 관계가 있고 시간 및 농도에 선형 의존도를 갖는 아레니우스 (Arrhenius) 거동을 나타낼 수도 있다고 생각된다. 따라서, 일부 실시형태들에서의 200℃ 와 285℃ 사이, 또는 약 200℃ 와 250℃ 사이의 공정 온도는, 디바이스 무결성을 위태롭게 할 수도 있는 하나 이상의 메커니즘들을 감소시킬 수 있다. 그 다음 전체 열 예산은 기판에 잔여물이 없는 상태로 두면서 재료 손실을 최소화하는 것에 의해 결정될 수도 있다.
일부 실시형태들에서, 주입 조건들 및 농도들이 제어된다. 도 5a는 게이트 아래의 탄소 도핑된 실리콘을 나타낸다. Si 결정에서의 탄소 불순물들이, 더 짧은 Si-C 결합으로 인한 변형을 발생시킨다. 인장 응력은 더 큰 평형 격자 상수와 더 긴 Si-Si 결합들이 생겨나게 한다. 디바이스 관점에서 이것은 더 높은 밀도의 전하 캐리어들과 더 빠른 디바이스를 유발하여, 유익하다. 기계적으로, 이것은 해롭고 결함들의 형성을 지원한다. 일부 실시형태들에서, 수소계 스트립 공정이 탄소 공핍 (depletion) 을 유발하여, 결정에서의 빈격자점 (vacancy) 을 생성하고 변형을 증가시킬 수도 있다. 이는 도 5b에 예시되어 있다. 도 6은 수소 플라즈마에 대한 노출의 함수로서의 결정성 Si에서의 탄소 함량의 SIMS 분석을 나타낸다. 플라즈마에서의 수소 농도가 4 %에서부터 16 %로 증가함에 따라 더 낮은 탄소 레벨들이 측정된다. 가장 높은 탄소 레벨은 플라즈마가 존재하지 않았던 곳에서 제어에 대해 측정된다. 레지스트 스트립으로부터의 주입된 C 와 H 사이의 상호작용은 도 6에 도시된다. 일부 실시형태들에서, 수소와 탄소 (또는 탄소, 붕소, 인, 비소 등과 같은 다른 도핑 원소) 사이의 반응으로 인한 기판 변형에 대한 수소의 영향은 도펀트 주입 농도를 감소시키는 것에 의해 최소화된다. 예를 들어, 수소 플라즈마 처리에 연계한 +/-15% 만큼의 탄소 주입의 조절은, 원하지 않은 전위들 없이 성능을 향상시키는 변형 엔지니어링을 허용한다. 갖가지 실시형태들에 따르면, C 주입 도즈 범위는 6 내지 10 KeV의 에너지에서 5*1014 내지 5*1016이다. 예를 들어, 공정은 8 KeV에서 6*1015의 도즈를 사용할 수도 있다. 일부 실시형태들에서, 비수소계 스트립에 대해 계산된 도펀트 주입 도즈는 15%까지만큼 감소될 수도 있다.
위에서 설명된 바와 같은 동일한 또는 다른 실시형태들에서, 벤팅 공정은 하나 이상의 수소계 포토레지스트 스트립 공정들 후에 수행될 수 있다. 도 7은 포토레지스트 스트립 공정의 소정 작업들을 예시하는 흐름도를 나타낸다. 공정 700은 블록 701에서 포토레지스트를 상부에 갖는 기판을 제공하는 것으로 시작한다. 위에서 나타낸 바와 같이, 일부 실시형태들에서, 포토레지스트와 기판은 주입 공정을 미리 받았다. 공정 700은 계속해서 블록 703에서 수소계 스트립 공정을 수행한다. 스트립 화학물질들은 위에서 논해졌고, 예를 들어, N2 또는 다른 불활성 희석제로 희석된 H2를 본질적으로 포함한다. 갖가지 실시형태들에 따르면, 블록 703은 크러스트 제거 작업, 벌크 포토레지스트 작업 및 오버스트립 작업 중 하나 이상을 포함할 수 있다는 점에 주의한다. 블록 703 동안, 수소가 기판으로 함입된다. 공정 700은 계속해서 블록 705에서 비교적 낮은 온도, 예컨대, 약 200℃ 와 450℃ 사이에서 벤팅을 행한다. 도 8은 제어를 위해 비교되는, a) 16% H2를 이용하는 포토레지스트 스트립 플라즈마에 대한 노출과 12 시간 200℃ 공기 중의 어닐, 및 b) 4% H2를 이용하는 포토레지스트 스트립 플라즈마에 대한 노출과 12 시간 200℃의 공기 중의 어닐 후의 FTIR 스펙트럼들을 나타낸다. 도 8은 함입된 수소가 비교적 낮은 온도에서 유도될 수 있음을 나타낸다. 특정 이론에 얽매이는 일 없이, 이들 비교적 낮은 온도들은, 사용되는 더 높은 온도들에서 발생하는 전위들을 유발하는 일 없이, 예를 들어, RTP 공정들에 의해 수소 원자들을 유도할 수 있다고 생각된다. 저온 벤팅은 다양한 실시형태들에 따라 약 450℃ 미만, 400℃ 미만, 약 300℃ 미만에서 발생할 수 있다.
도 7로 돌아가서, 일부 실시형태들에서, 공정 700은 계속해서 블록 707에서 고온 주입 드라이브를 행한다. 고온 주입 드라이브는 예를 들어 800℃ 이상의 온도들에서의 RTP 공정을 수반할 수도 있다. 일부 실시형태들에서, 블록 707에 앞서, 블록들 703 및 705는 둘 다가 여러 번 수행된다, 예컨대, FEOL 프로세싱 동안 포토레지스트 스트립이 수행되는 때마다 벤트 (vent) 작업이 수행될 수 있다. 일부 다른 실시형태들에서, 블록 703이 상이한 FEOL 디바이스들에 대해 여러 번 (예컨대, NMOS 제작의 한번, PMOS 제작에 대해 한번 등) 수행되며, 한번의 벤트가 블록 707 전에 수행된다. 일부 다른 실시형태들에서, 다수의 벤트 공정들이 블록 707 전에 수행될 수도 있다. 예를 들어, 블록 703이 10번 수행된다면, 블록 705는 한번부터 10번까지 수행될 수 있다.
가스 인입
통상 분자 수소를 포함하는 수소 함유 가스가, 플라즈마 소스에 도입된다. 플라즈마 소스에 도입된 가스는 플라즈마를 형성하기 위해 플라즈마 소스에서 이온화 등이 될 것인 화학적 활성 종들을 함유한다. 위에서 나타낸 바와 같이, 소정의 실시형태들에서, 인입 가스는 분자 수소와, 질소와 같은 하나 이상의 불활성 가스들로 본질적으로 이루어질 수도 있다. 일부 실시형태들에서, 인입 가스는 어떠한 산소 함유 화합물도 포함하지 않는다. 일부 실시형태들에서, 인입 가스는 약 산화제들인 산소 함유 화합물들만을 포함한다. 이 기술분야의 통상의 지식을 가진 자는, 그것이 존재할 수도 있는 다른 종들의 양들을 밝혀낼 것임을 이해할 것이다. 플라즈마 소스에 도입된 가스는 사전혼합될 수 있거나, 부분 혼합될 수 있거나 또는 혼합되지 않을 수도 있다.
플라즈마 발생
RF, DC, 및 마이크로파 기반 플라즈마 소스들을 포함하여, 갖가지 유형들의 플라즈마 소스들이 본 발명을 따라서 사용될 수도 있다. 바람직한 실시형태에서, 다운스트림 RF 플라즈마 소스가 사용된다. 300 mm 웨이퍼에 대한 예시적인 RF 플라즈마 전력은 약 300 와트 내지 약 10 킬로와트 사이의 범위에 있다. 일부 실시형태들에서, RF 플라즈마 전력은 약 2000 와트와 5000 와트 사이, 예컨대, 3500 W이다.
샤워헤드 어셈블리
갖가지 실시형태들에 따르면, 플라즈마 가스는 샤워헤드 어셈블리를 통해 작업 표면에 분배된다. 샤워헤드 어셈블리는 접지될 수도 있거나 또는 웨이퍼에 대한 중성 종들의 흐름에 영향을 주지 않으면서도 일부 전하 종들을 끌어당기기 위한 인가 전압, 예컨대, 0 - 1000 와트 바이어스를 가질 수도 있다. 플라즈마에서의 많은 대전된 종들은 샤워헤드에서 재결합한다. 그 어셈블리는, 그 자체가 플라즈마 및 불활성 가스 혼합물을 반응 챔버로 향하도록 하는 홀들을 갖는 금속 판들일 수도 있는 샤워헤드를 포함한다. 샤워헤드는 플라즈마 소스로부터의 활성 수소를 더 큰 영역에 걸쳐 재분배할 수 있어, 더 작은 플라즈마 소스가 사용되는 것을 허용한다. 샤워헤드 홀들의 수 및 배열은 스트립 속도 및 스트립 속도 균일성을 최적화하도록 설정될 수 있다. 플라즈마 소스가 웨이퍼 상부의 중앙에 배치되면, 샤워헤드 홀들은, 외부 영역들 쪽으로 활성 가스들을 밀기 위하여 샤워헤드의 중앙에서 더 작고 더 적은 것이 바람직하다. 샤워헤드는 적어도 100개의 홀들을 가질 수도 있다. 적합한 샤워헤드는 캘리포니아, 산 호세의 노벨러스 (Novellus) 시스템즈, 아이앤씨.로부터 입수할 수 있는 감마 xPR 샤워헤드 또는 GxT 드롭-인 샤워헤드를 포함한다. 샤워헤드 어셈블리가 없는 실시형태들에서, 플라즈마는 공정 챔버에 직접 들어간다.
공정 챔버
공정 챔버는 수행중인 스트립 작업을 위한 임의의 적합한 반응 챔버일 수도 있다. 그것은 멀티-챔버식 장치의 하나의 챔버일 수도 있거나 또는 단순히 단일 챔버 장치일 수도 있다. 챔버는 또한 상이한 웨이퍼들이 동시에 처리되는 다수의 스테이션들을 포함할 수도 있다. 공정 챔버는 주입, 식각, 또는 다른 레지스트-개재식 (mediated) 공정이 행해지는 동일한 챔버일 수도 있다. 다른 실시형태들에서, 별개의 챔버가 스트립을 위해 따로 마련된다. 공정 챔버 압력은 약 600 mTorr부터 2 Torr까지의 범위에 있을 수도 있다. 소정의 실시형태들에서, 압력은 약 0.9 Torr부터 1.5 Torr까지의 범위에 있다.
공정 챔버는 스트립 작업들이 수행되는 하나 이상의 프로세싱 스테이션들을 구비한다. 소정의 실시형태들에서, 하나 이상의 프로세싱 스테이션들은 예열 스테이션, 적어도 하나의 스트립 스테이션, 및 오버-애시 스테이션을 구비한다. 웨이퍼 지지체는 프로세싱 동안 웨이퍼를 지지하도록 구성된다. 웨이퍼 지지체는 또한 웨이퍼 온도를 필요한 대로 조절하기 위해 프로세싱 동안 웨이퍼에 그리고 그 웨이프로부터 열을 전달할 수도 있다. 소정의 실시형태들에서, 웨이퍼는 복수의 최소 콘택트들 상에서 지지되고 웨이퍼 지지체 표면 평면에 물리적으로 접촉하지 않는다. 스핀들 (spindle) 이 웨이퍼를 픽업하고 그 웨이퍼를 하나의 스테이션에서부터 다른 스테이션으로 이송한다.
도 9는 웨이퍼들 상에 본 발명을 실행하는데 적합한 다운스트림 플라즈마 장치 (900) 의 양태들을 나타내는 개략도이다. 장치 (900) 는 샤워헤드 어셈블리 (917) 에 의해 분리되는 플라즈마 생성부 (911) 및 노출 챔버 (901) 를 가진다. 노출 챔버 (901) 내부에서, 웨이퍼 (903) 는 플래튼 (또는 스테이지) (905) 상에 안착한다. 플래튼 (905) 은 가열/냉각 요소와 끼워맞춤된다. 일부 실시형태들에서, 플래튼 (905) 은 또한 웨이퍼 (903) 에 바이어스를 인가하도록 구성된다. 낮은 압력이 노출 챔버 (901) 에서 진공 펌프에 의해 도관 (907) 을 통해 획득된다. 기체 수소 (희석/캐리어 가스를 가지거나 또는 없음) 및 이산화 탄소 (또는 다른 약 산화제) 의 소스들이 인입구 (909) 를 통해 장치의 플라즈마 생성부 (911) 로의 가스의 흐름을 제공한다. 플라즈마 생성부 (911) 는 유도 코일들 (913) 에 의해 부분적으로 둘러싸이며, 유도 코일들은 전력원 (915) 에 접속된다. 작업 동안, 가스 혼합물들이 플라즈마 생성부 (911) 에 도입되고, 유도 코일들 (913) 이 전기를 공급받으며 플라즈마가 플라즈마 생성부 (911) 에서 발생된다. 샤워헤드 어셈블리는 인가된 전압을 가질 수도 있거나 또는 접지될 수도 있으며, 종들의 흐름을 노출 챔버 (901) 로 향하게 할 수도 있다. 언급된 바와 같이, 웨이퍼 (903) 는 온도 제어될 수도 있으며 그리고/또는 RF 바이어스가 인가될 수도 있다. 플라즈마 소스 (911) 및 유도 코일들 (913) 의 다양한 구성들 및 기하구조들이 사용될 수도 있다. 예를 들어, 유도 코일들 (913) 은 인터레이스식 (interlaced) 패턴으로 플라즈마 소스 (911) 를 감을 수도 있다. 다른 예에서, 플라즈마 소스 (911) 는 실린더 대신에 돔 (dome) 으로서 형상화될 수도 있다.
이 개시내용의 다른 양태는 본 명세서에서 설명되는 방법들을 달성하도록 구성된 장치이다. 적합한 장치는 본 발명을 따라 공정 작업들을 달성하기 위한 하드웨어와 공정 작업들을 제어하기 위한 명령들을 갖는 시스템 제어기를 포함한다. 머신 판독가능 매체들은 제어기에 결합될 수도 있고 이들 작업들을 위한 처리 조건들을 제어하기 위한 명령들을 포함할 수도 있다. 시스템 제어기는, 장치가 본 발명의 실시형태들에 따라 방법을 수행하도록 하는 명령들을 실행하게 구성된 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 통상 포함할 것이다. 공정 작업들을 제어하기 위한 명령들을 담은 머신 판독가능 매체들은 시스템 제어기에 결합될 수도 있다. 도 9 및 도 10에서, 예를 들어, 제어기 (950) 가 공정 챔버의 구성요소들에 접속될 수도 있고, 스트립핑 작업들의 처리 가스 조성, 압력, 온도 및 웨이퍼 인덱싱을 제어할 수도 있다.
공정 작업들을 제어하기 위한 명령들은 하드코딩될 수 있거나 또는 소프트웨어일 수도 있다. 일부 실시형태들에서, 시스템 제어기는 임의의 형태의 로직을 포함할 수 있는 프로그램들을 포함한다. 예를 들어, 그것은 디지털 신호 프로세서들 상에 하드코딩된 로직과, 하드웨어로서 구현된 특정 알고리즘들을 가지는 유사한 프로세서들을 포함할 수 있다. 그것은 또한 범용 컴퓨터 상에서 실행될 수도 있는 펌웨어 명령들의 소프트웨어를 포함할 수 있다.
적합한 플라즈마 챔버들 및 시스템들은 캘리포니아, 산 호세의 노벨러스 시스템즈 아이앤씨.에 의해 제공되는 Gamma 2100, 2130 I2CP (Interlaced Inductively Coupled Plasma), G400, 및 GxT를 포함한다. 다른 시스템들은 메릴랜드, 락빌의 Axcelis 테크롤노지즈 아이앤씨.로부터의 Fusion line, 한국의 PSK 테크 아이앤씨로부터의 TERA21, 및 캘리포니아, 프리몬트의 Mattson 테크롤로지 아이앤씨.로부터의 Aspen을 포함한다. 덧붙여, 다양한 스트립 챔버들이 클러스터 도구들 상에 구성될 수도 있다. 예를 들어, 스트립 챔버는 캘리포니아, 산타 클라라의 어플라이드 머티리얼즈로부터 입수할 수 있는 Centura 클러스터 도구에 추가될 수도 있다.
도 10은 스테이션들 (1, 2, 3, 4, 5 및 6) 을 포함하는 멀티-스테이션 장치의 평면도를 나타내는 단순화된 개략도이다. 웨이퍼들은 스테이션 (1) 에서 챔버 (1001) 를 통해 장치에 들어가며, 그 스테이션에서의 프로세싱 작업을 위해 순차적으로 각각의 스테이션으로 이송되고, 공정이 완료된 후 스테이션 (6) 으로부터 챔버 (1002) 를 통해 나온다.
지금까지 설명된 장치/프로세스는, 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전지 패널들 등의 제작 또는 제조를 위한, 리소그래픽 패터닝 도구들 또는 공정들에 연계하여 사용될 수도 있다. 통상적으로, 필요하지 않지만, 이러한 도구들/공정들은 공통 제작 설비에서 함께 사용되거나 수행될 것이다. 막의 리소그래픽 패터닝은 통상적으로 각각의 단계가 다수의 가능한 도구들로 가능하게 되는 다음의 단계들의 일부 또는 전부를 포함한다: (1) 스핀-온 또는 스프레이-온 도구를 사용한, 작업편, 즉, 기판 상의 포토레지스트의 도포; (2) 핫 플레이트 또는 노 (furnace) 또는 UV 경화 도구를 이용한 포토레지스트의 경화; (3) 웨이퍼 스테퍼와 같은 도구로 포토레지스트를 가시 또는 UV 또는 x-선 광에 노출; (4) 레지스트를 선택적으로 제거하여 그것을 웨트 벤치 (wet bench) 와 같은 도구를 사용하여 패터닝하기 위한 레지스트의 현상; (5) 건조 또는 플라즈마-보조 에칭 도구를 이용한, 하부의 막 또는 작업편으로의 레지스트 패턴의 전사; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트리퍼와 같은 도구를 이용한 레지스트의 제거.
본 발명이 몇몇 바람직한 실시형태들의 관점에서 설명되었지만, 본 발명은 위에서 제시된 특정한 것들로 제한되지 않아야 한다. 위에서 설명된 바람직한 실시형태들에 대한 많은 변형들이 채용될 수도 있다.

Claims (21)

  1. 포토레지스트를 스트립하기 위한 방법으로서,
    노출된 실리콘 표면 및 이온 주입된 포토레지스트가 상부에 배치된 기판을 반응 챔버에 제공하는 단계로서, 상기 이온 주입된 포토레지스트는 벌크 포토레지스트 및 상기 벌크 포토레지스트 상의 탄화된 외부 층을 포함하는, 상기 기판을 반응 챔버에 제공하는 단계; 및
    상기 기판을, 질소 및 수소를 포함하고, 처리 가스의 체적 유량 백분율로 측정된 수소 농도 [H]가 2 % 내지 16 %인 상기 처리 가스로부터 발생된 플라즈마에 노출시켜 상기 기판으로부터 상기 포토레지스트를 제거하는 단계를 포함하는, 포토레지스트를 스트립하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 처리 가스는 분자 질소 (N2) 및 분자 수소 (H2) 를 포함하는, 포토레지스트를 스트립하기 위한 방법.
  3. 제 1 항에 있어서,
    상기 처리 가스는 어떠한 산소 함유 화합물들도 함유하지 않는, 포토레지스트를 스트립하기 위한 방법.
  4. 제 1 항에 있어서,
    상기 수소 농도는 2 % 내지 10 %인, 포토레지스트를 스트립하기 위한 방법.
  5. 제 1 항에 있어서,
    상기 수소 농도는 2 % 내지 8 %인, 포토레지스트를 스트립하기 위한 방법.
  6. 제 1 항에 있어서,
    상기 수소 농도는 2 % 내지 4 %인, 포토레지스트를 스트립하기 위한 방법.
  7. 제 1 항에 있어서,
    상기 처리 가스는 H2/N2, H2/N2/Ar, 및 H2/N2/He로 이루어진 군으로부터 선택되는, 포토레지스트를 스트립하기 위한 방법.
  8. 제 1 항에 있어서,
    상기 기판은 시간 t 동안 상기 플라즈마에 노출되고, 상기 [H] 및 상기 시간 t의 교적 (cross-product) ([H] × t) 은 50 sccm-초 내지 2000 sccm-초인, 포토레지스트를 스트립하기 위한 방법.
  9. 제 8 항에 있어서,
    상기 [H] × t는 50 sccm-초 내지 500 sccm-초인, 포토레지스트를 스트립하기 위한 방법.
  10. 제 1 항에 있어서,
    상기 기판 온도는 285 ℃ 미만인, 포토레지스트를 스트립하기 위한 방법.
  11. 제 1 항에 있어서,
    상기 기판 온도는 200 ℃ 내지 250 ℃인, 포토레지스트를 스트립하기 위한 방법.
  12. 포토레지스트를 스트립하기 위한 방법으로서,
    노출된 실리콘 표면 및 이온 주입된 포토레지스트가 상부에 배치된 기판을 반응 챔버에 제공하는 단계로서, 상기 이온 주입된 포토레지스트는 벌크 포토레지스트 및 상기 벌크 포토레지스트 상의 탄화된 외부 층을 포함하는, 상기 기판을 반응 챔버에 제공하는 단계;
    상기 기판을, 질소 및 수소를 포함하고, 처리 가스의 체적 유량 백분율로 측정된 수소 농도 [H]가 2 % 내지 16 %인 상기 처리 가스로부터 발생된 플라즈마에 노출시켜 상기 기판으로부터 상기 포토레지스트를 제거하는 단계; 및
    상기 기판을 상기 플라즈마에 노출시킨 후, 상기 기판을 200 ℃ 내지 450 ℃의 기판 온도에서 벤팅 (venting) 하는 단계를 포함하는, 포토레지스트를 스트립하기 위한 방법.
  13. 제 12 항에 있어서,
    상기 기판을 벤팅한 후, 고온 주입 드라이브 (high temperature implant drive) 처리를 적어도 800 ℃의 기판 온도에서 수행하는 단계를 더 포함하는, 포토레지스트를 스트립하기 위한 방법.
  14. 제 12 항에 있어서,
    상기 처리 가스는 약 산화제를 더 포함하는, 포토레지스트를 스트립하기 위한 방법.
  15. 삭제
  16. 삭제
  17. 제 12 항에 있어서,
    상기 처리 가스의 수소 농도 [H]는 2 % 내지 10 %인, 포토레지스트를 스트립하기 위한 방법.
  18. 제 12 항에 있어서,
    상기 처리 가스의 수소 농도 [H]는 2 % 내지 5 %인, 포토레지스트를 스트립하기 위한 방법.
  19. 제 1 항에 있어서,
    상기 기판에 포토레지스트를 도포하는 단계, 상기 포토레지스트를 노광시키는 단계, 상기 포토레지스트를 패터닝하는 단계 및 상기 기판에 패턴을 전사하는 단계를 더 포함하는, 포토레지스트를 스트립하기 위한 방법.
  20. 플라즈마 소스,
    상기 플라즈마 소스로 가스 혼합물을 도입하기 위한 가스 인입구,
    상기 가스 인입구의 하류에 위치된 샤워헤드, 및
    상기 샤워헤드 하류의 기판 지지체를 포함하는 장치로서,
    상기 기판 지지체는, 상기 기판 지지체 상에 지지되는 기판의 온도를 제어하는 온도 제어 메커니즘 및 페데스탈; 및 명령들 (instructions) 의 세트를 실행하는 제어기로서, 상기 명령들의 세트가, 노출된 실리콘 표면 및 이온 주입된 포토레지스트를 갖는 기판으로부터 포토레지스트를 제거하기 위해, 질소 및 수소를 포함하고, 가스 혼합물의 체적 유량 백분율로 측정된 2 % 내지 16 %의 수소 농도 [H]를 갖는 상기 가스 혼합물을 상기 가스 인입구에 도입하기 위한 명령들을 포함하고, 상기 이온 주입된 포토레지스트는 벌크 포토레지스트 및 상기 벌크 포토레지스트 상의 탄화된 외부 층을 포함하는, 상기 제어기를 포함하는, 장치.
  21. 제 20 항에 있어서,
    상기 명령들의 세트는 상기 기판의 온도를 285 ℃ 이하로 유지하기 위한 명령들을 더 포함하는, 장치.
KR1020120093279A 2011-08-26 2012-08-24 개선된 디바이스 무결성을 위한 포토레지스트 스트립 공정들 KR102013959B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201161528029P 2011-08-26 2011-08-26
US61/528,029 2011-08-26
US13/590,083 US9613825B2 (en) 2011-08-26 2012-08-20 Photoresist strip processes for improved device integrity
US13/590,083 2012-08-20

Publications (2)

Publication Number Publication Date
KR20130023167A KR20130023167A (ko) 2013-03-07
KR102013959B1 true KR102013959B1 (ko) 2019-08-23

Family

ID=47215348

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120093279A KR102013959B1 (ko) 2011-08-26 2012-08-24 개선된 디바이스 무결성을 위한 포토레지스트 스트립 공정들

Country Status (7)

Country Link
US (1) US9613825B2 (ko)
EP (1) EP2562796A3 (ko)
JP (1) JP6598420B2 (ko)
KR (1) KR102013959B1 (ko)
CN (1) CN102955381B (ko)
SG (1) SG188065A1 (ko)
TW (1) TWI598704B (ko)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US8591661B2 (en) * 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
WO2011072061A2 (en) 2009-12-11 2011-06-16 Novellus Systems, Inc. Enhanced passivation process to protect silicon prior to high dose implant strip
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
JP2014146748A (ja) * 2013-01-30 2014-08-14 Toshiba Corp 半導体装置及びその製造方法並びに半導体基板
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9679749B2 (en) * 2014-09-26 2017-06-13 Lam Research Corporation Gas distribution device with actively cooled grid
US9543157B2 (en) * 2014-09-30 2017-01-10 Infineon Technologies Ag Method for processing a carrier, a method for operating a plasma processing chamber, and a method for processing a semiconductor wafer
WO2016077645A1 (en) * 2014-11-12 2016-05-19 Ontos Equipment Systems Simultaneous hydrophilization of photoresist surface and metal surface preparation: methods, systems, and products
TWI697940B (zh) * 2016-02-26 2020-07-01 美商得昇科技股份有限公司 使用icp剝離劑的分層植入型光阻剝離製程
FR3086101B1 (fr) * 2018-09-17 2022-07-08 Ion Beam Services Dispositif d'amelioration de la mobilite des porteurs dans un canal de mosfet sur carbure de silicium
US11527412B2 (en) * 2020-08-09 2022-12-13 Applied Materials, Inc. Method for increasing photoresist etch selectivity to enable high energy hot implant in SiC devices
CN113690178A (zh) * 2021-08-23 2021-11-23 长江先进存储产业创新中心有限责任公司 金属导电结构的制造方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001110775A (ja) * 1999-08-05 2001-04-20 Axcelis Technologies Inc フォトレジスト/エッチング後の残留物を取り除くためのプラズマストリッピング処理方法
US20020153099A1 (en) * 2000-04-03 2002-10-24 Albert Wang Apparatus for increased workpiece throughput
US20090053901A1 (en) * 2004-12-13 2009-02-26 Novellus Systems Inc. High dose implantation strip (hdis) in h2 base chemistry
JP7070524B2 (ja) * 2019-10-02 2022-05-18 株式会社三洋物産 遊技機

Family Cites Families (215)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4201579A (en) 1978-06-05 1980-05-06 Motorola, Inc. Method for removing photoresist by hydrogen plasma
US4357203A (en) 1981-12-30 1982-11-02 Rca Corporation Plasma etching of polyimide
US4699689A (en) 1985-05-17 1987-10-13 Emergent Technologies Corporation Method and apparatus for dry processing of substrates
US5292393A (en) 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US5158644A (en) 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
JPH0777211B2 (ja) 1987-08-19 1995-08-16 富士通株式会社 アッシング方法
JPH0770524B2 (ja) 1987-08-19 1995-07-31 富士通株式会社 半導体装置の製造方法
JPH01200628A (ja) 1988-02-05 1989-08-11 Toshiba Corp ドライエッチング方法
US4961820A (en) 1988-06-09 1990-10-09 Fujitsu Limited Ashing method for removing an organic film on a substance of a semiconductor device under fabrication
US5354386A (en) 1989-03-24 1994-10-11 National Semiconductor Corporation Method for plasma etching tapered and stepped vias
US5122225A (en) 1990-11-21 1992-06-16 Texas Instruments Incorporated Selective etch method
JPH05275326A (ja) 1992-03-30 1993-10-22 Sumitomo Metal Ind Ltd レジストのアッシング方法
US5716494A (en) 1992-06-22 1998-02-10 Matsushita Electric Industrial Co., Ltd. Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
JPH06208972A (ja) 1993-01-12 1994-07-26 Matsushita Electric Ind Co Ltd プラズマ処理方法
US5522932A (en) 1993-05-14 1996-06-04 Applied Materials, Inc. Corrosion-resistant apparatus
EP0664347A3 (en) 1994-01-25 1997-05-14 Applied Materials Inc Plant for the deposition of a uniform layer of a material on a substrate.
US5744049A (en) 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
US5900351A (en) 1995-01-17 1999-05-04 International Business Machines Corporation Method for stripping photoresist
JP2956524B2 (ja) 1995-04-24 1999-10-04 日本電気株式会社 エッチング方法
US5817406A (en) 1995-07-14 1998-10-06 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and brazing material connection
US5633073A (en) 1995-07-14 1997-05-27 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and eutectic connection
JPH0936099A (ja) 1995-07-19 1997-02-07 Toshiba Corp ドライエッチング方法
JP3585591B2 (ja) 1995-07-29 2004-11-04 株式会社半導体エネルギー研究所 エッチング装置及びエッチング方法
US6193802B1 (en) 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6045618A (en) 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6194628B1 (en) 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6187072B1 (en) 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
US5968324A (en) 1995-12-05 1999-10-19 Applied Materials, Inc. Method and apparatus for depositing antireflective coating
US5707485A (en) 1995-12-20 1998-01-13 Micron Technology, Inc. Method and apparatus for facilitating removal of material from the backside of wafers via a plasma etch
JPH09205130A (ja) 1996-01-17 1997-08-05 Applied Materials Inc ウェハ支持装置
US6013574A (en) 1996-01-30 2000-01-11 Advanced Micro Devices, Inc. Method of forming low resistance contact structures in vias arranged between two levels of interconnect lines
US5651860A (en) 1996-03-06 1997-07-29 Micron Technology, Inc. Ion-implanted resist removal method
US5660682A (en) 1996-03-14 1997-08-26 Lsi Logic Corporation Plasma clean with hydrogen gas
US5814155A (en) 1996-06-26 1998-09-29 Vlsi Technology, Inc. Plasma ashing enhancement
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US6083852A (en) 1997-05-07 2000-07-04 Applied Materials, Inc. Method for applying films using reduced deposition rates
US6127262A (en) 1996-06-28 2000-10-03 Applied Materials, Inc. Method and apparatus for depositing an etch stop layer
US6129091A (en) 1996-10-04 2000-10-10 Taiwan Semiconductor Manfacturing Company Method for cleaning silicon wafers with deep trenches
US6562544B1 (en) 1996-11-04 2003-05-13 Applied Materials, Inc. Method and apparatus for improving accuracy in photolithographic processing of substrates
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5911834A (en) 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
US5830775A (en) 1996-11-26 1998-11-03 Sharp Microelectronics Technology, Inc. Raised silicided source/drain electrode formation with reduced substrate silicon consumption
US5811358A (en) 1997-01-03 1998-09-22 Mosel Vitelic Inc. Low temperature dry process for stripping photoresist after high dose ion implantation
JPH10209118A (ja) 1997-01-28 1998-08-07 Sony Corp アッシング方法
US6039834A (en) 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6077764A (en) 1997-04-21 2000-06-20 Applied Materials, Inc. Process for depositing high deposition rate halogen-doped silicon oxide layer
US6306564B1 (en) 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US6177023B1 (en) 1997-07-11 2001-01-23 Applied Komatsu Technology, Inc. Method and apparatus for electrostatically maintaining substrate flatness
JP3317209B2 (ja) 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
JPH1187307A (ja) 1997-09-05 1999-03-30 Sony Corp レジストの除去方法及びその除去装置
US5908672A (en) 1997-10-15 1999-06-01 Applied Materials, Inc. Method and apparatus for depositing a planarized passivation layer
US6797188B1 (en) 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
US6536449B1 (en) 1997-11-17 2003-03-25 Mattson Technology Inc. Downstream surface cleaning process
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
EP0940846A1 (en) 1998-03-06 1999-09-08 Interuniversitair Micro-Elektronica Centrum Vzw Method for stripping ion implanted photoresist layer
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US5980770A (en) 1998-04-16 1999-11-09 Siemens Aktiengesellschaft Removal of post-RIE polymer on Al/Cu metal line
US6432830B1 (en) 1998-05-15 2002-08-13 Applied Materials, Inc. Semiconductor fabrication process
US6086952A (en) 1998-06-15 2000-07-11 Applied Materials, Inc. Chemical vapor deposition of a copolymer of p-xylylene and a multivinyl silicon/oxygen comonomer
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6277733B1 (en) 1998-10-05 2001-08-21 Texas Instruments Incorporated Oxygen-free, dry plasma process for polymer removal
US6342446B1 (en) 1998-10-06 2002-01-29 Texas Instruments Incorporated Plasma process for organic residue removal from copper
US6171945B1 (en) 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6107184A (en) 1998-12-09 2000-08-22 Applied Materials, Inc. Nano-porous copolymer films having low dielectric constants
US6121091A (en) * 1999-01-19 2000-09-19 Taiwan Semiconductor Manufacturing Company Reduction of a hot carrier effect phenomena via use of transient enhanced diffusion processes
JP3728165B2 (ja) 1999-01-28 2005-12-21 キヤノン株式会社 イオン注入されたホトレジストの残渣の処理方法及び半導体装置の製造方法
US6417080B1 (en) 1999-01-28 2002-07-09 Canon Kabushiki Kaisha Method of processing residue of ion implanted photoresist, and method of producing semiconductor device
US6130166A (en) 1999-02-01 2000-10-10 Vlsi Technology, Inc. Alternative plasma chemistry for enhanced photoresist removal
US6242350B1 (en) 1999-03-18 2001-06-05 Taiwan Semiconductor Manufacturing Company Post gate etch cleaning process for self-aligned gate mosfets
US6204192B1 (en) 1999-03-29 2001-03-20 Lsi Logic Corporation Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures
JP3287406B2 (ja) * 1999-06-11 2002-06-04 日本電気株式会社 半導体装置の製造方法
US6709715B1 (en) 1999-06-17 2004-03-23 Applied Materials Inc. Plasma enhanced chemical vapor deposition of copolymer of parylene N and comonomers with various double bonds
US6030901A (en) * 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials
US6177347B1 (en) 1999-07-02 2001-01-23 Taiwan Semiconductor Manufacturing Company In-situ cleaning process for Cu metallization
US6492186B1 (en) 1999-08-05 2002-12-10 Eaton Corporation Method for detecting an endpoint for an oxygen free plasma process
US6313042B1 (en) 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US6767698B2 (en) 1999-09-29 2004-07-27 Tokyo Electron Limited High speed stripping for damaged photoresist
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US20050022839A1 (en) * 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
JP4221847B2 (ja) 1999-10-25 2009-02-12 パナソニック電工株式会社 プラズマ処理装置及びプラズマ点灯方法
JP3366301B2 (ja) 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
US6352938B2 (en) 1999-12-09 2002-03-05 United Microelectronics Corp. Method of removing photoresist and reducing native oxide in dual damascene copper process
US6365516B1 (en) 2000-01-14 2002-04-02 Advanced Micro Devices, Inc. Advanced cobalt silicidation with in-situ hydrogen plasma clean
US20010027023A1 (en) 2000-02-15 2001-10-04 Shigenori Ishihara Organic substance removing methods, methods of producing semiconductor device, and organic substance removing apparatuses
JP2001308078A (ja) 2000-02-15 2001-11-02 Canon Inc 有機物除去方法、半導体装置の製造方法及び有機物除去装置並びにシステム
US6184134B1 (en) 2000-02-18 2001-02-06 Infineon Technologies North America Corp. Dry process for cleaning residues/polymers after metal etch
US6667244B1 (en) 2000-03-24 2003-12-23 Gerald M. Cox Method for etching sidewall polymer and other residues from the surface of semiconductor devices
JP4470274B2 (ja) 2000-04-26 2010-06-02 東京エレクトロン株式会社 熱処理装置
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6426304B1 (en) 2000-06-30 2002-07-30 Lam Research Corporation Post etch photoresist strip with hydrogen for organosilicate glass low-κ etch applications
US20020185226A1 (en) 2000-08-10 2002-12-12 Lea Leslie Michael Plasma processing apparatus
DE10051380C2 (de) 2000-10-17 2002-11-28 Advanced Micro Devices Inc Verfahren zur Herstellung eines Halbleiterbauteils unter Anwendung eines Schrumpfprozesses eines Strukturmerkmals
US6569257B1 (en) 2000-11-09 2003-05-27 Applied Materials Inc. Method for cleaning a process chamber
US6692903B2 (en) 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
US6733594B2 (en) 2000-12-21 2004-05-11 Lam Research Corporation Method and apparatus for reducing He backside faults during wafer processing
US6479391B2 (en) 2000-12-22 2002-11-12 Intel Corporation Method for making a dual damascene interconnect using a multilayer hard mask
US6524936B2 (en) 2000-12-22 2003-02-25 Axcelis Technologies, Inc. Process for removal of photoresist after post ion implantation
US20020127853A1 (en) 2000-12-29 2002-09-12 Hubacek Jerome S. Electrode for plasma processes and method for manufacture and use thereof
US6319842B1 (en) 2001-01-02 2001-11-20 Novellus Systems Incorporated Method of cleansing vias in semiconductor wafer having metal conductive layer
US6589879B2 (en) 2001-01-18 2003-07-08 Applied Materials, Inc. Nitride open etch process based on trifluoromethane and sulfur hexafluoride
US6777344B2 (en) 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
WO2002065532A1 (fr) 2001-02-15 2002-08-22 Tokyo Electron Limited Procede de traitement de piece et dispositif de traitement
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US6723654B2 (en) 2001-03-30 2004-04-20 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for in-situ descum/hot bake/dry etch photoresist/polyimide layer
US6951823B2 (en) 2001-05-14 2005-10-04 Axcelis Technologies, Inc. Plasma ashing process
US6834656B2 (en) 2001-05-23 2004-12-28 Axcelis Technology, Inc. Plasma process for removing polymer and residues from substrates
US6875702B2 (en) 2001-06-11 2005-04-05 Lsi Logic Corporation Plasma treatment system
US6632735B2 (en) 2001-08-07 2003-10-14 Applied Materials, Inc. Method of depositing low dielectric constant carbon doped silicon oxide
US20030036284A1 (en) 2001-08-16 2003-02-20 Yu-Ren Chou Method for removing the photoresist layer of ion-implanting process
US6872652B2 (en) 2001-08-28 2005-03-29 Infineon Technologies Ag Method of cleaning an inter-level dielectric interconnect
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
JP4838464B2 (ja) 2001-09-26 2011-12-14 東京エレクトロン株式会社 処理方法
JP5038567B2 (ja) 2001-09-26 2012-10-03 東京エレクトロン株式会社 エッチング方法
US6680164B2 (en) 2001-11-30 2004-01-20 Applied Materials Inc. Solvent free photoresist strip and residue removal processing for post etching of low-k films
JP4326746B2 (ja) 2002-01-07 2009-09-09 東京エレクトロン株式会社 プラズマ処理方法
US6720132B2 (en) 2002-01-08 2004-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Bi-layer photoresist dry development and reactive ion etch method
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US6848455B1 (en) 2002-04-22 2005-02-01 Novellus Systems, Inc. Method and apparatus for removing photoresist and post-etch residue from semiconductor substrates by in-situ generation of oxidizing species
US7074298B2 (en) 2002-05-17 2006-07-11 Applied Materials High density plasma CVD chamber
US6656832B1 (en) 2002-07-25 2003-12-02 Taiwan Semiconductor Manufacturing Co., Ltd Plasma treatment method for fabricating microelectronic fabrication having formed therein conductor layer with enhanced electrical properties
US7833957B2 (en) 2002-08-22 2010-11-16 Daikin Industries, Ltd. Removing solution
US6900135B2 (en) 2002-08-27 2005-05-31 Applied Materials, Inc. Buffer station for wafer backside cleaning and inspection
US6777173B2 (en) 2002-08-30 2004-08-17 Lam Research Corporation H2O vapor as a processing gas for crust, resist, and residue removal for post ion implant resist strip
US6693043B1 (en) 2002-09-20 2004-02-17 Novellus Systems, Inc. Method for removing photoresist from low-k films in a downstream plasma system
US6837967B1 (en) 2002-11-06 2005-01-04 Lsi Logic Corporation Method and apparatus for cleaning deposited films from the edge of a wafer
US6787452B2 (en) 2002-11-08 2004-09-07 Chartered Semiconductor Manufacturing Ltd. Use of amorphous carbon as a removable ARC material for dual damascene fabrication
KR100476136B1 (ko) 2002-12-02 2005-03-10 주식회사 셈테크놀러지 대기압 플라즈마를 이용한 표면처리장치
US6780782B1 (en) 2003-02-04 2004-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Bi-level resist structure and fabrication method for contact holes on semiconductor substrates
US20040195208A1 (en) 2003-02-15 2004-10-07 Pavel Elizabeth G. Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal
WO2004102640A1 (en) 2003-05-07 2004-11-25 Axcelis Technologies, Inc. Wide temperature range chuck system
US20040237997A1 (en) 2003-05-27 2004-12-02 Applied Materials, Inc. ; Method for removal of residue from a substrate
KR100542031B1 (ko) 2003-05-30 2006-01-11 피에스케이 주식회사 반도체 제조공정에서의 포토레지스트 제거방법
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US7256134B2 (en) 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US7799685B2 (en) * 2003-10-13 2010-09-21 Mattson Technology, Inc. System and method for removal of photoresist in transistor fabrication for integrated circuit manufacturing
US6924239B2 (en) 2003-10-14 2005-08-02 Texas Instruments Incorporated Method for removal of hydrocarbon contamination on gate oxide prior to non-thermal nitridation using “spike” radical oxidation
US20050106888A1 (en) 2003-11-14 2005-05-19 Taiwan Semiconductor Manufacturing Co. Method of in-situ damage removal - post O2 dry process
WO2005072211A2 (en) 2004-01-20 2005-08-11 Mattson Technology, Inc. System and method for removal of photoresist and residues following contact etch with a stop layer present
US20050158667A1 (en) 2004-01-20 2005-07-21 Applied Materials, Inc. Solvent free photoresist strip and residue removal processing for post etching of low-k films
JP2005268312A (ja) 2004-03-16 2005-09-29 Semiconductor Leading Edge Technologies Inc レジスト除去方法及びそれを用いて製造した半導体装置
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
JP4421609B2 (ja) 2004-03-31 2010-02-24 富士通マイクロエレクトロニクス株式会社 基板処理装置および半導体装置の製造方法、エッチング装置
US7628864B2 (en) 2004-04-28 2009-12-08 Tokyo Electron Limited Substrate cleaning apparatus and method
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7632756B2 (en) 2004-08-26 2009-12-15 Applied Materials, Inc. Semiconductor processing using energized hydrogen gas and in combination with wet cleaning
JP2006073612A (ja) 2004-08-31 2006-03-16 Rohm Co Ltd レジスト除去方法
US7597816B2 (en) 2004-09-03 2009-10-06 Lam Research Corporation Wafer bevel polymer removal
US20060051965A1 (en) 2004-09-07 2006-03-09 Lam Research Corporation Methods of etching photoresist on substrates
US7169623B2 (en) * 2004-09-09 2007-01-30 Tegal Corporation System and method for processing a wafer including stop-on-aluminum processing
US20060102197A1 (en) 2004-11-16 2006-05-18 Kang-Lie Chiang Post-etch treatment to remove residues
US7202176B1 (en) 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
KR100607777B1 (ko) 2004-12-27 2006-08-01 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
DE102004063036A1 (de) 2004-12-28 2006-07-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Ausbilden von Kontaktflecken
US7601272B2 (en) 2005-01-08 2009-10-13 Applied Materials, Inc. Method and apparatus for integrating metrology with etch processing
US7344993B2 (en) 2005-01-11 2008-03-18 Tokyo Electron Limited, Inc. Low-pressure removal of photoresist and etch residue
US7268071B2 (en) 2005-01-12 2007-09-11 Sony Corporation Dual damascene interconnections having low K layer with reduced damage arising from photoresist stripping
JP2006203035A (ja) 2005-01-21 2006-08-03 Tokyo Electron Ltd プラズマエッチング方法
US7432172B2 (en) 2005-01-21 2008-10-07 Tokyo Electron Limited Plasma etching method
JP2006221772A (ja) 2005-02-14 2006-08-24 Fuji Photo Film Co Ltd ディスク状情報媒体の製造方法
US7198677B2 (en) 2005-03-09 2007-04-03 Wafermasters, Inc. Low temperature wafer backside cleaning
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
JP2006351594A (ja) 2005-06-13 2006-12-28 Toshiba Ceramics Co Ltd 半導体ウェーハの電気特性の測定方法
JP2007019367A (ja) 2005-07-11 2007-01-25 Ricoh Co Ltd 半導体装置の製造方法
JP5011852B2 (ja) 2005-07-20 2012-08-29 富士通セミコンダクター株式会社 電子デバイスの製造方法
US7411298B2 (en) 2005-08-17 2008-08-12 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.) Source/drain electrodes, thin-film transistor substrates, manufacture methods thereof, and display devices
US7468326B2 (en) 2005-08-24 2008-12-23 United Microelectronics Corp. Method of cleaning a wafer
US7465680B2 (en) 2005-09-07 2008-12-16 Applied Materials, Inc. Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2
US7909960B2 (en) 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
JP2007109744A (ja) 2005-10-11 2007-04-26 Tokuyama Corp 基板洗浄液
KR100742279B1 (ko) 2005-12-22 2007-07-24 삼성전자주식회사 반도체 소자의 제조 장치 및 방법
KR20070069802A (ko) 2005-12-28 2007-07-03 엘지.필립스 엘시디 주식회사 평판표시소자의 제조장치 및 그를 이용한 기판파손방지방법
US7432209B2 (en) 2006-03-22 2008-10-07 Applied Materials, Inc. Plasma dielectric etch process including in-situ backside polymer removal for low-dielectric constant material
US8034176B2 (en) 2006-03-28 2011-10-11 Tokyo Electron Limited Gas distribution system for a post-etch treatment system
US7851369B2 (en) 2006-06-05 2010-12-14 Lam Research Corporation Hardmask trim method
US8124516B2 (en) 2006-08-21 2012-02-28 Lam Research Corporation Trilayer resist organic layer etch
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US7655571B2 (en) 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US7595005B2 (en) 2006-12-11 2009-09-29 Tokyo Electron Limited Method and apparatus for ashing a substrate using carbon dioxide
DE102006062035B4 (de) 2006-12-29 2013-02-07 Advanced Micro Devices, Inc. Verfahren zum Entfernen von Lackmaterial nach einer Implantation mit hoher Dosis in einem Halbleiterbauelement
US8083963B2 (en) 2007-02-08 2011-12-27 Applied Materials, Inc. Removal of process residues on the backside of a substrate
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
JP5332052B2 (ja) 2007-06-01 2013-11-06 シャープ株式会社 レジスト除去方法、半導体製造方法、及びレジスト除去装置
KR101440282B1 (ko) 2007-07-11 2014-09-17 주성엔지니어링(주) 플라즈마 세정 방법
US20090061623A1 (en) 2007-09-05 2009-03-05 United Microelectronics Corp. Method of forming electrical connection structure
JP2009094115A (ja) * 2007-10-04 2009-04-30 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP5192214B2 (ja) 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
WO2009099660A2 (en) 2008-02-08 2009-08-13 Lam Research Corporation Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
JP5102653B2 (ja) 2008-02-29 2012-12-19 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
US20090277871A1 (en) 2008-03-05 2009-11-12 Axcelis Technologies, Inc. Plasma mediated ashing processes that include formation of a protective layer before and/or during the plasma mediated ashing process
TWI472882B (zh) * 2008-05-06 2015-02-11 Novellus Systems Inc 光阻剝離方法及設備
US8791001B2 (en) 2008-09-08 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. N2 based plasma treatment and ash for HK metal gate protection
KR101791685B1 (ko) 2008-10-14 2017-11-20 노벨러스 시스템즈, 인코포레이티드 수소 이용 화학 반응으로 고용량 주입 스트립(hdis) 방법 및 장치
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US20100130017A1 (en) 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
US20120024314A1 (en) 2010-07-27 2012-02-02 Axcelis Technologies, Inc. Plasma mediated ashing processes
US8268722B2 (en) 2009-06-03 2012-09-18 Novellus Systems, Inc. Interfacial capping layers for interconnects
WO2011008436A2 (en) 2009-07-13 2011-01-20 Applied Materials, Inc. Method for removing implanted photo resist from hard disk drive substrates
TWI559501B (zh) * 2009-08-07 2016-11-21 半導體能源研究所股份有限公司 半導體裝置和其製造方法
WO2011072061A2 (en) 2009-12-11 2011-06-16 Novellus Systems, Inc. Enhanced passivation process to protect silicon prior to high dose implant strip
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US8415212B2 (en) * 2010-03-11 2013-04-09 Freescale Semiconductor, Inc. Method of enhancing photoresist adhesion to rare earth oxides
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001110775A (ja) * 1999-08-05 2001-04-20 Axcelis Technologies Inc フォトレジスト/エッチング後の残留物を取り除くためのプラズマストリッピング処理方法
US20020153099A1 (en) * 2000-04-03 2002-10-24 Albert Wang Apparatus for increased workpiece throughput
US20090053901A1 (en) * 2004-12-13 2009-02-26 Novellus Systems Inc. High dose implantation strip (hdis) in h2 base chemistry
JP7070524B2 (ja) * 2019-10-02 2022-05-18 株式会社三洋物産 遊技機

Also Published As

Publication number Publication date
EP2562796A2 (en) 2013-02-27
SG188065A1 (en) 2013-03-28
US9613825B2 (en) 2017-04-04
TWI598704B (zh) 2017-09-11
KR20130023167A (ko) 2013-03-07
JP2013051416A (ja) 2013-03-14
EP2562796A3 (en) 2013-09-18
CN102955381A (zh) 2013-03-06
JP6598420B2 (ja) 2019-10-30
TW201331725A (zh) 2013-08-01
US20130048014A1 (en) 2013-02-28
CN102955381B (zh) 2019-07-09

Similar Documents

Publication Publication Date Title
KR102013959B1 (ko) 개선된 디바이스 무결성을 위한 포토레지스트 스트립 공정들
US8641862B2 (en) High dose implantation strip (HDIS) in H2 base chemistry
US9564344B2 (en) Ultra low silicon loss high dose implant strip
US8721797B2 (en) Enhanced passivation process to protect silicon prior to high dose implant strip
US8591661B2 (en) Low damage photoresist strip method for low-K dielectrics
US9514954B2 (en) Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
KR20140004579A (ko) 높은 선택도에 의한 폴리실리콘 및 자연 산화물의 제거
JP5586077B2 (ja) 水素ベースの化学反応による高用量注入後の剥離(hdis)

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant