WO2016077645A1 - Simultaneous hydrophilization of photoresist surface and metal surface preparation: methods, systems, and products - Google Patents

Simultaneous hydrophilization of photoresist surface and metal surface preparation: methods, systems, and products Download PDF

Info

Publication number
WO2016077645A1
WO2016077645A1 PCT/US2015/060477 US2015060477W WO2016077645A1 WO 2016077645 A1 WO2016077645 A1 WO 2016077645A1 US 2015060477 W US2015060477 W US 2015060477W WO 2016077645 A1 WO2016077645 A1 WO 2016077645A1
Authority
WO
WIPO (PCT)
Prior art keywords
apertures
photoresist material
wafer
photoresist
metal
Prior art date
Application number
PCT/US2015/060477
Other languages
French (fr)
Inventor
Eric Schulte
Original Assignee
Ontos Equipment Systems
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ontos Equipment Systems filed Critical Ontos Equipment Systems
Priority to CN201580072728.8A priority Critical patent/CN107429418A/en
Priority to EP15858953.1A priority patent/EP3218923A4/en
Priority to KR1020177015808A priority patent/KR20170106300A/en
Priority to SG11201704100RA priority patent/SG11201704100RA/en
Priority to JP2017544843A priority patent/JP6636534B2/en
Publication of WO2016077645A1 publication Critical patent/WO2016077645A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • C25D5/022Electroplating of selected surface areas using masking means
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/34Pretreatment of metallic surfaces to be electroplated
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs

Definitions

  • the present application relates to manufacture of integrated circuits and multichip modules, and more particularly to metal plating.
  • Standard practices in plating include pre -plate surface preparations using solvents, acids, abrasives, surfactants, ultrasonic agitation, and vacuum plasma processes to remove organic contamination and surface oxidation of the substrate to be plated.
  • Each of these surface preparation processes has distinct disadvantages such as toxic waste disposal, chemical damage to exposed surfaces of the substrate, mechanical damage to the substrate surface or other sensitive structures of the substrate composition, introduction of foreign particles to the surface, required rinsing of the surface after treatment and before plating, contamination of the plating bath from residues of these surface treatment methods, etc.
  • Thickness of the photoresist is decreased by the oxygen treatment.
  • Oxygen treatment reacts with the exposed plating base surface and grows additional oxides which inhibit the initiation of the plating process and lead to plating non-uniformities.
  • any masking materials such as photoresist
  • skip plating a defect known as "skip plating" where small, isolated openings in the photoresist simply do not plate up at all, or begin to plate later than do more open, exposed geometries.
  • What is needed is a surface preparation prior to plating of patterned substrates which does not substantially disturb the patterning medium mechanically or chemically or dimensionally, and also promotes excellent wetting of aqueous solutions to the patterning medium so that the finest patterned geometries can be plated without "skip".
  • the present application teaches new ways to perform plating in the manufacture of integrated circuits and multichip modules.
  • the patent or application file contains at least one drawing executed in color.
  • Figure 1 shows an exemplary application of downstream active residuals from an atmospheric plasma head to a substrate prior to plating.
  • Figure 2 A shows a sample cross-section of a patterned plating substrate including the presence of oxidation on the plating base, organic contamination on the plating base, photoresist residue left in the bottom of the patterned feature after photoresist development, and a hydrophobic surface on the photoresist.
  • Figure 2B shows a sample cross-section like that of Figure 2 A after treatment by downstream active species from an atmospheric plasma. The organic residues and oxidation have been removed, and all surfaces are activated for excellent wetting by aqueous plating solutions.
  • Figure 3 shows one sample progression of flow of an aqueous solution over an untreated photoresist pattern. This illustrates how a bubble can be created in a small geometry when the surface patterning does not wet to the meniscus of the plating bath.
  • Figure 4 shows one sample embodiment of how a small geometry in the masking pattern is readily wetted after surface activation due to downstream active residuals of an atmospheric plasma.
  • Figure 5 shows some examples of features which can be treated according to the present inventions.
  • Figure 6 shows one sample plot of the removal of photoresist residue by
  • Figure 7 shows one sample plot of the removal of copper oxide by downstream reducing chemistry.
  • Figures 8A, 8B, 8C, and 8D show examples of comparative activation of photoresist patterns to allow wetting of aqueous solution down into high-aspect photoresist vias.
  • Figure 9 shows an exemplary plot of the reoxidation of copper at room temperature under various conditions.
  • Figures 10A, 10B, and IOC show exemplary wetting characteristics of photoresist over a copper plating base for various treatment conditions.
  • the instant inventions comprise process methods which utilize the downstream active residuals of a reducing-chemistry atmospheric plasma to provide multiple advantages to pre-plating surface preparation with a simple apparatus.
  • Oxidation is removed from the surface of the plating base.
  • the surface treatment is fast and efficient and can be accomplished on a continuous throughput basis.
  • Vacuum chambers, gas pumps, and process confinement chambers are eliminated.
  • the surface of the plating base is chemically reduced toward native metal.
  • the instant inventions comprise process methods which utilize the downstream residuals (i.e. neutral radicals, metastable energetic atoms) of an atmospheric plasma to provide multiple surface modification processes to prepare a surface for plating.
  • downstream residuals i.e. neutral radicals, metastable energetic atoms
  • Scan speed alters the rate at which surface chemical reactions occur.
  • the substrate is scanned relative to the downstream gas flow, thus subjecting the substrate to uniform treatment by the downstream active species which were created in the plasma region inside the atmospheric plasma head.
  • An atmosphere exclusion zone is created by the flow of process gas out of the plasma head exit aperture which then spreads laterally between the bottom surface of the plasma head and the substrate.
  • An atmospheric plasma source (1) provides a convenient source of chemical radicals (e.g. monatomic hydrogen) and metastable noble gas atoms (e.g. metastable electron states of helium) (5) to perform surface modifications without the need for expensive vacuum chambers, pumping systems, and/or process gas confinement chambers.
  • DBD dielectric barrier discharge
  • Ontos7 plasma source supplied by SETNA Corp. confine the high-energy plasma region to the interior of the plasma source.
  • all high- energy components such as ions, hot electrons, and kinetic bombardment species have lost their energy due to the high rate of particle collisions which occur under room pressure conditions. This ensures that the substrate (6) being treated does not suffer surface damage due to high-energy particles such as typically occurs in a vacuum plasma system.
  • downstream active residuals from an atmospheric plasma source have no significant kinetic energy, they do not destroy the atomic lattice structure at the immediate surface of the substrate under treatment. This results in a substrate surface that has a high degree of atomic order, while being free of organic and oxide contaminants thus enabling the highest possible "surface activation".
  • Surfaces activated by atmospheric plasma downstream active residuals provide an extremely high level of surface activation to create highly wettable surfaces. This type of non-damaging surface activation is far superior to vacuum- based plasma systems which bombard the surface with ions, and create a "rubble" of dislodged surface atoms.
  • Removal of surface oxidation and organic contamination, due to air exposure and photoresist residue, are both important to efficient and uniform plating, especially during the nucleation phase of the plating process.
  • the presence of either oxides or organics on the surface of the plating base will inhibit current flow between the plating base and the plating bath which is necessary for the electron-exchange process involved in plating.
  • a solvent clean is performed to remove organics, followed by an acid pre-etch to remove oxides. Both of these procedures are known to introduce particles and additional contaminants to the surface which eventually end up in the plating bath, or worse yet as imperfections in the plating deposition itself.
  • an organic photoresist mask (11) is used to pattern the plating base (12) to mask certain regions from the plating process.
  • solvents cannot be used to remove organic or photoresist residues, for fear of altering or destroying the photoresist pattern.
  • Oxygen ashing in a vacuum chamber
  • this traditional oxygen-based processing grows an even thicker oxide on the surface of the plating base which must be removed before plating can begin.
  • the oxygen plasma treatment often changes the dimensions of the photoresist pattern because it removes a significant amount of photoresist.
  • the disclosed process method employs reducing chemistry downstream radicals produced by an atmospheric plasma.
  • both organic residue (7,8) and metal oxidation (9) can be removed using the same chemistry and atmospheric plasma conditions resulting in a patterned plating base ( Figure 2B) which has an oxide-free and organic-free surface (15).
  • Typical gas mixtures include a carrier gas such as helium and a reducing gas such as hydrogen.
  • Other carrier gasses (such as neon or argon) and reducing gasses (such as NH3) can be effective for this process, and are considered to fall under the purview of the instant invention disclosure.
  • An optional addition of nitrogen into the gas mixture provides the additional advantage of atomic passivation of the de-oxidized plating base which allows the substrate to be stored in air for a longer period of time before the plating process begins. This nitrogen atomic passivation minimizes the consequences of re-oxidation of the plating base which can result in non-uniform nucleation of plating.
  • FIG. 3 shows a patterned semiconductor wafer with a non-activated typical hydrophobic photoresist surface (19).
  • a high contact angle or even re-entrant meniscus is formed.
  • the plating solution does not wet down the hydrophobic sidewalls of the opening, and the meniscus tends to flow around and then over the opening forming a bubble (21).
  • Figure 4 shows a patterned semiconductor wafer with a hydrophilic photoresist surface which has been activated by atmospheric plasma.
  • the plating solution (22) spreads over the hydrophilic photoresist surface (24), a low contact angle meniscus is formed.
  • the plating solution wets down the hydrophilic sidewalls of the opening and across the activated hydrophilic plating base (26), so the meniscus tends to fill the entire opening without forming any bubbles (27).
  • Air, nitrogen, helium, hydrogen, argon, oxygen and mixtures thereof have been discussed in the literature as precursors for atmospheric plasma surface activation.
  • helium and hydrogen can produce undesirable surface reactions with the plating base which inhibit plating initialization. Air and oxygen can also affect critical photoresist dimensions in semiconductor applications. So to provide the best surface activation while leaving the plating base in the best condition for plating, and having minimum effect on photoresist, a combination of helium and hydrogen (and optional nitrogen) is the presently-most-preferred implementation. Pure helium or pure argon or a mixture of argon and hydrogen could also be used, but they are less efficient at surface activation and would require additional passes by the atmospheric plasma head, whereas helium/hydrogen/optional nitrogen, in the same ratio as used above for oxide reduction, can accomplish the surface activation task at the same time as the plating base oxides and organics are being removed.
  • photoresist layer 51 has both smaller "isolate” feature 50 and extended trench feature 54 penetrating down to blanket metal layer/plating base 52 (but not through to underlying wafer 53).
  • FIG. 1 shows how the gas that transits the interior of the plasma head then exits an aperture in the bottom face of the plasma head and flows laterally between the substrate and the bottom surface of the plasma head. This lateral flow continually purges room air from the reaction zone directly under the plasma head. It is the absence of room air (mainly oxygen) that allows the reducing chemistry to stay at a high-enough density to perform reducing chemical reactions on the surface of the substrate. Any incursion of room air into the reaction zone reduces the efficiency of the downstream radical reactions. The selection of appropriate scan parameters and gap parameters are necessary to achieve maximum effect of the downstream active residuals on the substrate.
  • Scan rate is governed by trade-offs in throughput vs. substrate heating vs. process reaction time vs. atmosphere exclusion kinetics.
  • a higher scan speed is clearly desirable.
  • a higher scan speed also minimizes heating of the substrate due to thermal exchange with the thermally excited gas flowing out of the plasma head.
  • the reaction speed decreases.
  • the gap is too large between the plasma head and the substrate more room air will remain in the reaction zone.
  • additional heating of the substrate can occur which will leave the surface of the substrate still warm when it exits the exclusion zone as the scan proceeds.
  • Multi-variable designed experiments have determined a range of gap and scan speed that produces optimum results.
  • Typical scan speeds run from lmm/second up to 15mm/second depending on how much organic and oxide removal is required.
  • Typical gap spacing between the plasma head bottom surface and the substrate top surface is between 0.5mm and 1.5 mm, depending on scan speed and reoxidation rate of the plating base material.
  • the surface still becomes “active” in that the downstream process gas flow makes the surface polar enough to wet easily, and the wetting of the metal is important in preventing bubbles.
  • Alternate methods of providing water molecules to the activated surface include, among others:
  • scum photoresist material
  • Oxygen ashing in a vacuum system is most often used to remove this scum.
  • a reducing chemistry i.e. hydrogen- based excited species
  • any existing oxide on the surface of the exposed plating base is reduced instead of growing more oxide, as occurs with oxygen-based de-scumming processes.
  • a thin layer of copper is most often used as a plating base in semiconductor manufacturing, as in the "Copper Damascene" process. Copper, when exposed to the atmosphere, oxidizes, growing a layer of CuO on the exposed surface. The presence of an oxide inhibits the passage of electrical current through the plating base to the plating solution. This oxidation results in variable nucleation of plating onto the plating base, and therefore undesirable non-uniformities in plating thickness. Traditional descumming of photoresist with oxygen serves only to increase the thickness of this oxidation layer. In the present inventions, a reducing chemistry (i.e. hydrogen-based excited species) is used to remove oxidation from the plating base.
  • Figure 7 shows the removal of copper oxide employing the same He/H2/N2 parameters as the descumming plot of Figure 6. Ellipsometer readings indicate removal of the native copper oxide in 1-2 scans of Ontos atmospheric plasma.
  • FIG. 8A-8D show small apertures in a photoresist pattern, imbued with a drop of aqueous solution.
  • Figure 8A demonstrates the poor wetting of the solution to the photoresist layer, on a macro scale.
  • Figure 8B at higher magnification, bubbles can be observed at the top of each aperture, indicating that the solution was not wetting the photoresist well enough to flow down into the small apertures.
  • Figures 8C and 8D show the wetting of the photoresist on a macro scale, and wetting down into the small vias (no bubbles) produced by the application of downstream reducing chemistry from an atmospheric plasma source.
  • the downstream process parameters which produced this effect were the same as used in the previous two sections.
  • the deoxidized copper plating base can be passivated to inhibit re -oxidation of the copper with exposure to air.
  • the sample plot of Figure 9 shows the re-oxidation of copper (measured in terms of ellipsometer Delta) as a function of time for three different conditions: 902, passivated copper treated with He/H2/N2; 904, unpassivated copper treated with He/H2 only; and 906, fresh clean copper with no surface treatment.
  • Figure 10A shows the wetting capability of both photoresist and copper plating base immediately after downstream treatment as described above. There are no bubbles present due to the exceptional wetting properties of the as-treated film.
  • Figure 10B shows the same wafer 24 hours later after being re-introduced to an aqueous solution - there are no bubbles present because the wetting properties of the surfaces was preserved by the first water exposure.
  • the wafer in the sample embodiment of Figure IOC received downstream treatment, but was left in air for 24 hours. Bubbles are seen in the geometries, indicating that the surfaces have lost much of their wetting properties.
  • a first preferred sample embodiment of the instant inventions is as follows:
  • Substrate to be plated Silicon wafer with copper plating base and photoresist plating mask.
  • a second preferred sample embodiment of the instant inventions is as follows:
  • Substrate to be plated Silicon wafer with copper plating base and photoresist plating mask.
  • a third preferred sample embodiment is as follows:
  • Substrate to be plated Silicon wafer with copper plating base and photoresist plating mask.
  • a fourth preferred sample embodiment is as follows:
  • a fifth preferred sample embodiment is as follows:
  • Oxygen atmospheric plasma process conditions same as reducing plasma parameters listed above except remove hydrogen flow and replace nitrogen with oxygen.
  • a sixth preferred sample embodiment is as follows:
  • Water molecule introduction is accomplished by bringing the substrate in contact with liquid water, then blowing dry the substrate with nitrogen or spinning dry.
  • a seventh preferred sample embodiment is as follows: [0096] 1. Same procedure as sixth preferred embodiment except the water molecules are introduced from a source of water vapor in the form of an atomized water mist, or steam.
  • OntosPRO 300mm 120 SLPM.
  • the instant inventions comprise process methods which utilize the downstream active residuals of a reducing-chemistry atmospheric plasma to provide multiple advantages to pre-plating surface preparation with a simple apparatus.
  • Oxidation is removed from the surface of the plating base.
  • All surfaces on the substrate are highly activated by the downstream active residuals thus creating highly wettable surfaces for subsequent plating operations.
  • the surface treatment is fast and efficient and can be accomplished on a continuous throughput basis.
  • the surface of the plating base is reduced toward native metal thus decreasing the plating initiation time and improving lateral uniformity of deposition.
  • Electroplating can be used in smaller geometries without undue yield degradation. [00117] According to some but not necessarily all embodiments, there is provided:
  • a method of integrated circuit manufacturing comprising the sequential actions of: a) making a wafer of partially fabricated integrated circuits, which includes a blanket layer of metal; b) applying an organic photoresist material to the wafer of partially fabricated integrated circuits, and patterning the photoresist material to form apertures therein over predetermined locations in the blanket layer of metal; c) flowing a gas stream through a plasma discharge to produce an activated gas stream which flows over the patterned photoresist material; wherein the activated gas stream includes plasma-activated reducing species, includes energized helium atoms, includes plasma-activated nitrogen, and is flowed at approximately atmospheric pressure, in close proximity to the wafer so as to exclude room atmosphere from the surface of the photoresist; d) electroplating a conductive material onto the layer of metal at the predetermined locations, using a plating bath, to thereby form plated metal layers at the locations of the apertures; whereby step c) performs descumming of the patterned photoresist without need for
  • a method of integrated circuit manufacturing comprising the sequential actions of: a) making a wafer of partially fabricated integrated circuits which includes a blanket layer of metal; b) applying an organic photoresist material to the wafer, and patterning the photoresist material to form apertures over predetermined locations; c) flowing a gas stream through a plasma discharge to produce an activated gas stream which flows over the patterned photoresist material; wherein the activated gas stream includes plasma-activated reducing species, includes energized noble gas atoms, and is flowed at approximately atmospheric pressure in close proximity to the wafer so as to exclude room atmosphere from the surface of the photoresist; d) plating a conductive material onto the metal areas at the aperture locations, using a plating bath, to thereby form plated metal layers at the locations of the apertures; whereby step c) performs descumming without need for ashing, and makes the photoresist material more hydrophilic, and reduces oxides on the metal areas; whereby the plating bath wets the
  • a method of integrated circuit manufacturing comprising the sequential actions of: a) making a wafer of partially fabricated integrated circuits, which includes a blanket layer of metal; b) applying an organic photoresist material to the partially fabricated integrated circuit, and patterning the photoresist material to form apertures therein exposing predetermined locations in the blanket layer of metal; c) flowing a plasma- activated gas stream over the patterned photoresist material, to thereby make the surface thereof more hydrophilic; c2) temporarily wetting the patterned photoresist material with an aqueous solution, to thereby stabilize and preserve the activation thereof by the mechanism of terminating activated surface bonds with polar molecules; d) plating a conductive material onto the metal areas at the predetermined pad locations, using a plating bath, to thereby form plated metal layers at the locations of the apertures; whereby, even after extended periods of time, the plating bath wets the exposed metal layer, and photoresist material, including the sidewalls of the apertures, and thereby eliminates the
  • a method of integrated circuit manufacturing comprising the sequential actions of: a) making a wafer of partially fabricated integrated circuits which includes a blanket layer of metal; b) applying an organic photoresist material to the wafer, and patterning the photoresist material to form apertures therein over predetermined locations; c) flowing a gas stream through a plasma discharge to produce an activated gas stream which flows over the patterned photoresist material; wherein the activated gas stream includes plasma-activated reducing species, includes energized noble gas atoms, includes plasma-activated nitrogen, and is flowed at approximately atmospheric pressure in close proximity to the wafer so as to exclude room atmosphere from the surface of the photoresist; d) electroplating a conductive material onto the layer of metal at the predetermined locations, using a plating bath, to thereby form plated metal layers at the locations of the apertures; whereby step c) performs descumming without need for ashing, and makes the photoresist material more hydrophilic, and reduces oxides on the metal

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Electrochemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

Methods and systems for using the downstream active residuals of a reducing-chemistry atmospheric plasma to provide multiple advantages to pre-plating surface preparation with a simple apparatus. As the downstream active species of the atmospheric plasma impinge the substrate surface, three important surface preparation processes can be performed simultaneously: 1. Organic residue is removed from the surface of the plating base. 2. Oxidation is removed from the surface of the plating base. 3. All surfaces on the substrate are highly activated by the downstream active residuals thus creating a highly wettable surface for subsequent plating operations.

Description

Simultaneous Hydrophilization of Photoresist Surface and
Metal Surface Preparation: Methods, Systems, and
Products
CROSS-REFERENCE
[0001] Priority is claimed from 62/078,598, which is hereby incorporated by reference.
BACKGROUND
[0002] The present application relates to manufacture of integrated circuits and multichip modules, and more particularly to metal plating.
[0003] Note that the points discussed below may reflect the hindsight gained from the disclosed inventions, and are not necessarily admitted to be prior art.
[0004] Standard practices in plating include pre -plate surface preparations using solvents, acids, abrasives, surfactants, ultrasonic agitation, and vacuum plasma processes to remove organic contamination and surface oxidation of the substrate to be plated. Each of these surface preparation processes has distinct disadvantages such as toxic waste disposal, chemical damage to exposed surfaces of the substrate, mechanical damage to the substrate surface or other sensitive structures of the substrate composition, introduction of foreign particles to the surface, required rinsing of the surface after treatment and before plating, contamination of the plating bath from residues of these surface treatment methods, etc.
[0005] What is needed is a rapid and environmentally-friendly method of preparing surfaces for plating which leaves the surface in a pristine and optimal surface state for the subsequent plating process, and does not mechanically and/or chemically disturb sensitive substrates, and requires no rinsing of surface preparation materials, and does not contaminate the plating bath.
[0006] Additionally, many plating operations are carried out using surface masking techniques to provide a patterned deposition of the plated material. The mechanical and chemical compatibilities of the masking materials often place additional constraints on the types of surface preparation that can be performed before the plating process commences. For example, use of photoresist to pattern a plating substrate precludes the use of certain solvents to remove residual organic contamination from the exposed substrate plating base. Conventionally, to overcome this limitation, a vacuum plasma treatment (often referred to as "oxygen ashing") employs oxygen ions and atomic bombardment to remove organic residues on the exposed plating base. However, this technique has disadvantages such as:
[0007] 1. Slow, batch-type throughput limitation,
[0008] 2. Critical line dimensions of the photoresist pattern are often changed by the oxygen treatment,
[0009] 3. Thickness of the photoresist is decreased by the oxygen treatment.
[0010] 4. Oxygen treatment reacts with the exposed plating base surface and grows additional oxides which inhibit the initiation of the plating process and lead to plating non-uniformities.
[0011] Also any masking materials, such as photoresist, are hydrophobic and tend to inhibit the flow of plating bath solutions into fine geometries. This can result in a defect known as "skip plating" where small, isolated openings in the photoresist simply do not plate up at all, or begin to plate later than do more open, exposed geometries. What is needed is a surface preparation prior to plating of patterned substrates which does not substantially disturb the patterning medium mechanically or chemically or dimensionally, and also promotes excellent wetting of aqueous solutions to the patterning medium so that the finest patterned geometries can be plated without "skip".
Simultaneous Hydrophilization of Photoresist and Metal Surface Preparation: Methods, Systems, and Products
12] The present application teaches new ways to perform plating in the manufacture of integrated circuits and multichip modules.
BRIEF DESCRIPTION OF THE DRAWINGS
[0013] The patent or application file contains at least one drawing executed in color.
Copies of this patent or patent application publication with color drawing(s) will be provided by the Office upon request and payment of the necessary fee.
[0014] The disclosed inventions will be described with reference to the accompanying drawings, which show important sample embodiments and which are incorporated in the specification hereof by reference, wherein:
[0015] Figure 1 shows an exemplary application of downstream active residuals from an atmospheric plasma head to a substrate prior to plating.
[0016] Figure 2 A shows a sample cross-section of a patterned plating substrate including the presence of oxidation on the plating base, organic contamination on the plating base, photoresist residue left in the bottom of the patterned feature after photoresist development, and a hydrophobic surface on the photoresist.
[0017] Figure 2B shows a sample cross-section like that of Figure 2 A after treatment by downstream active species from an atmospheric plasma. The organic residues and oxidation have been removed, and all surfaces are activated for excellent wetting by aqueous plating solutions.
[0018] Figure 3 shows one sample progression of flow of an aqueous solution over an untreated photoresist pattern. This illustrates how a bubble can be created in a small geometry when the surface patterning does not wet to the meniscus of the plating bath.
[0019] Figure 4 shows one sample embodiment of how a small geometry in the masking pattern is readily wetted after surface activation due to downstream active residuals of an atmospheric plasma.
[0020] Figure 5 shows some examples of features which can be treated according to the present inventions.
[0021] Figure 6 shows one sample plot of the removal of photoresist residue by
downstream reducing chemistry.
[0022] Figure 7 shows one sample plot of the removal of copper oxide by downstream reducing chemistry.
[0023] Figures 8A, 8B, 8C, and 8D show examples of comparative activation of photoresist patterns to allow wetting of aqueous solution down into high-aspect photoresist vias.
[0024] Figure 9 shows an exemplary plot of the reoxidation of copper at room temperature under various conditions.
[0025] Figures 10A, 10B, and IOC show exemplary wetting characteristics of photoresist over a copper plating base for various treatment conditions.
DETAILED DESCRIPTION OF SAMPLE EMBODIMENTS
[0026] The numerous innovative teachings of the present application will be described with particular reference to presently preferred embodiments (by way of example, and not of limitation). The present application describes several inventions, and none of the statements below should be taken as limiting the claims generally.
[0027] Downstream active residuals of an atmospheric plasma as methods of creating an optimum surface condition to promote plating deposition of superior quality.
[0028] The instant inventions comprise process methods which utilize the downstream active residuals of a reducing-chemistry atmospheric plasma to provide multiple advantages to pre-plating surface preparation with a simple apparatus. As the downstream active species from the atmospheric plasma impinge the substrate surface, three important surface preparation processes can be performed simultaneously:
[0029] 1. Organic residue is removed from the surface of the plating base.
[0030] 2. Oxidation is removed from the surface of the plating base.
[0031] 3. All surfaces on the substrate are highly activated by the downstream active residuals thus creating highly wettable surfaces for subsequent plating operations.
[0032] This combination of surface treatments utilizing downstream active residuals of an atmospheric plasma provides the following advantages to the overall plating process:
[0033] The surface treatment is fast and efficient and can be accomplished on a continuous throughput basis.
[0034] Vacuum chambers, gas pumps, and process confinement chambers are eliminated.
[0035] Wet chemical surface treatments (and their subsequent disposal) are eliminated.
[0036] Pre-wetting equipment and surfactants are eliminated.
[0037] Foreign particles introduced by vacuum chambers, wet processes and multiple handlings are eliminated. [0038] The surfaces exposed to the plating solution are highly activated, thus greatly decreasing surface bubble masking and skip plating.
[0039] The surface of the plating base is chemically reduced toward native metal.
This removes oxidation on the plating base metal, thus decreasing the plating initiation time and improving lateral uniformity of deposition.
[0040]
[0041] The instant inventions comprise process methods which utilize the downstream residuals (i.e. neutral radicals, metastable energetic atoms) of an atmospheric plasma to provide multiple surface modification processes to prepare a surface for plating. Several key features of various disclosed embodiments include:
[0042] The selection of an atmospheric plasma source to provide downstream residuals to perform chemical modifications on the surface of the substrate. This allows the process to proceed in room ambient conditions, thus eliminating the expense and time limitations imposed by vacuum chambers, gas pumping systems, gas confinement chambers, etc.
[0043] The selection of specific reducing gas mixtures enables the efficient removal of organic contamination without the use of oxygen or oxidizing chemistry. The elimination of traditional oxygen-based treatments such as "oxygen ashing" has the advantage that it will not increase (and often can decrease) the thickness of oxidation on the surface of the substrate plating base. This leads to a faster and more uniform initial "strike" at the beginning of plating deposition.
[0044] The selection of appropriate gas precursors for the atmospheric plasma produces downstream residuals with highly efficient surface activation capabilities. These serve to dramatically increase the wetting of the plating solution to the surface of the substrate. This eliminates the tendency to form bubbles and voids on the plating base surface as the substrate is being introduced into the plating bath. This is even more important when the substrate is patterned with a Photoresist layer that is normally hydrophobic. The surface activation by the downstream active residuals of an atmospheric plasma causes the photoresist surface to strongly wet to the plating solution, thus drawing plating solution into the smallest openings in the photoresist pattern.
[0045] The selection of scan speed and gap between the plasma head and the substrate are important parameters in the surface preparation process. Scan speed alters the rate at which surface chemical reactions occur.
[0046] The addition of specific passivating chemistry in the atmospheric plasma gas mix can inhibit the re-growth of oxides on the surface of the plating base, thus enabling longer queue times between pre -plate surface preparation and the actual plating process. This passivation process is accomplished by adding a small amount of nitrogen gas into the atmospheric plasma mix. Care must be taken, however, to not nitridize the metal surface so much that plating nucleation is significantly impeded. For copper passivation, a low nitrogen flow on the order of 1% of total gas flow is typical. At this level of nitridation (approximately one monolayer of nitrogen on copper) plating nucleation is not significantly impeded.
[0047] Each of the above-mentioned key process features is described in more detail below.
Use of an atmospheric plasma source:
[0048] In the sample embodiment of Figure 1, the substrate is scanned relative to the downstream gas flow, thus subjecting the substrate to uniform treatment by the downstream active species which were created in the plasma region inside the atmospheric plasma head. An atmosphere exclusion zone is created by the flow of process gas out of the plasma head exit aperture which then spreads laterally between the bottom surface of the plasma head and the substrate. An atmospheric plasma source (1) provides a convenient source of chemical radicals (e.g. monatomic hydrogen) and metastable noble gas atoms (e.g. metastable electron states of helium) (5) to perform surface modifications without the need for expensive vacuum chambers, pumping systems, and/or process gas confinement chambers. In addition to these benefits, certain types of atmospheric plasma sources - namely dielectric barrier discharge (DBD) low-temperature plasma sources such as the Ontos7 plasma source supplied by SETNA Corp. - confine the high-energy plasma region to the interior of the plasma source. By the time the gas flow (2) passes through the plasma region (3) and exits the aperture (4) in the bottom of the plasma source, all high- energy components such as ions, hot electrons, and kinetic bombardment species have lost their energy due to the high rate of particle collisions which occur under room pressure conditions. This ensures that the substrate (6) being treated does not suffer surface damage due to high-energy particles such as typically occurs in a vacuum plasma system. Also, because the downstream active residuals from an atmospheric plasma source have no significant kinetic energy, they do not destroy the atomic lattice structure at the immediate surface of the substrate under treatment. This results in a substrate surface that has a high degree of atomic order, while being free of organic and oxide contaminants thus enabling the highest possible "surface activation". Surfaces activated by atmospheric plasma downstream active residuals provide an extremely high level of surface activation to create highly wettable surfaces. This type of non-damaging surface activation is far superior to vacuum- based plasma systems which bombard the surface with ions, and create a "rubble" of dislodged surface atoms.
Selection Of Specific Reducing Gas Mixtures 9] Removal of surface oxidation and organic contamination, due to air exposure and photoresist residue, are both important to efficient and uniform plating, especially during the nucleation phase of the plating process. The presence of either oxides or organics on the surface of the plating base will inhibit current flow between the plating base and the plating bath which is necessary for the electron-exchange process involved in plating. Oftentimes prior to blanket metal plating a solvent clean is performed to remove organics, followed by an acid pre-etch to remove oxides. Both of these procedures are known to introduce particles and additional contaminants to the surface which eventually end up in the plating bath, or worse yet as imperfections in the plating deposition itself. In semiconductor processing (refer to Figure 2A) an organic photoresist mask (11) is used to pattern the plating base (12) to mask certain regions from the plating process. In this case, solvents cannot be used to remove organic or photoresist residues, for fear of altering or destroying the photoresist pattern. Oxygen ashing (in a vacuum chamber) is therefore often used to "de-scum" the photoresist pattern (7) and remove other organic components (8) from the plating base. However, this traditional oxygen-based processing grows an even thicker oxide on the surface of the plating base which must be removed before plating can begin. In addition, the oxygen plasma treatment often changes the dimensions of the photoresist pattern because it removes a significant amount of photoresist. The disclosed process method employs reducing chemistry downstream radicals produced by an atmospheric plasma. By careful selection of the gas mixture entering the atmospheric plasma head, both organic residue (7,8) and metal oxidation (9) can be removed using the same chemistry and atmospheric plasma conditions resulting in a patterned plating base (Figure 2B) which has an oxide-free and organic-free surface (15). This eliminates the need to perform separate steps for the removal of organics and oxides prior to plating. Typical gas mixtures include a carrier gas such as helium and a reducing gas such as hydrogen. Other carrier gasses (such as neon or argon) and reducing gasses (such as NH3) can be effective for this process, and are considered to fall under the purview of the instant invention disclosure. An optional addition of nitrogen into the gas mixture provides the additional advantage of atomic passivation of the de-oxidized plating base which allows the substrate to be stored in air for a longer period of time before the plating process begins. This nitrogen atomic passivation minimizes the consequences of re-oxidation of the plating base which can result in non-uniform nucleation of plating.
Selection Of Appropriate Gas Precursors For Surface Activation 0] Atmospheric plasma has been shown to provide excellent surface activation to many different types of surfaces. Figure 3 shows a patterned semiconductor wafer with a non-activated typical hydrophobic photoresist surface (19). As the plating solution (17) spreads over the hydrophobic photoresist surface, a high contact angle or even re-entrant meniscus is formed. As this meniscus moves over a small opening in the photoresist (18), the plating solution does not wet down the hydrophobic sidewalls of the opening, and the meniscus tends to flow around and then over the opening forming a bubble (21). Conversely, Figure 4 shows a patterned semiconductor wafer with a hydrophilic photoresist surface which has been activated by atmospheric plasma. As the plating solution (22) spreads over the hydrophilic photoresist surface (24), a low contact angle meniscus is formed. As this meniscus moves over a small opening in the photoresist (23), the plating solution wets down the hydrophilic sidewalls of the opening and across the activated hydrophilic plating base (26), so the meniscus tends to fill the entire opening without forming any bubbles (27). Air, nitrogen, helium, hydrogen, argon, oxygen and mixtures thereof have been discussed in the literature as precursors for atmospheric plasma surface activation. However, air and oxygen can produce undesirable surface reactions with the plating base which inhibit plating initialization. Air and oxygen can also affect critical photoresist dimensions in semiconductor applications. So to provide the best surface activation while leaving the plating base in the best condition for plating, and having minimum effect on photoresist, a combination of helium and hydrogen (and optional nitrogen) is the presently-most-preferred implementation. Pure helium or pure argon or a mixture of argon and hydrogen could also be used, but they are less efficient at surface activation and would require additional passes by the atmospheric plasma head, whereas helium/hydrogen/optional nitrogen, in the same ratio as used above for oxide reduction, can accomplish the surface activation task at the same time as the plating base oxides and organics are being removed.
[0051] In the sample embodiment of Figure 5, photoresist layer 51 has both smaller "isolate" feature 50 and extended trench feature 54 penetrating down to blanket metal layer/plating base 52 (but not through to underlying wafer 53).
Selection Of Appropriate Scan Speed and Gap
[0052] An essential factor in the use of an atmospheric plasma head to perform chemical modification of surfaces is the exclusion of room air from the chemical reaction zone. Figure 1 shows how the gas that transits the interior of the plasma head then exits an aperture in the bottom face of the plasma head and flows laterally between the substrate and the bottom surface of the plasma head. This lateral flow continually purges room air from the reaction zone directly under the plasma head. It is the absence of room air (mainly oxygen) that allows the reducing chemistry to stay at a high-enough density to perform reducing chemical reactions on the surface of the substrate. Any incursion of room air into the reaction zone reduces the efficiency of the downstream radical reactions. The selection of appropriate scan parameters and gap parameters are necessary to achieve maximum effect of the downstream active residuals on the substrate. Scan rate is governed by trade-offs in throughput vs. substrate heating vs. process reaction time vs. atmosphere exclusion kinetics. For throughput purposes, a higher scan speed is clearly desirable. A higher scan speed also minimizes heating of the substrate due to thermal exchange with the thermally excited gas flowing out of the plasma head. However, at higher scan speeds there is less time for the room air to be purged from the reaction zone, and therefore the reaction speed decreases. Similarly, if the gap is too large between the plasma head and the substrate more room air will remain in the reaction zone. However, if the gap is too small, additional heating of the substrate can occur which will leave the surface of the substrate still warm when it exits the exclusion zone as the scan proceeds. This can lead to re -oxidation of the just-reduced surface. Multi-variable designed experiments have determined a range of gap and scan speed that produces optimum results. Typical scan speeds run from lmm/second up to 15mm/second depending on how much organic and oxide removal is required. Typical gap spacing between the plasma head bottom surface and the substrate top surface is between 0.5mm and 1.5 mm, depending on scan speed and reoxidation rate of the plating base material.
3] An additional process step found valuable, if a photoresist surface must stay highly activated for longer period of time (e.g. overnight), is to terminate the freshly activated surface states with water molecules (e.g. dip the wafer in DI water and then blow dry with nitrogen). The water molecules are attracted to the polar activated surface atoms and bond to them. This stabilizes the activated surface and prevents the adsorption/chemisorption of atmospheric contaminants which would impede wetting (such as oxygen and carbon) for a longer period of time. It has been found that such water molecule termination immediately after activation with atmospheric plasma extends the wettability of the surface for aqueous solutions to at least 24 hours. Even if some oxide remains after the surface has been exposed to reducing chemistry (e.g. due to imperfect or incomplete reduction processes), the surface still becomes "active" in that the downstream process gas flow makes the surface polar enough to wet easily, and the wetting of the metal is important in preventing bubbles. Alternate methods of providing water molecules to the activated surface include, among others:
[0054] 1. Atomized water, such as a "fog" over the wafer,
[0055] 2. Steam
[0056] 3. Water vapor passed through an atmospheric plasma source.
Supporting Data
[0057] There are 5 primary performance aspects of the present inventions:
[0058] 1. Descumming of photoresist residue
[0059] 2. Removal of oxidation from plating base
[0060] 3. Activation of photoresist for wetting down into small photoresist apertures [0061] 4. Optional passivation of de-oxidized plating base against reoxidation by N2 included in downstream active chemistry
[0062] 5. Optional preservation of surface activation by introducing H20 molecules after treatment.
[0063] The uniqueness of these inventions is that the first four of these aspects can be accomplished simultaneously with a single process and apparatus.
Descum of photoresist residue
[0064] It is broadly recognized in semiconductor processing that there exists a slight residue of photoresist material (referred to as "scum") in the bottom of a developed- out photoresist pattern. Oxygen ashing in a vacuum system is most often used to remove this scum. In the present inventions, a reducing chemistry (i.e. hydrogen- based excited species) is used to remove post-develop photoresist scum, while having the advantage that any existing oxide on the surface of the exposed plating base is reduced instead of growing more oxide, as occurs with oxygen-based de-scumming processes. In Figure 6, an Si02-coated silicon wafer was overcoated with a very dilute solution of photoresist (AZ4620) in acetone, and then dried on a hotplate. Ellipsometer readings indicate removal of the photoresist scum in 1-2 scans of reducing chemistry downstream products of atmospheric plasma.
Removal of oxidation from plating base
[0065] A thin layer of copper is most often used as a plating base in semiconductor manufacturing, as in the "Copper Damascene" process. Copper, when exposed to the atmosphere, oxidizes, growing a layer of CuO on the exposed surface. The presence of an oxide inhibits the passage of electrical current through the plating base to the plating solution. This oxidation results in variable nucleation of plating onto the plating base, and therefore undesirable non-uniformities in plating thickness. Traditional descumming of photoresist with oxygen serves only to increase the thickness of this oxidation layer. In the present inventions, a reducing chemistry (i.e. hydrogen-based excited species) is used to remove oxidation from the plating base. Figure 7 shows the removal of copper oxide employing the same He/H2/N2 parameters as the descumming plot of Figure 6. Ellipsometer readings indicate removal of the native copper oxide in 1-2 scans of Ontos atmospheric plasma.
Activation of photoresist
[0066] Small photoresist apertures are notorious for inhibiting flow of aqueous solutions into high-aspect-ratio photoresist patterns. This is due to the normal non- wetting nature of photoresist resin. The sample embodiments of Figures 8A-8D show small apertures in a photoresist pattern, imbued with a drop of aqueous solution. Figure 8A demonstrates the poor wetting of the solution to the photoresist layer, on a macro scale. In Figure 8B, at higher magnification, bubbles can be observed at the top of each aperture, indicating that the solution was not wetting the photoresist well enough to flow down into the small apertures.
[0067] In contrast, Figures 8C and 8D show the wetting of the photoresist on a macro scale, and wetting down into the small vias (no bubbles) produced by the application of downstream reducing chemistry from an atmospheric plasma source. The downstream process parameters which produced this effect were the same as used in the previous two sections.
Optional passivation of de-oxidized plating base against reoxidation by N2
[0068] The above 3 aspects of these inventions could just as well be accomplished without the presence of nitrogen in the downstream. However, with the addition of N* (nitrogen radicals) in the downstream from the atmospheric plasma, the deoxidized copper plating base can be passivated to inhibit re -oxidation of the copper with exposure to air. The sample plot of Figure 9 shows the re-oxidation of copper (measured in terms of ellipsometer Delta) as a function of time for three different conditions: 902, passivated copper treated with He/H2/N2; 904, unpassivated copper treated with He/H2 only; and 906, fresh clean copper with no surface treatment.
[0069] For passivated condition 902, the decrease in Delta value of less than ½ degree over 22 hours signifies a regrowth of only one monolayer of oxide on the surface. Untreated freshly exposed copper, for control condition 906, has grown approximately 7x this amount over the same 22 hour period in room ambient conditions.
Optional passivation of activated surfaces by exposure to H2Q
[0070] Although the addition of nitrogen adequately passivates the plating base against re-oxidation, the surface activation of the copper and photoresist eventually succumb to airborne oxygen and carbon thus losing their polarity and surface wetting capability. This can be characterized by the formation of more and more bubbles in the bottom of fine geometries. If the substrate is treated with H20 after the downstream surface treatment described above, available H20 molecules will bind to the activated surface and remain as a physisorbed monolayer on the surface. These physisorbed water molecules are stable in air and will remain on the surface for many days. Their presence inhibits the attachment of oxygen or carbon to the substrate surface. Even days later, this layer of water molecules remains attractive to aqueous solutions and enables exceptional wetting on all surfaces. The sample embodiments of Figures lOA-lOC show three comparative photographs. Figure 10A shows the wetting capability of both photoresist and copper plating base immediately after downstream treatment as described above. There are no bubbles present due to the exceptional wetting properties of the as-treated film. Figure 10B shows the same wafer 24 hours later after being re-introduced to an aqueous solution - there are no bubbles present because the wetting properties of the surfaces was preserved by the first water exposure. The wafer in the sample embodiment of Figure IOC received downstream treatment, but was left in air for 24 hours. Bubbles are seen in the geometries, indicating that the surfaces have lost much of their wetting properties.
[0071] A first preferred sample embodiment of the instant inventions is as follows:
[0072] 1. Substrate to be plated: Silicon wafer with copper plating base and photoresist plating mask.
[0073] 2. Atmospheric Plasma source: SETNA Ontos7 dielectric barrier discharge remote plasma head with 25mm aperture and RF power = 100 Watts.
[0074] 3. Gas flow parameters: helium = 10 Standard Liters Per Minute (SLPM), hydrogen = 0.16 SLPM, nitrogen = 0.1 SLPM.
[0075] 4. Scan parameters: scan speed = 3 mm/sec; gap between plasma head and substrate = 1 mm; rastered across wafer surface with overlap between neighboring scans = 0 mm; 1 to 4 passes over the wafer depending on the extent of organic and/or oxide contamination.
[0076] A second preferred sample embodiment of the instant inventions is as follows:
[0077] 1. Substrate to be plated: Silicon wafer with copper plating base and photoresist plating mask.
[0078] 2. Atmospheric Plasma source: SETNA OntosPRO dielectric barrier discharge remote plasma head with 200mm aperture and RF power = 800 Watts.
[0079] 3. Gas flow parameters: helium = 80 Standard Liters Per Minute (SLPM), hydrogen = 1.28 SLPM, nitrogen = 0.8 SLPM.
[0080] 4. Scan parameters: scan speed = 3 mm/sec, gap between plasma head and substrate = 1 mm, 1 to 4 passes over the wafer depending on the extent of organic and/or oxide contamination. [0081] A third preferred sample embodiment is as follows:
[0082] 1. Substrate to be plated: Silicon wafer with copper plating base and photoresist plating mask.
[0083] 2. Atmospheric Plasma source: SETNA OntosPRO dielectric barrier discharge remote plasma head with 300mm aperture and RF power = 1200 Watts.
[0084] 3. Gas flow parameters: helium = 120 Standard Liters Per Minute (SLPM), hydrogen = 1.92 SLPM, nitrogen = 1.4 SLPM.
[0085] 4. Scan parameters: scan speed = 3 mm/sec, gap between plasma head and substrate = 1 mm, 1 to 4 passes over the wafer depending on the extent of organic and/or oxide contamination.
[0086] A fourth preferred sample embodiment is as follows:
[0087] 1. Same conditions as the first, second, and third preferred embodiments, except without the nitrogen, where passivation of the plating base is not an issue.
[0088] A fifth preferred sample embodiment is as follows:
[0089] 1. Same conditions as the first, second and third preferred embodiments, except employing a pre-scan with oxygen-based atmospheric plasma to remove excessive organic contamination, if photoresist critical dimensions will allow (or if the substrate is unpatterned).
[0090] 2. Oxygen atmospheric plasma process conditions: same as reducing plasma parameters listed above except remove hydrogen flow and replace nitrogen with oxygen.
[0091] 3. Oxygen scan(s) to be followed by reducing scans as described in first, second, and third preferred embodiments.
[0092] A sixth preferred sample embodiment is as follows:
[0093] 1. Same conditions as the first through fifth preferred embodiments, with the addition of a water molecule passivation applied to the activated surface to extend surface wettability to aqueous solutions to longer timeframes.
[0094] 2. Water molecule introduction is accomplished by bringing the substrate in contact with liquid water, then blowing dry the substrate with nitrogen or spinning dry.
[0095] A seventh preferred sample embodiment is as follows: [0096] 1. Same procedure as sixth preferred embodiment except the water molecules are introduced from a source of water vapor in the form of an atomized water mist, or steam.
[0097] An eighth preferred sample embodiment is as follows:
[0098] 1. Same procedure as sixth preferred embodiment except the water molecules are subsequently introduced from the atmospheric plasma source as follows:
[0099] 2. Atmospheric Plasma source: SETNA Ontos7 dielectric barrier discharge remote plasma head with 25mm aperture and RF power = 100 Watts. (Or OntosPRO
200mm = 800 Watts, or OntosPRO 300mm = 1200 Watts.)
[00100] 3. Gas flow parameters: helium = 10 Standard Liters Per Minute (SLPM) containing water vapor from a water bubbler. (Or OntosPRO 200mm = 80 SLPM, or
OntosPRO 300mm = 120 SLPM.)
[00101] 4. Scan parameters: scan speed = 5 mm/sec, gap between plasma head and substrate = 1 mm, rastered across wafer surface with overlap between neighboring scans = 1 mm, 1 pass over the wafer.
[00102]
[00103] It is to be understood that the present inventions should not be limited to the above described embodiments, but can be practiced in various forms within the scope of the present inventions. Variations in process parameters, such as plasma head manufacturer, RF power, gas composition, gas flow ratios, gas flow rates, scan speed, gap and number of passes, may be viable to accomplish the same process goals within the bounds of the present inventions.
[00104] The instant inventions comprise process methods which utilize the downstream active residuals of a reducing-chemistry atmospheric plasma to provide multiple advantages to pre-plating surface preparation with a simple apparatus. As the downstream active species of the atmospheric plasma impinge the substrate surface, three important surface preparation processes can be performed simultaneously:
[00105] 1. Organic residue is removed from the surface of the plating base.
[00106] 2. Oxidation is removed from the surface of the plating base. [00107] 3. All surfaces on the substrate are highly activated by the downstream active residuals thus creating highly wettable surfaces for subsequent plating operations.
[00108] This combination of surface treatments utilizing downstream active residuals of an atmospheric plasma provides the following advantages to the overall plating process:
[00109] 1. The surface treatment is fast and efficient and can be accomplished on a continuous throughput basis.
[00110] 2. Vacuum chambers, gas pumps, and process confinement chambers are eliminated.
[00111] 3. Wet chemical surface treatments (and their subsequent disposal) are eliminated.
[00112] 4. Pre-wetting equipment and surfactants are eliminated.
[00113] 5. Foreign particles introduced by vacuum chambers, wet processes and multiple handlings are eliminated.
[00114] 6. The surfaces exposed to the plating solution are highly activated, thus greatly decreasing surface bubble masking and skip plating.
[00115] 7. The surface of the plating base is reduced toward native metal thus decreasing the plating initiation time and improving lateral uniformity of deposition.
Advantages
[00116] The disclosed innovations, in various embodiments, provide one or more of at least the following advantages. However, not all of these advantages result from every one of the innovations disclosed, and this list of advantages does not limit the various claimed inventions.
• The skip problem in electroplating has been solved.
• Yield is increased.
• The time constraints on the sequence of operations for electroplating have been relaxed.
• Electroplating can be used in smaller geometries without undue yield degradation. [00117] According to some but not necessarily all embodiments, there is provided:
Methods and systems for using the downstream active residuals of a reducing- chemistry atmospheric plasma to provide multiple advantages to pre -plating surface preparation with a simple apparatus. As the downstream active species of the atmospheric plasma impinge the substrate surface, three important surface preparation processes can be performed simultaneously: 1. Organic residue is removed from the surface of the plating base. 2. Oxidation is removed from the surface of the plating base. 3. All surfaces on the substrate are highly activated by the downstream active residuals thus creating a highly wettable surface for subsequent plating operations.
[00118] According to some but not necessarily all embodiments, there is provided:
A method of integrated circuit manufacturing, comprising the sequential actions of: a) making a wafer of partially fabricated integrated circuits, which includes a blanket layer of metal; b) applying an organic photoresist material to the wafer of partially fabricated integrated circuits, and patterning the photoresist material to form apertures therein over predetermined locations in the blanket layer of metal; c) flowing a gas stream through a plasma discharge to produce an activated gas stream which flows over the patterned photoresist material; wherein the activated gas stream includes plasma-activated reducing species, includes energized helium atoms, includes plasma-activated nitrogen, and is flowed at approximately atmospheric pressure, in close proximity to the wafer so as to exclude room atmosphere from the surface of the photoresist; d) electroplating a conductive material onto the layer of metal at the predetermined locations, using a plating bath, to thereby form plated metal layers at the locations of the apertures; whereby step c) performs descumming of the patterned photoresist without need for ashing, and makes the photoresist material more hydrophilic, and reduces oxides on the metal areas, and passivates the metal areas against re -oxidation; whereby the plating bath wets the exposed metal layer, and photoresist material, including the sidewalls of the apertures, and thereby avoids the formation of bubbles as the plating bath flows across the apertures. [00119] According to some but not necessarily all embodiments, there is provided:
A method of integrated circuit manufacturing, comprising the sequential actions of: a) making a wafer of partially fabricated integrated circuits which includes a blanket layer of metal; b) applying an organic photoresist material to the wafer, and patterning the photoresist material to form apertures over predetermined locations; c) flowing a gas stream through a plasma discharge to produce an activated gas stream which flows over the patterned photoresist material; wherein the activated gas stream includes plasma-activated reducing species, includes energized noble gas atoms, and is flowed at approximately atmospheric pressure in close proximity to the wafer so as to exclude room atmosphere from the surface of the photoresist; d) plating a conductive material onto the metal areas at the aperture locations, using a plating bath, to thereby form plated metal layers at the locations of the apertures; whereby step c) performs descumming without need for ashing, and makes the photoresist material more hydrophilic, and reduces oxides on the metal areas; whereby the plating bath wets the photoresist material, including the sidewalls of the apertures, and thereby avoids the formation of bubbles as the plating bath flows across the apertures.
[00120] According to some but not necessarily all embodiments, there is provided:
A method of integrated circuit manufacturing, comprising the sequential actions of: a) making a wafer of partially fabricated integrated circuits, which includes a blanket layer of metal; b) applying an organic photoresist material to the partially fabricated integrated circuit, and patterning the photoresist material to form apertures therein exposing predetermined locations in the blanket layer of metal; c) flowing a plasma- activated gas stream over the patterned photoresist material, to thereby make the surface thereof more hydrophilic; c2) temporarily wetting the patterned photoresist material with an aqueous solution, to thereby stabilize and preserve the activation thereof by the mechanism of terminating activated surface bonds with polar molecules; d) plating a conductive material onto the metal areas at the predetermined pad locations, using a plating bath, to thereby form plated metal layers at the locations of the apertures; whereby, even after extended periods of time, the plating bath wets the exposed metal layer, and photoresist material, including the sidewalls of the apertures, and thereby eliminates the formation of bubbles as the plating bath flows across the apertures and the metal layer.
[00121] According to some but not necessarily all embodiments, there is provided:
A method of integrated circuit manufacturing, comprising the sequential actions of: a) making a wafer of partially fabricated integrated circuits which includes a blanket layer of metal; b) applying an organic photoresist material to the wafer, and patterning the photoresist material to form apertures therein over predetermined locations; c) flowing a gas stream through a plasma discharge to produce an activated gas stream which flows over the patterned photoresist material; wherein the activated gas stream includes plasma-activated reducing species, includes energized noble gas atoms, includes plasma-activated nitrogen, and is flowed at approximately atmospheric pressure in close proximity to the wafer so as to exclude room atmosphere from the surface of the photoresist; d) electroplating a conductive material onto the layer of metal at the predetermined locations, using a plating bath, to thereby form plated metal layers at the locations of the apertures; whereby step c) performs descumming without need for ashing, and makes the photoresist material more hydrophilic, and reduces oxides on the metal areas, and passivates the metal areas against re -oxidation; whereby the plating bath wets the exposed metal layer, and photoresist material, including the sidewalls of the apertures, and thereby avoids the formation of bubbles as the plating bath flows across the apertures.
Modifications and Variations
[00122] As will be recognized by those skilled in the art, the innovative concepts described in the present application can be modified and varied over a tremendous range of applications, and accordingly the scope of patented subject matter is not limited by any of the specific exemplary teachings given. It is intended to embrace all such alternatives, modifications and variations that fall within the spirit and broad scope of the appended claims.
[00123] None of the description in the present application should be read as implying that any particular element, step, or function is an essential element which must be included in the claim scope: THE SCOPE OF PATENTED SUBJECT MATTER IS DEFINED ONLY BY THE ALLOWED CLAIMS. Moreover, none of these claims are intended to invoke paragraph six of 35 USC section 112 unless the exact words "means for" are followed by a participle.
124] The claims as filed are intended to be as comprehensive as possible, and
NO subject matter is intentionally relinquished, dedicated, or abandoned.

Claims

CLAIMS What is claimed is, among others (and, without exclusion, in addition to any other points which are indicated herein as inventive and/or surprising and/or advantageous):
1. A method of integrated circuit manufacturing, comprising the sequential actions of: a) making a wafer of partially fabricated integrated circuits, which includes a blanket layer of metal;
b) applying an organic photoresist material to the wafer of partially fabricated integrated circuits, and patterning the photoresist material to form apertures therein over predetermined locations in the blanket layer of metal; c) flowing a gas stream through a plasma discharge to produce an activated gas stream which flows over the patterned photoresist material; wherein the activated gas stream includes plasma-activated reducing species, includes energized helium atoms, includes plasma-activated nitrogen, and is flowed at approximately atmospheric pressure, in close proximity to the wafer so as to exclude room atmosphere from the surface of the photoresist;
d) electroplating a conductive material onto the layer of metal at the predetermined locations, using a plating bath, to thereby form plated metal layers at the locations of the apertures;
whereby step c) performs descumming of the patterned photoresist without need for ashing, and makes the photoresist material more hydrophilic, and reduces oxides on the metal areas, and passivates the metal areas against re -oxidation; whereby the plating bath wets the exposed metal layer, and photoresist material, including the sidewalls of the apertures, and thereby avoids the formation of bubbles as the plating bath flows across the apertures.
2. The method of Claim 1, wherein the apertures include extended trenches as well as smaller isolate features.
3. The method of Claim 1, wherein the apertures have a maximum depth which is larger than their minimum width.
4. The method of Claim 1, further comprising the additional step, after step c) and before step d), of temporarily wetting the patterned photoresist material to thereby stabilize and preserve the activation thereof.
5. The method of Claim 1, wherein step a) applies the photoresist material to all of the wafer's surface except for a narrow band around the edge of the wafer's surface, which narrow band accommodates edge contact connection in an electroplating apparatus.
6. The method of Claim 1, further comprising the subsequent step of stripping the
photoresist.
7. The method of Claim 1, wherein any oxide remaining after step c) is more polar than before step c) and wets readily during said step d).
8. A system which implements the method of Claim 1.
9. A method of integrated circuit manufacturing, comprising the sequential actions of: a) making a wafer of partially fabricated integrated circuits which includes a blanket layer of metal;
b) applying an organic photoresist material to the wafer, and patterning the photoresist material to form apertures over predetermined locations; c) flowing a gas stream through a plasma discharge to produce an activated gas stream which flows over the patterned photoresist material; wherein the activated gas stream includes plasma-activated reducing species, includes energized noble gas atoms, and is flowed at approximately atmospheric pressure in close proximity to the wafer so as to exclude room atmosphere from the surface of the photoresist;
d) plating a conductive material onto the metal areas at the aperture locations, using a plating bath, to thereby form plated metal layers at the locations of the apertures;
whereby step c) performs descumming without need for ashing, and makes the photoresist material more hydrophilic, and reduces oxides on the metal areas; whereby the plating bath wets the photoresist material, including the sidewalls of the apertures, and thereby avoids the formation of bubbles as the plating bath flows across the apertures.
10. The method of Claim 9, wherein the apertures include extended trenches as well as smaller isolate features.
11. The method of Claim 9, wherein the apertures have a maximum depth which is larger than their minimum width.
12. The method of Claim 9, further comprising the additional step, after step c) and
before step d), of temporarily wetting the patterned photoresist material to thereby stabilize and preserve the activation thereof.
13. The method of Claim 9, wherein the noble gas is helium.
14. The method of Claim 9, wherein nitrogen is included in the plasma-activated gas stream to provide passivation of the exposed metal layer, thus avoiding reoxidation of the metal prior to plating.
15. The method of Claim 9, wherein step a) applies the photoresist material to all of the wafer's surface except for a narrow band around the edge of the wafer's surface.
16. The method of Claim 9, further comprising the subsequent step of stripping the photoresist.
17. A system which implements the method of Claim 9.
18. A method of integrated circuit manufacturing, comprising the sequential actions of: a) making a wafer of partially fabricated integrated circuits, which includes a blanket layer of metal;
b) applying an organic photoresist material to the partially fabricated integrated circuit, and patterning the photoresist material to form apertures therein exposing predetermined locations in the blanket layer of metal;
c) flowing a plasma-activated gas stream over the patterned photoresist material, to thereby make the surface thereof more hydrophilic;
c2) temporarily wetting the patterned photoresist material with an aqueous solution, to thereby stabilize and preserve the activation thereof by the mechanism of terminating activated surface bonds with polar molecules;
d) plating a conductive material onto the metal areas at the predetermined pad locations, using a plating bath, to thereby form plated metal layers at the locations of the apertures;
whereby, even after extended periods of time, the plating bath wets the exposed metal layer, and photoresist material, including the sidewalls of the apertures, and thereby eliminates the formation of bubbles as the plating bath flows across the apertures and the metal layer.
19. The method of Claim 18, wherein the aqueous solution is pure water.
20. The method of Claim 18, wherein step a) applies the photoresist material to all of the wafer's surface except for a narrow band around the edge of the wafer's surface.
21. The method of Claim 18, further comprising the subsequent step of stripping the
photoresist.
22. A system which implements the method of Claim 18.
23. A method of integrated circuit manufacturing, comprising the sequential actions of: a) making a wafer of partially fabricated integrated circuits which includes a blanket layer of metal;
b) applying an organic photoresist material to the wafer, and patterning the photoresist material to form apertures therein over predetermined locations; c) flowing a gas stream through a plasma discharge to produce an activated gas stream which flows over the patterned photoresist material; wherein the activated gas stream includes plasma-activated reducing species, includes energized noble gas atoms, includes plasma-activated nitrogen, and is flowed at approximately atmospheric pressure in close proximity to the wafer so as to exclude room atmosphere from the surface of the photoresist;
d) electroplating a conductive material onto the layer of metal at the predetermined locations, using a plating bath, to thereby form plated metal layers at the locations of the apertures;
whereby step c) performs descumming without need for ashing, and makes the photoresist material more hydrophilic, and reduces oxides on the metal areas, and passivates the metal areas against re-oxidation;
whereby the plating bath wets the exposed metal layer, and photoresist material, including the sidewalls of the apertures, and thereby avoids the formation of bubbles as the plating bath flows across the apertures.
24. The method of Claim 23, wherein the apertures include extended trenches as well as smaller isolate features.
25. The method of Claim 23, wherein the apertures have a maximum depth which is
larger than their minimum width.
26. The method of Claim 23, further comprising the additional step, after step c) and
before step d), of temporarily wetting the patterned photoresist material to thereby stabilize and preserve the activation thereof.
27. The method of Claim 23, wherein the noble gas is helium.
28. The method of Claim 23, wherein step a) applies the photoresist material to all of the wafer's surface except for a narrow band around the edge of the wafer's surface.
29. The method of Claim 23, further comprising the subsequent step of stripping the photoresist.
30. A system which implements the method of Claim 23.
PCT/US2015/060477 2014-11-12 2015-11-12 Simultaneous hydrophilization of photoresist surface and metal surface preparation: methods, systems, and products WO2016077645A1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
CN201580072728.8A CN107429418A (en) 2014-11-12 2015-11-12 The hydrophilic modifying on the photoresist surface carried out simultaneously and metal surface prepare:Methods, systems and products
EP15858953.1A EP3218923A4 (en) 2014-11-12 2015-11-12 Simultaneous hydrophilization of photoresist surface and metal surface preparation: methods, systems, and products
KR1020177015808A KR20170106300A (en) 2014-11-12 2015-11-12 Simultaneous Hydrophilization of Photoresist Surface and Metal Surface Preparation: Methods, Systems, and Products
SG11201704100RA SG11201704100RA (en) 2014-11-12 2015-11-12 Simultaneous hydrophilization of photoresist surface and metal surface preparation: methods, systems, and products
JP2017544843A JP6636534B2 (en) 2014-11-12 2015-11-12 Simultaneous hydrophilization of photoresist surface and metal surface treatment: methods, systems and products

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201462078598P 2014-11-12 2014-11-12
US62/078,598 2014-11-12

Publications (1)

Publication Number Publication Date
WO2016077645A1 true WO2016077645A1 (en) 2016-05-19

Family

ID=55955084

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2015/060477 WO2016077645A1 (en) 2014-11-12 2015-11-12 Simultaneous hydrophilization of photoresist surface and metal surface preparation: methods, systems, and products

Country Status (7)

Country Link
US (2) US10438804B2 (en)
EP (1) EP3218923A4 (en)
JP (1) JP6636534B2 (en)
KR (1) KR20170106300A (en)
CN (1) CN107429418A (en)
SG (1) SG11201704100RA (en)
WO (1) WO2016077645A1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6833557B2 (en) * 2016-03-04 2021-02-24 株式会社荏原製作所 Plating equipment and plating method
CN110760896A (en) * 2018-07-26 2020-02-07 苏州苏大维格科技集团股份有限公司 Crease-resistant electroforming process for working plate
US11569159B2 (en) 2019-08-30 2023-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of chip package with through vias

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030027427A1 (en) * 2001-08-06 2003-02-06 Applied Materials, Inc. Integrated system for oxide etching and metal liner deposition
JP2003209069A (en) * 2002-01-11 2003-07-25 Sharp Corp Manufacturing method for semiconductor device
KR20040066285A (en) * 2003-01-17 2004-07-27 엘지전자 주식회사 Plasma cleaning apparatus for tape substrate board and the same method
JP2005240108A (en) * 2004-02-26 2005-09-08 Ebara Corp Plating apparatus and plating method
JP2009507135A (en) * 2005-08-31 2009-02-19 ラム リサーチ コーポレーション System and method for forming patterned copper wire by electroless copper plating
US20110011531A1 (en) 2009-07-20 2011-01-20 Set North America, Llc Method of plasma preparation of metallic contacts to enhance mechanical and electrical integrity of subsequent interconnect bonds

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4367123A (en) * 1980-07-09 1983-01-04 Olin Corporation Precision spot plating process and apparatus
US4865245A (en) 1987-09-24 1989-09-12 Santa Barbara Research Center Oxide removal from metallic contact bumps formed on semiconductor devices to improve hybridization cold-welds
US6471115B1 (en) 1990-02-19 2002-10-29 Hitachi, Ltd. Process for manufacturing electronic circuit devices
FR2697456B1 (en) 1992-10-30 1994-12-23 Air Liquide Dry fluxing method and device.
JP3194553B2 (en) 1993-08-13 2001-07-30 富士通株式会社 Method for manufacturing semiconductor device
FR2735053B1 (en) 1995-06-09 1997-07-25 Air Liquide METHOD AND DEVICE FOR WAVE BRAZING INCORPORATING DRY FLUXING OPERATION
US5918794A (en) 1995-12-28 1999-07-06 Lucent Technologies Inc. Solder bonding of dense arrays of microminiature contact pads
US5928527A (en) 1996-04-15 1999-07-27 The Boeing Company Surface modification using an atmospheric pressure glow discharge plasma source
EP2270845A3 (en) 1996-10-29 2013-04-03 Invensas Corporation Integrated circuits and methods for their fabrication
US6277725B1 (en) 1999-07-07 2001-08-21 United Microelectronics Corp. Method for fabricating passivation layer on metal pad
US6468833B2 (en) 2000-03-31 2002-10-22 American Air Liquide, Inc. Systems and methods for application of substantially dry atmospheric plasma surface treatment to various electronic component packaging and assembly methods
US6444576B1 (en) 2000-06-16 2002-09-03 Chartered Semiconductor Manufacturing, Ltd. Three dimensional IC package module
US6586323B1 (en) 2000-09-18 2003-07-01 Taiwan Semiconductor Manufacturing Company Method for dual-layer polyimide processing on bumping technology
JP2002368389A (en) * 2001-06-06 2002-12-20 Matsushita Electric Works Ltd Method and device for treating printed wiring board
US6712260B1 (en) 2002-04-18 2004-03-30 Taiwan Semiconductor Manufacturing Company Bump reflow method by inert gas plasma
US20040018715A1 (en) 2002-07-25 2004-01-29 Applied Materials, Inc. Method of cleaning a surface of a material layer
WO2004051729A2 (en) 2002-12-04 2004-06-17 Süss Mircro Tec Lithography Gmbh Method and device for pre-treating surfaces of substrates to be bonded
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US7079370B2 (en) 2003-04-28 2006-07-18 Air Products And Chemicals, Inc. Apparatus and method for removal of surface oxides via fluxless technique electron attachment and remote ion generation
JP2004353004A (en) * 2003-05-27 2004-12-16 Ebara Corp Plating device
US6927493B2 (en) * 2003-10-03 2005-08-09 Texas Instruments Incorporated Sealing and protecting integrated circuit bonding pads
US8747960B2 (en) * 2005-08-31 2014-06-10 Lam Research Corporation Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide
TW200711154A (en) 2005-09-08 2007-03-16 Advanced Semiconductor Eng Flip-chip packaging process
TWI387016B (en) * 2009-03-25 2013-02-21 Univ Nat Chiao Tung High frequency flip chip package process of polymer substrte and structure thereof
US8153510B2 (en) 2009-05-01 2012-04-10 Power Gold LLC Semiconductor bond pad patterns and method of formation
US8163094B1 (en) 2009-07-23 2012-04-24 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Method to improve indium bump bonding via indium oxide removal using a multi-step plasma process
TWI411075B (en) 2010-03-22 2013-10-01 Advanced Semiconductor Eng Semiconductor package and manufacturing method thereof
US9613825B2 (en) * 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US8967452B2 (en) 2012-04-17 2015-03-03 Asm Technology Singapore Pte Ltd Thermal compression bonding of semiconductor chips
JP6385198B2 (en) 2014-08-21 2018-09-05 日東電工株式会社 Method for manufacturing suspension board with circuit

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030027427A1 (en) * 2001-08-06 2003-02-06 Applied Materials, Inc. Integrated system for oxide etching and metal liner deposition
JP2003209069A (en) * 2002-01-11 2003-07-25 Sharp Corp Manufacturing method for semiconductor device
KR20040066285A (en) * 2003-01-17 2004-07-27 엘지전자 주식회사 Plasma cleaning apparatus for tape substrate board and the same method
JP2005240108A (en) * 2004-02-26 2005-09-08 Ebara Corp Plating apparatus and plating method
JP2009507135A (en) * 2005-08-31 2009-02-19 ラム リサーチ コーポレーション System and method for forming patterned copper wire by electroless copper plating
US20110011531A1 (en) 2009-07-20 2011-01-20 Set North America, Llc Method of plasma preparation of metallic contacts to enhance mechanical and electrical integrity of subsequent interconnect bonds

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
SCHULTE, ERIC F. ET AL.: "Characterization of a novel fluxless surface preparation process for die interconnect bonding", 62ND ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC, 29 May 2012 (2012-05-29), pages 1 - 34, XP055482658, DOI: 10.1109/ECTC.2012.6248801
See also references of EP3218923A4

Also Published As

Publication number Publication date
EP3218923A4 (en) 2018-07-25
US20200234958A1 (en) 2020-07-23
US10438804B2 (en) 2019-10-08
JP2018503266A (en) 2018-02-01
US20160172198A1 (en) 2016-06-16
SG11201704100RA (en) 2017-06-29
KR20170106300A (en) 2017-09-20
US10985024B2 (en) 2021-04-20
CN107429418A (en) 2017-12-01
JP6636534B2 (en) 2020-01-29
EP3218923A1 (en) 2017-09-20

Similar Documents

Publication Publication Date Title
TWI746728B (en) Semiconductor processing apparatus
US10985024B2 (en) Simultaneous hydrophilization of photoresist and metal surface preparation: methods, systems, and products
US10727080B2 (en) Tantalum-containing material removal
US9837284B2 (en) Oxide etch selectivity enhancement
US9275834B1 (en) Selective titanium nitride etch
US9449843B1 (en) Selectively etching metals and metal nitrides conformally
US20180025900A1 (en) Alkali metal and alkali earth metal reduction
KR102215970B1 (en) Atomic layer etching using acid halide
JP2020529513A (en) Methods for selective deposition on silicon-based dielectrics
TW201712140A (en) Method for selectively depositing material and method for selectively depositing metal oxide film
WO2013066667A1 (en) Dry etch processes
CN107924839B (en) Adjustable remote decomposition
TW201001535A (en) Method of semiconductor processing
KR101179111B1 (en) Etching method and recording medium
JP3445765B2 (en) Substrate surface treatment method for semiconductor element formation
KR20180133224A (en) Boron film removing method, and pattern forming method and apparatus using boron film
JP3329199B2 (en) Semiconductor device manufacturing method and manufacturing apparatus
US20160068969A1 (en) Integrated processing for microcontamination prevention
JP3691689B2 (en) Etching surface hydrophilization method
Schulte et al. Utilization of atmospheric plasma surface preparation to improve Copper plating processes
JP2001102345A (en) Method and device for treating surface
Ohmi Proposal of Advanced Wet Cleaning of Silicon Surface

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 15858953

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2017544843

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 11201704100R

Country of ref document: SG

ENP Entry into the national phase

Ref document number: 20177015808

Country of ref document: KR

Kind code of ref document: A

REEP Request for entry into the european phase

Ref document number: 2015858953

Country of ref document: EP