JPH09205130A - ウェハ支持装置 - Google Patents

ウェハ支持装置

Info

Publication number
JPH09205130A
JPH09205130A JP571596A JP571596A JPH09205130A JP H09205130 A JPH09205130 A JP H09205130A JP 571596 A JP571596 A JP 571596A JP 571596 A JP571596 A JP 571596A JP H09205130 A JPH09205130 A JP H09205130A
Authority
JP
Japan
Prior art keywords
wafer
susceptor
lift pin
supporting
supporting device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP571596A
Other languages
English (en)
Inventor
Kunio Kurihara
邦夫 栗原
Masayuki Hashimoto
正幸 橋本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to JP571596A priority Critical patent/JPH09205130A/ja
Priority to US08/810,625 priority patent/US5820685A/en
Publication of JPH09205130A publication Critical patent/JPH09205130A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/12Substrate holders or susceptors
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/14Substrate holders or susceptors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 ウェハの表面だけでなく、裏面にも薄膜の形
成やエッチング処置を施す。 【解決手段】 半導体製造装置のプロセスチャンバ12
内においてウェハ2をその下面から水平に支持するウェ
ハ支持装置である。板状のサセプタ21は、ウェハ2の
下方においてウェハ2と板面を平行にして配置され、上
下に貫通する少なくとも3個の貫通孔25が形成されて
いる。サセプタ21の各貫通孔25には、それぞれ軸方
向に移動可能なリフトピン26が貫通されている。リフ
トピン26の上端には、ウェハ2とサセプタ21との間
に隙間を形成してウェハ2を下面から支持する突起27
が設けられている。これにより、反応ガスは、ウェハ2
とサセプタ21との間にも流れ、ウェハ2の裏面にも薄
膜が形成される。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、CVD装置等の半
導体製造装置において用いられるウェハ支持装置に関す
る。
【0002】
【従来の技術】一般に、CVD装置等においてウェハ上
に薄膜を形成したり、エッチングを行う際には、ウェハ
をその下面から水平に支持している。図5は従来のウェ
ハ支持装置を示すもので、プロセスチャンバ内に水平配
置される円板状のサセプタ1には、ウェハ2の径よりも
小さい径の円周上に120度間隔で3箇所にサセプタ1
を貫通する貫通孔3が形成されている。貫通孔3の上部
には、サセプタ1の上面1aに向けて漸次大径となるテ
ーパ部3aが形成されている。それら各貫通孔3には、
リフトピン4がそれぞれ貫通されている。そして、各リ
フトピン4は、軸方向(上下方向)に移動できるように
なっている。また、各リフトピン4の頭部には、貫通孔
3のテーパ部3aに嵌合し、リフトピン4がサセプタ1
に係止して吊り下がることができるように、上端に向け
て漸次大径となるテーパ部4aが形成されている。
【0003】このようなウェハ支持装置を備えたCVD
装置において、薄膜の形成は、図5に示すようにウェハ
2をサセプタ1の上面1aに載置し、所定の反応ガスを
プロセスチャンバ内に供給して、ガスを熱的またはプラ
ズマや光によって励起し、分解、解離あるいは異種化合
物との間で反応させることにより行われる。
【0004】
【発明が解決しようとする課題】上記のような従来のウ
ェハ支持装置において、リフトピン4は、ウェハ2を搬
送する際、下端の自由端に上下動自在のアーム(図示省
略)を接触させ、サセプタ1からウェハ2を持ち上げる
ために用いられるものである。すなわち、薄膜形成の際
に、リフトピン4はその上端面がサセプタ1の上面1a
と面一になって吊り下がっており、ウェハ2をサセプタ
1上に隙間なく載置する構成であるので、ウェハ2の表
面(上面)に薄膜を形成することはできるものの、裏面
(下面)に薄膜を形成することができなかった。
【0005】本発明は、かかる従来の問題点に鑑みてな
されたもので、ウェハの両面に薄膜形成等の処理をする
ことができる半導体製造装置のウェハ支持装置を提供す
ることを目的とする。
【0006】
【課題を解決するための手段】上記課題を解決するため
に、請求項1に係る発明は、半導体製造装置のプロセス
チャンバ内においてウェハをその下面から水平に支持す
るウェハ支持装置を、ウェハの下方においてウェハと板
面を平行にして配置され、上下に貫通する少なくとも3
個の貫通孔が形成された板状のサセプタと、サセプタの
各貫通孔をそれぞれ貫通するとともに、軸方向に移動可
能なリフトピンと、リフトピンの上端に形成され、ウェ
ハとサセプタとの間に隙間を形成してウェハを下面から
支持する突起とから構成した。
【0007】このような構成のウェハ支持装置では、ウ
ェハとサセプタとの間に隙間を設けてウェハを支持する
ので、プロセスチャンバ内に供給したガスは、ウェハと
サセプタとの間の隙間を通り抜ける。したがって、ウェ
ハの表面のみならず、ウェハの裏面にも薄膜を形成した
り、エッチングを行うことができる。
【0008】また、ウェハとサセプタとの間に隙間を形
成するための突起は、リフトピンに設けているので、従
来から用いているサセプタをそのまま使用することがで
き、本装置を安価に提供することができる。
【0009】請求項2に係る発明は、請求項1記載のウ
ェハ支持装置において、リフトピンはサセプタに係止可
能であり、リフトピンがサセプタに係止された状態で、
突起はサセプタ上面より上方に突出していることを特徴
とする。
【0010】請求項3に係る発明は、請求項1または2
記載のウェハ支持装置において、サセプタの上面に、突
起に支持されるウェハの外周を規制する規制部材を少な
くとも3個設けたことを特徴とする。
【0011】ウェハは極めて薄くかつ軽量であり、突起
上に載置するだけなので、処理中にずれてしまうおそれ
がある。したがって、サセプタ上にウェハの外周を規制
する規制部材を設けておけば、ウェハがずれることはな
い。ただし、ウェハがずれることにより突起から落ちて
しまうことを最低限防止できればよいので、必ずしも規
制部材がウェハの外周に接触していなくてもよい。
【0012】請求項4に係る発明は、半導体製造装置の
プロセスチャンバ内においてウェハをその下面から水平
に支持するウェハ支持装置であって、ウェハの下方にお
いてウェハと板面を平行にして配置され、上下に貫通す
る少なくとも3個の貫通孔が形成された板状のサセプタ
と、サセプタの各貫通孔をそれぞれ貫通するとともに、
軸方向に移動可能なリフトピンとを備え、リフトピン
は、ウェハの外周に位置し、ウェハとサセプタとの間に
隙間を形成してウェハを下面から支持すべくウェハの径
方向内側に突出したリフト部と、ウェハの外周を規制す
る規制部とを有することを特徴とする。
【0013】このウェハ支持装置では、リフトピンがウ
ェハの支持と外周の規制との両機能を有しており、リフ
トピンと別個に規制部材を設ける必要がないので、サセ
プタに特別な加工を施さなくてもよい。
【0014】
【発明の実施の形態】図1〜図3は本発明の一実施形態
を示すもので、図2に示すCVD装置10は、ウェハ2
およびウェハ支持装置11を収容するプロセスチャンバ
12と図示を省略した駆動装置とから概略構成されてい
る。プロセスチャンバ12は、厚肉リング状の金属から
なるベースリング13と、そのベースリング13の上部
を塞ぐ石英製の窓14と、ベースリング13の下部を塞
ぐようにして設けられ、駆動装置に接続される石英製の
チャンバ底部15とからなっている。そして、ベースリ
ング13には、プロセスチャンバ12の内外を連通する
ウェハ2搬送用の通路16が形成されている。
【0015】プロセスチャンバ12内において、ウェハ
支持装置20の円板状のサセプタ21は、上下動および
回転駆動用の駆動装置(図示省略)にそれぞれ接続され
た支持体22により下面から支持されている。支持体2
2は、サセプタ21の下面中心部に固着された中心軸2
3と、サセプタ21の下面外周部で120度間隔の3箇
所(図では2箇所だけが示されている)に固着されたア
ーム24とを備え、各アーム24は中空シャフト24a
の上端に設けられている。これにより、サセプタ21
は、上下動および回転することができるようになってい
る。
【0016】プロセスチャンバ12内で水平配置される
サセプタ21には、図1に示すように、ウェハ2の径よ
りも小さい径の円周上に120度間隔で3箇所(図では
2箇所だけが示されている)にサセプタ21を貫通する
貫通孔25が形成されている。貫通孔25の上部には、
サセプタ21の上面21aに向けて漸次大径となるテー
パ部25aが形成されている。それら貫通孔25には、
リフトピン26がそれぞれ貫通されている。そして、各
リフトピン26は、軸方向(上下方向)に移動できるよ
うになっている。また、各リフトピン26の頭部には、
貫通孔25のテーパ部25aに嵌合し、リフトピン26
がサセプタ21に係止して吊り下がることができるよう
に、上端に向けて漸次大径となるテーパ部26aが形成
されている。また、リフトピン26は、支持体22のア
ーム24を貫通してアーム24より下方位置までその下
端(自由端)が延びている。なお、リフトピン26は、
アーム24に対しても上下動可能である。
【0017】さらに、各リフトピン26の上端面は、リ
フトピン26がサセプタ21に吊り下がって係止された
状態で、図1に示すように、サセプタ21の上面21a
と面一となるように形成されている。また、各リフトピ
ン26の上端には、ウェハ2とサセプタ21との間に隙
間を設けてウェハ2を支持することができるように、突
起27がそれぞれ形成されている。この突起27は、リ
フトピン26の上端面の径よりも小さな径の円柱状の小
突起であり、リフトピン26と一体に形成されている。
【0018】また、サセプタ21の上面21aには、各
突起27上にウェハ2が載置された状態でウェハ2の外
周を規制することができるように、ウェハ2が載置され
る高さよりも上方に突き出るような規制ピン28が、同
一円周上に120度間隔で3箇所(図では2箇所だけ示
されている)に立設されている。
【0019】一方、サセプタ21を支持する支持体22
の外側には、リフトピン26の突き上げを行う上下動お
よび回転可能な突き上げ部材30が設けられている。こ
の突き上げ部材30は、支持体22のアーム24の中空
シャフト24aが挿通される中空シャフト31と、その
中空シャフト31の上端に設けられ、各リフトピン26
の下端に対応する位置まで先端が延びている3本のアー
ム32とからなっている。また、各アーム32の先端に
は、リフトピン26の下端に当接可能なパッド33がそ
れぞれ固着されている。突き上げ部材30は、サセプタ
21の回転に伴うリフトピン26の回転に対応すること
ができるように、支持体22と同期して回転される。
【0020】このような構成のCVD装置において、例
えばウェハ2上に薄膜の形成を行うには、まず、図3に
示すように、プロセスチャンバ12の通路16を介して
搬送されるウェハ2を、リフトピン26および突起27
で受け取る。このとき、サセプタ21は、支持体22の
下動により所定位置まで下降する。同時に、突き上げ部
材30も下動するが、支持体22の変位量の方が大きい
ので、リフトピン26の下端は、突き上げ部材30のパ
ッド33に突き当たり、リフトピン26の上端は、サセ
プタ21の上面21aより突き出る。このような状態
で、リフトピン26上の突起27でウェハ2を受け取
る。
【0021】その後、図2に示すように、リフトピン2
6がサセプタ21に吊り下がって係止されるまで、支持
体22および突き上げ部材30を所定位置まで上動させ
る。このとき、支持体22の変位量の方が大きいので、
リフトピン26の下端は、突き上げ部材30のパッド3
3から離れ、自由端となる。また、リフトピン26の上
端面は、サセプタ21の上面21aと面一となるが、突
起27はサセプタ21の上面21aから突き出た状態と
なる。
【0022】これにより、図1に示すように、ウェハ2
は、リフトピン26上の突起27により、サセプタ21
との間に隙間を形成して水平に支持される。したがっ
て、プロセスチャンバ12内に反応ガスを供給すると、
そのガスは、ウェハ2の上方だけでなく、ウェハ2の下
面とサセプタ21の上面21aとの間を通り抜ける。そ
の結果、ウェハ2の表面のみならず、ウェハ2の裏面に
も薄膜が形成される。
【0023】また、ウェハ2の外周は、規制ピン28に
より規制されるので、処理中にウェハ2が突起27から
ずれて落ちてしまうことはない。
【0024】なお、薄膜の形成を終えたウェハ2は、再
び図3に示すような状態となるまで移動され、プロセス
チャンバ12外へ搬送される。
【0025】図4は、本発明の他の実施形態を示すもの
で、このウェハ支持装置40における円板状のサセプタ
41には、水平支持するウェハ2の外周よりも僅かに大
径の円周上に120度間隔で3箇所(図では2箇所だけ
が示されている)に貫通孔42が形成されている。そし
て、これら貫通孔42には、リフトピン43がそれぞれ
貫通されている。各リフトピン43は、軸方向(上下方
向)に移動できるようになっている。また、各リフトピ
ン43の上部には、支持するウェハ2の径方向内側に突
出する小片状のリフト部44と、ウェハ2の外周を規制
するピン状の規制部45とが形成されている。リフト部
44は、ウェハ2をサセプタ41の上面41aとの間に
隙間を形成して支持するとともに、リフトピン43をサ
セプタ41に係止する機能を持つ。
【0026】このようなウェハ支持装置40は、前記実
施形態のウェハ支持装置20と同様に図2および図3に
示したCVD装置10に組み込まれて使用される。ま
た、本実施形態のウェハ支持装置40の作用、効果は、
前記実施形態のウェハ支持装置20と同様である。
【0027】特に、本実施形態によれば、リフトピン4
6は、ウェハ2の支持機能とウェハ2の外周規制機能を
持っているので、リフトピン46と別個にウェハ2の外
周規制部材を設ける必要がない。
【0028】なお、前記第1の実施形態では、突起27
をリフトピン26と一体に形成したが、本発明はかかる
実施形態に限定されるものではなく、突起27をリフト
ピン26に別個に取り付ける構成とすれば、ウェハ2と
サセプタ21との間に形成する隙間の高さを適宜調整す
ることができる。
【0029】また、突起27は、円柱状に限らず、半球
状、三角錐形状等に形成してもよい。そのように形成す
れば、突起はウェハ2と点接触となるので、裏面の薄膜
形成を良好に行うことができる。
【0030】また、リフトピン26を吊り下げるのに、
サセプタ21の貫通孔25上部をテーパ状にしたが、リ
フトピン26の上部にカラーを設け、リフトピン26が
カラーによりサセプタ21に係止される構成としてもよ
い。
【0031】
【発明の効果】以上のように、本発明の半導体製造装置
のウェハ支持装置によれば、リフトピンの上端に、ウェ
ハ下面とサセプタ上面との間に隙間を形成してウェハを
支持する突起を設けたので、ウェハの両面に薄膜形成等
の処理をすることができる。
【0032】また、サセプタ上にウェハの外周を規制す
る規制部材を設ければ、ウェハがずれて突起から落ちて
しまうことがない。
【0033】さらに、ウェハの支持機能と外周規制機能
の両者をリフトピンに設ければ、リフトピンと別個に規
制部材を設ける必要がない。
【図面の簡単な説明】
【図1】本発明の第1の実施形態の要部を示す縦断正面
図である。
【図2】同実施形態のウェハ支持装置を備えたCVD装
置を示す縦断正面図である。
【図3】同実施形態のウェハ支持装置を備えたCVD装
置を示す縦断正面図である。
【図4】本発明の第2の実施形態の要部を示す縦断正面
図である。
【図5】従来のウェハ支持装置の要部を示す縦断正面図
である。
【符号の説明】
2…ウェハ、10…CVD装置、11,40…ウェハ支
持装置、12…プロセスチャンバ、21,41…サセプ
タ、25,42…貫通孔、26,43…リフトピン、2
7…突起、28…規制ピン、44…リフト部、45…規
制部
───────────────────────────────────────────────────── フロントページの続き (72)発明者 橋本 正幸 千葉県成田市新泉14−3野毛平工業団地内 アプライド マテリアルズ ジャパン 株式会社内

Claims (4)

    【特許請求の範囲】
  1. 【請求項1】 半導体製造装置のプロセスチャンバ内に
    おいてウェハをその下面から水平に支持するウェハ支持
    装置であって、 前記ウェハの下方においてウェハと板面を平行にして配
    置され、上下に貫通する少なくとも3個の貫通孔が形成
    された板状のサセプタと、 前記サセプタの前記各貫通孔をそれぞれ貫通するととも
    に、軸方向に移動可能なリフトピンと、 前記リフトピンの上端に形成され、前記ウェハと前記サ
    セプタとの間に隙間を形成して前記ウェハを下面から支
    持する突起を具備したことを特徴とするウェハ支持装
    置。
  2. 【請求項2】 前記リフトピンは前記サセプタに係止可
    能であり、前記リフトピンが前記サセプタに係止された
    状態で、前記突起は前記サセプタ上面より上方に突出し
    ていることを特徴とする請求項1記載のウェハ支持装
    置。
  3. 【請求項3】 前記サセプタの上面に、前記突起に支持
    される前記ウェハの外周を規制する規制部材を少なくと
    も3個設けたことを特徴とする請求項1または2記載の
    ウェハ支持装置。
  4. 【請求項4】 半導体製造装置のプロセスチャンバ内に
    おいてウェハをその下面から水平に支持するウェハ支持
    装置であって、 前記ウェハの下方においてウェハと板面を平行にして配
    置され、上下に貫通する少なくとも3個の貫通孔が形成
    された板状のサセプタと、 前記サセプタの前記各貫通孔をそれぞれ貫通するととも
    に、軸方向に移動可能なリフトピンとを備え、 前記リフトピンは、前記ウェハの外周に位置し、前記ウ
    ェハと前記サセプタとの間に隙間を形成して前記ウェハ
    を下面から支持すべく前記ウェハの径方向内側に突出し
    たリフト部と、前記ウェハの外周を規制する規制部とを
    有することを特徴とするウェハ支持装置。
JP571596A 1996-01-17 1996-01-17 ウェハ支持装置 Withdrawn JPH09205130A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP571596A JPH09205130A (ja) 1996-01-17 1996-01-17 ウェハ支持装置
US08/810,625 US5820685A (en) 1996-01-17 1997-02-28 Wafer support device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP571596A JPH09205130A (ja) 1996-01-17 1996-01-17 ウェハ支持装置
US08/810,625 US5820685A (en) 1996-01-17 1997-02-28 Wafer support device

Publications (1)

Publication Number Publication Date
JPH09205130A true JPH09205130A (ja) 1997-08-05

Family

ID=26339706

Family Applications (1)

Application Number Title Priority Date Filing Date
JP571596A Withdrawn JPH09205130A (ja) 1996-01-17 1996-01-17 ウェハ支持装置

Country Status (2)

Country Link
US (1) US5820685A (ja)
JP (1) JPH09205130A (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6025266A (en) * 1996-09-04 2000-02-15 Nec Corporation Vacuum film formation method and device
EP1308989A2 (en) * 1997-11-03 2003-05-07 ASM America, Inc. Improved low mass wafer support system
EP0953659A3 (en) * 1998-04-28 2003-09-17 Shin-Etsu Handotai Company Limited Apparatus for thin film growth
US7377978B2 (en) 2003-06-26 2008-05-27 Shin-Etsu Handotai Co., Ltd. Method for producing silicon epitaxial wafer and silicon epitaxial wafer
JP2011077561A (ja) * 1998-02-18 2011-04-14 Lam Research Ag ウエーハを乾式エッチングする装置及びこれに所属の方法
WO2019004201A1 (ja) * 2017-06-26 2019-01-03 エピクルー ユーエスエー インコーポレイテッド プロセスチャンバ

Families Citing this family (275)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3249765B2 (ja) * 1997-05-07 2002-01-21 東京エレクトロン株式会社 基板処理装置
US6214184B1 (en) * 1997-05-14 2001-04-10 Taiwan Semiconductor Manufacturing Company, Ltd Insulated wafer pedestal
US6146463A (en) * 1998-06-12 2000-11-14 Applied Materials, Inc. Apparatus and method for aligning a substrate on a support member
US6284384B1 (en) 1998-12-09 2001-09-04 Memc Electronic Materials, Inc. Epitaxial silicon wafer with intrinsic gettering
US20030051656A1 (en) 1999-06-14 2003-03-20 Charles Chiun-Chieh Yang Method for the preparation of an epitaxial silicon wafer with intrinsic gettering
US7515264B2 (en) * 1999-06-15 2009-04-07 Tokyo Electron Limited Particle-measuring system and particle-measuring method
US6163015A (en) * 1999-07-21 2000-12-19 Moore Epitaxial, Inc. Substrate support element
US6537011B1 (en) * 2000-03-10 2003-03-25 Applied Materials, Inc. Method and apparatus for transferring and supporting a substrate
US6290491B1 (en) * 2000-06-29 2001-09-18 Motorola, Inc. Method for heating a semiconductor wafer in a process chamber by a shower head, and process chamber
KR20030021185A (ko) * 2000-06-30 2003-03-12 엠이엠씨 일렉트로닉 머티리얼즈 인코포레이티드 디누디드 존을 갖는 실리콘 웨이퍼를 형성하는 방법 및 장치
US6599815B1 (en) 2000-06-30 2003-07-29 Memc Electronic Materials, Inc. Method and apparatus for forming a silicon wafer with a denuded zone
US6339016B1 (en) 2000-06-30 2002-01-15 Memc Electronic Materials, Inc. Method and apparatus for forming an epitaxial silicon wafer with a denuded zone
US6497403B2 (en) 2000-12-28 2002-12-24 Memc Electronic Materials, Inc. Semiconductor wafer holder
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US7056392B1 (en) * 2003-04-16 2006-06-06 Lsi Logic Corporation Wafer chucking apparatus and method for spin processor
US7520939B2 (en) * 2003-04-18 2009-04-21 Applied Materials, Inc. Integrated bevel clean chamber
US7128806B2 (en) * 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
US20050133166A1 (en) * 2003-12-19 2005-06-23 Applied Materials, Inc. Tuned potential pedestal for mask etch processing apparatus
US20050133158A1 (en) * 2003-12-19 2005-06-23 Applied Materials, Inc. Mask handler apparatus
US8365682B2 (en) * 2004-06-01 2013-02-05 Applied Materials, Inc. Methods and apparatus for supporting substrates
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
CN101847574B (zh) * 2006-01-31 2012-11-07 东京毅力科创株式会社 基板处理装置和暴露于等离子体的部件
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
KR100818842B1 (ko) * 2006-12-27 2008-04-01 주식회사 실트론 웨이퍼의 열처리시 슬립을 방지할 수 있는 웨이퍼 지지 핀및 웨이퍼의 열처리 방법
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101770008B1 (ko) 2009-12-11 2017-08-21 노벨러스 시스템즈, 인코포레이티드 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US8591700B2 (en) 2010-08-19 2013-11-26 Stmicroelectronics Pte Ltd. Susceptor support system
US9371584B2 (en) * 2011-03-09 2016-06-21 Applied Materials, Inc. Processing chamber and method for centering a substrate therein
US20130021604A1 (en) * 2011-07-20 2013-01-24 Richard Sun Automated Sample Positioning System For Ellipsometers
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
NL2009689A (en) * 2011-12-01 2013-06-05 Asml Netherlands Bv Support, lithographic apparatus and device manufacturing method.
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN103454810B (zh) * 2013-09-09 2016-06-08 深圳市华星光电技术有限公司 用于液晶配向膜的烘烤装置
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10892180B2 (en) * 2014-06-02 2021-01-12 Applied Materials, Inc. Lift pin assembly
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
CN105529283B (zh) * 2014-09-29 2020-06-30 盛美半导体设备(上海)股份有限公司 晶圆的双面气相刻蚀装置
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
KR102365819B1 (ko) * 2015-07-17 2022-02-21 삼성전자주식회사 웨이퍼 클램핑 장치
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9698042B1 (en) * 2016-07-22 2017-07-04 Lam Research Corporation Wafer centering in pocket to improve azimuthal thickness uniformity at wafer edge
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) * 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220050047A (ko) 2020-10-15 2022-04-22 에이에스엠 아이피 홀딩 비.브이. 예측 유지보수 방법 및 예측 유지보수 장치
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5589224A (en) * 1992-09-30 1996-12-31 Applied Materials, Inc. Apparatus for full wafer deposition

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6025266A (en) * 1996-09-04 2000-02-15 Nec Corporation Vacuum film formation method and device
EP1308989A2 (en) * 1997-11-03 2003-05-07 ASM America, Inc. Improved low mass wafer support system
EP1308989A3 (en) * 1997-11-03 2007-12-26 ASM America, Inc. Improved low mass wafer support system
JP2011077561A (ja) * 1998-02-18 2011-04-14 Lam Research Ag ウエーハを乾式エッチングする装置及びこれに所属の方法
EP0953659A3 (en) * 1998-04-28 2003-09-17 Shin-Etsu Handotai Company Limited Apparatus for thin film growth
US7377978B2 (en) 2003-06-26 2008-05-27 Shin-Etsu Handotai Co., Ltd. Method for producing silicon epitaxial wafer and silicon epitaxial wafer
WO2019004201A1 (ja) * 2017-06-26 2019-01-03 エピクルー ユーエスエー インコーポレイテッド プロセスチャンバ

Also Published As

Publication number Publication date
US5820685A (en) 1998-10-13

Similar Documents

Publication Publication Date Title
JPH09205130A (ja) ウェハ支持装置
JP4401449B2 (ja) ウエハを支持する方法と装置
KR101017654B1 (ko) 기판 척킹 부재, 이를 갖는 기판 처리 장치 및 이를 이용한기판 처리 방법
JP2001313329A (ja) 半導体製造装置におけるウェハ支持装置
WO2001033617A1 (fr) Appareil de fabrication de semiconducteurs
TW200816361A (en) Device for supporting substrate
US6530157B1 (en) Precise positioning device for workpieces
JP4275420B2 (ja) 基板処理装置および基板処理方法
JP2007088303A (ja) ウェーハ支持構造及びウェーハ製造装置
JP3357311B2 (ja) 半導体製造装置におけるウェハ支持装置
JP2009514208A (ja) スピンチャック
JP2010021279A (ja) 液処理装置および液処理方法
JP2003133397A (ja) 半導体ウェハ製造装置の回転式サセプタ支持機構
JP2001300400A (ja) 基板処理装置
JPH10135312A (ja) 基板回転保持装置および回転式基板処理装置
JP2000100706A (ja) 基板保持装置および基板処理装置
JP2001135712A (ja) 真空処理装置
JPH1083948A (ja) 回転式現像装置
WO2023002801A1 (ja) 基板処理装置
JPH01134945A (ja) ウエハ保持装置
KR20170126111A (ko) 리프트핀용 지지유닛 및 이를 사용한 기판처리장치
KR20020033169A (ko) 반도체 제조장치
JP2021148689A (ja) 厚み測定装置
KR100816008B1 (ko) 판형 피처리물의 회전처리장치 및 회전처리방법
JPH0810680B2 (ja) 熱処理用ボ−ト

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20030401