KR101736528B1 - 컨포멀 붕소 질화물 막의 증착 - Google Patents

컨포멀 붕소 질화물 막의 증착 Download PDF

Info

Publication number
KR101736528B1
KR101736528B1 KR1020127028338A KR20127028338A KR101736528B1 KR 101736528 B1 KR101736528 B1 KR 101736528B1 KR 1020127028338 A KR1020127028338 A KR 1020127028338A KR 20127028338 A KR20127028338 A KR 20127028338A KR 101736528 B1 KR101736528 B1 KR 101736528B1
Authority
KR
South Korea
Prior art keywords
plasma
boron
film
boron nitride
deposition
Prior art date
Application number
KR1020127028338A
Other languages
English (en)
Other versions
KR20130086525A (ko
Inventor
조지 앤드류 안토넬리
만디암 스리람
비쉬와나탄 랑가라얀
프라모드 수브라모니움
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20130086525A publication Critical patent/KR20130086525A/ko
Application granted granted Critical
Publication of KR101736528B1 publication Critical patent/KR101736528B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/342Boron nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

붕소 질화물 또는 붕소 탄소 질화물 유전체를 형성하는 방법은 부하 효과없이 컨포멀층을 제조한다. 유전체층은, 증착의 적어도 일부가 플라즈마 없이 수행되는, 기판 상에의 함붕소 막의 화학적 기상 증착 (CVD), 이후 증착된 함붕소 막의 플라즈마에의 노출에 의해 형성된다. CVD 컴포넌트에서는 부하 효과없이 컨포멀 막을 제조하는, 증착 프로세스가 두드러진다. 유전체는 애싱 가능하며, 주위의 재료들에 영향을 주지않고 수소 플라즈마에 의해 제거될 수 있다. 유전체는 실리콘 산화물 또는 실리콘 질화물과 같은 하드 마스크 재료들 또는 다른 프론트 엔드 스페이서와 비교하여 훨씬 보다 낮은 습식 식각률을 갖고, 그리고 실리콘 질화물보다 훨씬 보다 낮은, 상대적으로 낮은 유전 상수를 갖는다.

Description

컨포멀 붕소 질화물 막의 증착{DEPOSITING CONFORMAL BORON NITRIDE FILMS}
관련 출원에 대한 상호 참조
본 출원은 2010년 3월 30일에 출원된 U.S. 특허 출원 No. 12/750,180 에 대해 우선권을 주장하며, 그 내용은 그 전체가 참조로써 모든 목적들을 위해서 본 명세서에 원용된다.
본 발명의 분야
본 발명은 전자 디바이스들 및 관련 제조 프로세스들에 관한 것이다. 보다 구체적으로, 본 발명은 반도체 디바이스 제작시 프론트 엔드 스페이서들 또는 식각 스톱 또는 배리어 층들로서 유용하게 적용될 수 있는 컨포멀 붕소 질화물 막들을 증착하는 것에 관한 것이다.
반도체 프로세싱은 금속 산화물 반도체 (MOS) 트랜지스터들을 웨이퍼들 상에 형성하는 것을 수반한다. MOS 트랜지스터는 통상 게이트 유전체 및 게이트 전극, 소스 및 드레인, 및 소스와 드레인 사이의 채널 영역을 포함한다. 상보형 금속 산화물 반도체 (CMOS) 테크놀로지에서, 트랜지스터들은 통상 2가지 종류들일 수 있다: 네가티브 채널 금속 산화물 반도체 (NMOS) 및 포지티브 채널 금속 산화물 반도체 (PMOS) 트랜지스터들. 트랜지스터들 및 다른 디바이스들은 상호접속되어 다수의 유용한 기능들을 수행하는 집적 회로들 (ICs) 을 형성할 수 있다.
유전체 재료들은 반도체 프로세싱에서 중요한 역할을 갖는다. 웨이퍼들 상에서의 MOS 트랜지스터들의 프론트 엔드 형성에서, 유전체들은 다른 용도들 중에서 게이트 전극들을 아이솔레이션하기 위해 사용된다. 이와 관련하여, 스페이서 유전체가 게이트 전극들의 측 표면들에 형성된다. 이러한 프론트 엔드 게이트 스페이서들은 실리콘 이산화물 또는 실리콘 질화물로 구성되는 경향이 있다. 하지만, 그 재료들은 높은 유전 상수 (k) 를 가질 수 있고, 원하는 경우 제거하기에 사소하지 않을 수 있으며, 그리고 PECVD 에 의해 증착되는 경우, 이 재료들의 등각성 (conformality) 이 또한 패턴 부하 효과 (loading effect) 를 받는다. 중간 k 유전체도 또한 백 엔드 프로세싱에서, 예를 들어 식각 스톱, 하드 마스크 또는 유전체 배리어 층들로서의 용도를 찾고 있다.
본 발명은, 증착의 적어도 일부가 플라즈마 없이 수행되는, 기판 상에의 함붕소 막의 화학적 기상 증착 (CVD), 및 이후 증착된 함붕소 막의 플라즈마에의 노출에 의해 형성되는 붕소 질화물 또는 붕소 탄소 질화물 유전체층과 관련된다. CVD 컴포넌트에서는 부하 효과없이 컨포멀 막을 제조하는, 증착 프로세스가 두드러진다. 유전체는 애싱 가능하며, 주위의 재료들에 영향을 주지않고 수소 플라즈마에 의해 제거될 수 있다. 유전체는, 예를 들어, 실리콘 산화물 또는 실리콘 질화물과 같은 하드 마스크 재료들 또는 다른 프론트 엔드 스페이서와 비교하여 훨씬 보다 낮은 습식 식각률을 갖고, 그리고 실리콘 질화물보다 훨씬 보다 낮은, 상대적으로 낮은 유전 상수를 갖는다.
일 양태에서, 본 발명은 유전체층을 형성하는 방법에 관한 것이다. 본 발명은 플라즈마 프로세싱 챔버에 기판을 수용하는 단계, 및 기판 상에 붕소 질화물 또는 붕소 탄소 질화물 막을 형성하는 단계를 수반한다. 막은, 증착의 적어도 일부가 플라즈마 없이 수행되는, 기판 상에의 함붕소 막의 화학적 기상 증착, 및 증착된 함붕소 막의 플라즈마에의 노출을 포함하는 프로세스에 의해 형성된다.
다른 양태에서, 본 발명은 플라즈마 프로세싱 챔버 및 본 발명에 따른 유전체층을 형성하기 위한 프로그램 명령들을 갖는 제어기를 갖는 반도체 프로세싱 장치에 관한 것이다.
본 발명의 여러 양태들 및 이점들은 도면을 참조하여 아래에서 더욱 설명된다.
도 1은 본 발명의 실시형태에 따른 스트레인드 (strained) 트랜지스터 구조를 제조하는 방법에 대한 프로세스 흐름에서 있어서 중요한 단계들을 도시한다.
도 2는 이에 따라 본 발명의 실시형태들이 구현될 수도 있는, 디바이스 콘택스트를 나타내는 단순한 MOS 트랜지스터 아키텍쳐를 나타낸다.
도 3은 본 발명의 구현을 위해 배열되는 다양한 반응기 컴포넌트들을 도시한 단순 블록도를 제공한다.
도 4는 본 발명의 실시예들로서 제조되기 위한 프로세스 시퀀스들을 나타낸다.
도 5는 CVD 증착에 의해 제조되는 막들의 극심한 등각성을 나타내는 본 발명에 따라 제조된 붕소 질화물 유전체 막 재료들의 단면들을 도시한다.
도 6은 본 발명에 따라 제조되는 유전체 재료들의 적외선 흡수 조사의 플롯들을 도시한다.
이하, 본 발명의 구체적인 실시형태들을 상세히 언급할 것이다. 구체적인 실시형태들의 예들은 첨부된 도면들에 나타내진다. 본 발명이 이 구체적인 실시형태들과 함께 설명되지만, 본 발명을 이러한 구체적인 실시형태들에 한정하는 것으로 의도되지 않음을 이해할 것이다. 이에 반하여, 본 발명은 첨부된 청구범위에 의해 정의되는 본 발명의 사상 및 범주 내에 포함될 수도 있는 대안들, 수정들 및 등가물들을 커버하는 것으로 의도된다. 하기 설명에서, 다수의 구체적인 상세들은 본 발명의 철저한 이해를 제공하기 위해서 기재된다. 본 발명은 이 구체적인 상세들의 일부 또는 전부 없이 수행될 수도 있다. 다른 예시들에서, 주지된 프로세스 동작들은 본 발명을 불필요하게 모호하게 하지 않기 위해서 상세히 기재되지 않는다.
도입
본 발명은, 증착의 적어도 일부가 플라즈마 없이 수행되는, 기판 상에의 함붕소 막의 화학적 기상 증착 (CVD), 및 이후 증착된 함붕소 막의 플라즈마에의 노출에 의해 형성되는 붕소 질화물 또는 붕소 탄소 질화물 유전체층에 관한 것이다. CVD 컴포넌트에서는 부하 효과없이 컨포멀 막을 제조하는, 증착 프로세스가 두드러진다. 유전체는 애싱 가능하며, 주위의 재료들에 영향을 주지않고 수소 플라즈마에 의해 제거될 수 있다. 유전체는 실리콘 산화물 또는 실리콘 질화물과 같은 하드 마스크 재료들 또는 다른 프론트 엔드 스페이서와 비교하여 훨씬 보다 낮은 습식 식각률을 갖고, 그리고 실리콘 질화물보다 훨씬 보다 낮은, 상대적으로 낮은 유전 상수를 갖는다.
프로세스
본 발명에 따른 유전체 형성은 플라즈마 없이 함붕소 막의 증착, 이후 증착된 함붕소 막의 플라즈마에의 노출을 수반하는 여러가지 상이한 프로토콜들에 따라 수행될 수 있다. 도 1은 붕소 질화물 또는 붕소 탄소 질화물 유전체층의 형성을 위한 본 발명의 일반적인 양태를 나타내는 프로세스 흐름도이다. 기판이 플라즈마 프로세싱 챔버, 일반적으로 플라즈마 강화 화학적 기상 증착 (PECVD) 반응기에 수용된다 (101). 함붕소 막이 기판 상에 증착되고, 그 증착의 적어도 일부가 플라즈마 없이 수행된다 (103). 이후, 증착된 함붕소 막이 플라즈마에 노출된다 (105). 이 플라즈마 처리는 수소를 제거함으로써 막을 치밀화시킨다. 이미 증착된 (as-deposited) 막이 붕소 질화물 또는 붕소 탄소 질화물이 아니라면, 플라즈마 처리는 증착된 함붕소 막을 붕소 질화물 또는 붕소 탄화물 질화물 막으로 변환하는 효과를 갖도록 구성되는 가스 혼합물을 이용하여 수행될 수 있다. 플라즈마는 모든 또는 단지 부분의 증착 동작 동안 오프일 수도 있고, 및/또는 프로세싱 챔버에 함붕소 반응물이 없는 경우에만 온일 수도 있다. 증착 및 플라즈마 처리 동작들은 1회 이상 반복될 수도 있다.
증착된 막의 두께 및 플라즈마 처리의 기간은, 플라즈마 처리 이후에, 형성된 막이 치밀화를 위해 플라즈마에 의해 완전히 관통되도록 하는 것이며, 그리고 이미 증착된 막이 붕소 질화물 또는 붕소 탄소 질화물이 아니어서, 붕소 질화물 또는 붕소 탄소 질화물로 변환되는 정도까지이다. 구체적인 실시형태들에서, 증착된 막의 적합한 두께는 10 Å 이하의 두께이며, 예를 들어 약 5Å 두께이다. 증착 및 플라즈마 처리 동작들을 반복함으로써, 최종 유전체층 두께가 구체적인 애플리케이션들에 대해 적절한 것으로 맞춰질 수 있다. 예를 들어, 약 10 내지 1000 Å 범위의 두께가 달성될 수도 있다. 프론트 엔드 게이트 스페이서들에 대해서와 같은, 일부 구체적인 실시형태들에서는, 약 50 내지 500 Å, 예를 들어 약 200 내지 400Å, 예컨대 약 300Å 의 유전체층 두께가 이용될 수도 있다. 이러한 실시형태들에서, 유전체층은 약 5 내지 100 층, 또는 예를 들어 40 내지 60 층의, 증착되고 플라즈마 처리된 막 층들로 구성될 수 있다.
함붕소 막의 소스는 증착 동작에 대해 디보란 (B2H6) 과 같은 붕소 수소화물 또는 트리메틸보론과 같은 오르가노 보란일 수 있거나, 또는 붕소 질화물 증착에 대해, 보라진과 같은 붕소, 수소 및 질소 함유 종들일 수 있거나, 또는 적절한 온도의 기판에 노출되는 경우 금속성 붕소 또는 붕소 탄화물로 용이하게 분해되는 다른 붕소 전구체일 수 있다. 일반적으로, 구체적으로 언급된 전구체들에 대해서는, 200 내지 400℃ 의 온도 범위가 그러한 분해가 일어나기에 적절할 것이다. 오르가노 보란 전구체의 경우에는, 전구체 분자 내의 탄소가 증착된 붕소 막에 함유될 수도 있다.
다이렉트 CVD 붕소 질화물 또는 붕소 탄소 질화물 막이 소망되는 경우에는, 전구체를 포함하는 붕소에 추가하여, 가스상 질소 소스, 예컨대 암모니아 (NH3) 또는 질소 (N2) 가 반응기에 첨가될 수 있다. 또는, 보라진 (총칭하여 BxHyNz, 붕소, 수소 및 질소 함유 종들) 과 같은 분자 아미노 보란 캐릭터를 갖는 단일 전구체 종들이 또한 사용될 수도 있다.
본 발명에 따른 적합한 증착 프로세스 및 파라미터들은, 붕소 수소화물 또는 오르가노 보란 전구체를 이용한 함붕소 막의 증착 및 증착된 막의 포스트-증착 플라즈마 처리 동안의 함질소 종들에의 노출에 의한 상응하는 붕소 질화물 또는 붕소 탄소 질화물로의 후속 변환; 붕소 수소화물 또는 오르가노 보란 전구체 및 함질소 종들을 이용한 붕소 질화물 또는 붕소 탄소 질화물의 다이렉트 증착; 보라진과 같은 분자 아미노 보란 캐릭터를 갖는 단일 전구체 종들을 이용한 붕소 질화물의 다이렉트 증착; 함질소 종들, 탄화수소 및/또는 다른 탄소-함유 프로세스 가스와 함께 붕소 수소화물, 아미노 보란 또는 오르가노 보란 전구체를 이용한 붕소 탄소 질화물의 다이렉트 증착. 일부 구체적인 실시형태들에 대한 관련 증착 파라미터들은 함붕소 전구체 (예를 들어, 디보란, 트리메틸붕소 또는 보라진 전구체) 에 대해 약 1 내지 6L/min.의 유속; 함질소 프로세스 가스 (예를 들어, NH3) 에 대해 약 1 내지 10 L/min.의 유속; HFRF: 약 200 내지 1000W; LFRF: 약 200 내지 2000W; 총 압력: 약 2 내지 5T를 포함한다. 함붕소 전구체 증착 (담금) 및 플라즈마 처리의 기간은 막 품질에 상당히 영향을 줄 수 있다. 짧은 증착 시간들 (예를 들어, 5 내지 10 초) 및 보다 긴 처리 시간 (예를 들어, 10 내지 20 초) 이 누출 (leakage) 및 등각성을 위해 바람직하다.
함붕소 막의 증착에 이어서, 막이 플라즈마 처리에 노출된다. 일반적으로, 플라즈마가 용량 결합형 플라즈마 소스에 의해 생성된다. 그 소스는 약 200 내지 1000W HFRF, 및 약 200 내지 2000W LFRF (4개의 스테이션 툴에 대한 총 전력; 단일 스테이션 툴이 또한 스케일링된 전력에 의해 이용될 수도 있음) 의 전력에서 단일 또는 듀얼 주파수에 의해, 그리고 약 300 내지 500℃, 예를 들어 약 400℃ 의 온도에서 동작할 수도 있다.
증착과 플라즈마 포스트-처리 사이에서, 프로세스 챔버가 퍼지될 수도 있다. 퍼지는 증착 동작으로부터 잔존하는 임의의 함붕소 전구체를 제거하여, 플라즈마가 온인 경우 부수적으로 증착되고 증착된 막의 품질을 잠재적으로 저하시키는 임의의 전구체의 부존재에서 플라즈마 처리가 수행될 수 있다. 별개로 또는 점차적으로, 함붕소 전구체 소스 흐름을 턴 오프하고 암모니아 또는 불활성 가스 (예를 들어, N2, He, Ar) 흐름을 유지 또는 첨가하는 것; 챔버를 펌핑 다운하여 모든 프로세스 가스들을 제거하는 것; 또는 프로세스 가스들이 변하지 않은 상태에서 페데스탈과 샤워헤드 사이의 갭을 변화시켜 반응을 방지하는 것을 포함하여, 다양한 퍼지 기술들이 이용될 수 있다. 퍼지는 임의의 적합한 시간 동안, 예를 들어 약 5 내지 20 초 동안 수행되어, 함붕소 전구체가 반응 챔버로부터 완전히 제거되는 것을 보장할 수 있다.
이미 증착된 함붕소 막이 붕소 질화물 또는 붕소 탄소 질화물인 실시형태들에서, 플라즈마 처리는 임의의 추가 프로세스 가스를 주지 않거나, 또는 He, Ar, Xe 또는 다른 비활성 가스들과 같은 불활성 가스를 이용하여 수행될 수도 있다. 다른 실시형태들에서, 그러한 이미 증착된 막들의 플라즈마 처리는 제조된 최종 유전체에 대한 막들의 성분을 변경하기 위해서 추가 프로세스 가스들을 주면서 수행될 수도 있다. 이미 증착된 함붕소 막이 붕소 질화물 또는 붕소 탄소 질화물이 아닌 경우와 같은 또 다른 실시형태들에서, 플라즈마 처리는 이미 증착된 막을 붕소 질화물 또는 붕소 탄소 질화물로 변환하기 위해서 추가 프로세스 가스들을 사용하여 수행될 수도 있다.
포스트-증착 플라즈마 처리에 수반되는 추가 프로세스 가스들: (1) 이미 증착된 막과 반응하여 붕소 질화물 또는 붕소 탄소 질화물 내의 질소 비율을 형성 또는 증가시킬 수 있는 NH3, N2, 또는 일부 다른 질소계 분자; (2) He, Ar, Xe, 또는 다른 비활성 가스들; (3) 붕소 탄소 질화물 내의 탄소 비율을 형성 또는 증가시키는 CH4, C2H6, C2H4, C2H2 등과 같은 탄화수소들 중 하나이거나 또는 그 조합일 수도 있다. 일부 실시형태들에서, 식각 성분을 첨가하는 것은 물론 수소 (H2) 를 가스 혼합물에 첨가하는 것이 바람직할 수도 있다. 증착 가스 혼합물 내의 수소의 첨가는, 분자 수소가 결합된 수소를 매트릭스로부터 제거하는 것을 돕기 때문에, 사실상 수소가 적은 막을 유도할 수 있다. 이 방식으로, 보다 약하게 결합된 종들이 보다 강하게 결합되기 전에 식각된다. 그래서, 식각 성분의 첨가가 보다 치밀한 막을 초래할 수도 있다.
플라즈마 처리는 수소를 제거함으로써, 예를 들어, 이미 증착된 막으로부터 가능한 한 많은 수소를 제거함으로써 막을 치밀화시키는 효과를 갖는다. B-H 결합의 적외선 흡수 분석은 재료의 유전체 브레이크다운의 전기적 측정이기 때문에 수소를 제거하는 능력의 양호한 지표이다. 이러한 데이터는 그 사용에 의해 획득된 이로운 결과들의 증거로서 본 발명의 구체적인 실시형태들 또는 실시예들의 설명과 함께 아래에 제시된다.
전처리 또는 핵생성 개시층이 또한 함붕소 막 증착 이전에 사용될 수도 있다. NH3 전처리, 예를 들어 암모니아 플라즈마를 이용한 NH3 전처리가, 붕소 질화물의 구리와 같은 재료들에의 부착성을 향상시킬 수 있음이 밝혀졌다. 다른 가능한 전처리제는 H2 이다. 예를 들어, 실리콘 질화물 또는 실란의 매우 얇은 층과 같은 개시층이 또한 낮은 수소 붕소 질화물의 핵생성을 개선하기 위해 사용될 수 있다.
본 발명에 따른 프로세스로부터 초래되는 붕소 질화물 또는 붕소 탄소 질화물 유전체는 적어도 80% 의 커패시티를 가지며, 등각성이 CVD 증착 프로세스에 의해 유도되므로 부하 효과 없이 100% 까지의 컨포멀을 갖는다. 이 프로세스는 또한 플라즈마를 사용하며, 이로써 종래의 ALD 프로세스보다 더 높은 증착 속도에서 운행할 수 있다. 유전체는 애싱 가능하므로, 애싱, 예를 들어 수소 플라즈마를 이용하여 수행되는 애싱에 의해 기판으로부터 용이하게 제거될 수 있다.
본 발명의 제 1 양태에 따라서, 함붕소 막 증착 동작은 완전히 플라즈마 없이 수행된다. 이 양태의 범위 내에는, 함질소 프로세스 가스 또는 다른 프로세스 가스들이 있거나 또는 없이, 붕소 수소화물 또는 오르가노 보란 전구체를 이용하는 화학적 기상 증착을 포함하여, 상기 언급된 여러가지 가능성있는 변화들이 있다. 구체적인 실시형태들은: 함질소 프로세스 가스 없이 붕소 수소화물 전구체를 이용하는 증착, 이후 막 질화 (nitridation) 및 치밀화를 위한 함질소 플라즈마 (예컨대, 암모니아 플라즈마) 에의 증착된 막의 노출; 함질소 프로세스 가스 없이 오르가노 보란 전구체를 이용한 증착, 이후 오르가노 보란의 붕소 탄화물 또는 금속성 붕소로의 변환을 위한 충분한 온도, 및 막 질화 및 치밀화를 위한 함질소 플라즈마에의 증착된 막의 노출; 함질소 프로세스 가스와 함께 붕소 수소화물 전구체를 이용하여 이미 증착된 막이 붕소 질화물이 되도록 하는 증착, 이후 막 치밀화를 위한 플라즈마에의 증착된 막의 노출; 그리고 함질소 프로세스 가스와 함께 오르가노 보란 전구체를 이용하여 이미 증착된 막이 붕소 탄소 질화물이 되도록 하는 증착, 이후 막 치밀화를 위한 플라즈마에의 증착된 막의 노출을 포함한다. 예를 들어 증착 및/또는 포스트-증착 플라즈마 처리 동작들 동안 다른 프로세스 가스들을 이용하는, 본 명세서에 기재된 본 발명의 일반적인 범위 내의 다른 구체적인 변형들도 또한 가능하다.
본 발명의 이 양태에 따른 다른 실시형태는 막 증착 프로세스 동안 플라즈마의 펄싱 (pulsing) 을 수반한다. 이 실시형태에 따라서, 붕소 수소화물 또는 오르가노 보란 함붕소 막 전구체 및 함질소 종들이 막 증착 동작의 적어도 일부 동안 챔버 내에 함께 존재한다. 하지만, 프로세싱 챔버로의 함붕소 반응물 흐름은 플라즈마 오프인 경우 붕소 전구체만이 존재하도록 제어된다. 이 실시형태에 따르면, 펄싱된 무선주파수 플라즈마 소스를 게이팅하는 신호가 붕소 전구체 소스 라인에 접속된 ALD 밸브를 트리거링하기 위해서 사용되어, 무선주파수 사이클의 오프 부분 동안 붕소 전구체만이 챔버 내에 존재하도록 할 수 있다. 이 방식으로, 사이클의 "온" 또는 포스트-처리 부분 동안 어떠한 붕소도 증착되지 않을 것이다. 이 실시형태서의 플라즈마에 적합한 펄싱 주파수는 약 0.1 내지 1 ㎐, 예를 들어, 약 0.5 ㎐ 정도이고, 밸브에 의해 분사되는 함붕소 전구체를 차징 및 디스차징하기 위해 요구되는 시간에 의해 제한될 수도 있다.
함붕소 막 증착 동안 플라즈마 없이, 이 실시형태들에 따라 형성되는 유전체는 높은 등각성을 가질 것이다. 이미 증착된 막은 저밀도를 가질 것이며, 밀도는 포스트-증착 플라즈마 처리에 의해 증가된다. 이 유전체 형성 기술들은, 예를 들어, 높은 레벨의 등각성이 우선사항인 상황들에서 이롭게 적용될 수도 있다.
본 발명의 다른 양태에 따라서, 펄싱된 무선주파수 소스가 사용되어, 반응 챔버 내의 함붕소 전구체 및 함질소 종들이 모두 막 증착 동작 동안 존재하는 반응 챔버에서 플라즈마를 여기시킨다. 무선주파수 소스의 주파수 및 듀티 사이클은, 플라즈마가 사이클의 오프 부분에서 완전히 소실되도록 설정될 수 있다. CVD 붕소 성장은 사이클의 "온" (플라즈마 존재) 및 "오프" (플라즈마 부존재) 부분들에서 발생하는 한편, 질화는 사이클의 "온" 부분에서만 발생한다. 전구체가 펄싱된 플라즈마 사이클의 "온" 및 "오프" 부분들 양자에 존재하고, 함붕소 막이 양자에서 증착될 것이기 때문에, 형성된 막은 100% 미만의 등각성을 가지고 동일한 레벨의 밀도 부하를 포함할 수 있다. 이 실시형태서의 플라즈마에 적합한 펄싱 주파수는 약 1 ㎐ 내지 10 ㎑ 정도, 예를 들어 약 500 ㎐ 이며, 밸브에 의해 분사되는 함붕소 전구체의 프로세싱 챔버로의 차징 및 디스차징에 요구되는 시간에 의해 제한되지 않지만, 형성된 유전체층에 요구되는 등각성의 레벨에 의해 제한된다. 이러한 펄싱 기술을 이용하는 막 증착은 예를 들어, 2008년 10월 17일에 출원되고 발명의 명칭이 PECVD 막의 등각성 및 공정 제어를 개선하기 위한 방법 (Method for Improving Process Control and Film Conformity of PECVD Film) 이며 본 목적을 위해 참조로써 본 명세서에서 원용되는, 출원 No. 12/253,807 에 기재되어 있다. 함붕소 막 증착 동안 플라즈마가 더 많이 온 될수록, 증착된 막이 덜 컨포멀해지지만 더 치밀해지므로, 원하는 밀도 레벨에 도달하기 위해서는 더 적은 포스트-증착 플라즈마 처리가 요구된다. 이러한 막 형성 기술은, 예를 들어, 최적 미만의 등각성이 허용가능하고 프로세스 효율 및 높은 막 밀도가 우선사항인 상황들에서 이롭게 적용될 수도 있다.
본 발명에 따라서 형성된 유전체는 예를 들어 라인 게이트 스페이서의 프론트 엔드 또는 라인 하드 마스크의 백 엔드로서 이용될 수 있다. 이 재료는 수소 플라즈마를 이용한 애싱에 의해 제거될 수 있고, 그리고 유전체 내의 수소 또는 탄소의 양에 의존하여, 매우 낮은 습식 식각률을 가질 수 있다. 이로써 비정질 탄소와 동일한 식으로 애싱 가능하지만; 붕소 질화물은 또한 낮은 유전 상수, 낮은 누출 및 높은 브레이크다운 전압을 가지도록 설계될 수 있는 안정된 유전체이다. 이로써 이 재료는 희생 재료 또는 영구 재료 중 어느 것일 수 있다. 희생 재료라면, 단순 수소 플라즈마가 사용되어 다른 재료들에 영향을 주지 않고 붕소 질화물을 제거할 수 있다.
MOS 디바이스 컨텍스트
도 2는, 이에 따라 본 발명의 실시형태들이 구현될 수도 있는, 디바이스 컨텍스트를 나타내는 단순 MOS 트랜지스터, 이 경우에는 PMOS 트랜지스터, 아키텍쳐를 도시한다. 상기에 언급된 바와 같이, 본 발명에 따라 형성된 유전체에 적합한 하나의 용도는 MOS 트랜지스터 내의 프론트 엔드 스페이서 유전체로서이다. 도 2 를 참조하면, PMOS 트랜지스터 (200) 는 통상 p-도핑된 기판 (202) 및 기판 (202) 내의 n-도핑된 웰 (204) 로 구성되며, 이들은 통상 다른 트랜지스터들 및 디바이스들과 함께 전체 웨이퍼 기판의 일부이다. p-도핑된 기판 (202) 은 붕소 및 인듐과 같은 임의의 적합한 p-형 도펀트들을 포함할 수도 있고, 임의의 적합한 기술에 의해 형성될 수도 있다. n-도핑된 웰 (204) 은 인 및 비소와 같은 임의의 적합한 n-형 도펀트들을 포함할 수도 있고, 임의의 적합한 기술에 의해 형성될 수도 있다. 예를 들어, n-도핑된 웰 (204) 은 이온 주입에 의해 기판 (202) 을 도핑함으로써 형성될 수도 있다.
트랜지스터는 또한 게이트 유전체 (208) 에 의해 n-도핑된 웰 (204) 로부터 분리되는 도전성 게이트 전극 (206) 을 포함한다. 게이트 전극 (206) 은 도핑 또는 비도핑된 폴리실리콘과 같은 임의의 적합한 재료를 포함할 수도 있다. 통상, 게이트 유전체 (208) 는 이산화 실리콘의 형태로 증착되지만, 다른, 예를 들어 하이-k 의 게이트 유전체 재료들이 또한 선택될 수 있다.
PMOS 트랜지스터 (200) 는 또한 n-도핑된 웰 (204) 내에 p-도핑된 소스 (210) 및 드레인 (212) 영역들을 포함한다. 소스 (210) 및 드레인 (212) 영역들은 게이트 (206) 의 각 측부에 배치되어 웰 (204) 내에 채널 (214) 을 형성한다. 소스 (210) 및 드레인 (212) 영역들은 붕소와 같은 p-형 도펀트를 포함할 수도 있다. 추가로, 소스 (210) 및 드레인 (212) 영역들이 n-도핑된 웰 (204) 의 오목부들에 형성될 수도 있다.
트랜지스터는 또한 본 발명에 따라 게이트 (206) 의 측벽을 따라 형성된 측벽 스페이서들 (218) 을 포함할 수도 있다. 스페이서들 (218) 은 붕소 질화물 또는 붕소 탄소 질화물로 구성될 수도 있다. 소스 (210) 및 드레인 (212) 영역들 및 게이트 (206) 는 자기 정렬된 실리사이드 (살리사이드) (216) 의 층으로 커버될 수도 있다. 예를 들어 압축 붕소 탄화물로 구성되는 캡핑층 (220) 이 블랭킷 막으로서 PMOS 트랜지스터 (200) 의 전 표면을 커버한다.
PMOS 트랜지스터들을 제작하기 위한 일반적인 제조 단계들은 업계에 주지되어 있다. 이러한 프로세스들은, 본 명세서에서 제공된 방향을 고려할 때 본 발명에 따른 측벽 스페이서 유전체를 형성하기 위해 용이하게 조정될 수도 있다.
장치
본 발명은 바람직하게 용량 결합형 플라즈마 강화 화학적 기상 증착 (PECVD) 반응기에서 구현된다. 이러한 반응기는 많은 상이한 형태들을 취할 수도 있다. 일반적으로, 장치는 하나 이상의 웨이퍼들을 수용하고 웨이퍼 프로세싱에 적합한, 하나 이상의 챔버들 또는 "반응기들" (때때로 멀티플 스테이션들을 포함하는 경우가 있음) 을 포함할 것이다. 각 챔버는 프로세싱을 위해 하나 이상의 웨이퍼들을 수용할 수도 있다. 하나 이상의 챔버들이 정의된 위치 또는 위치들에서 (그 위치 내에서의 움직임, 예를 들어, 회전, 진동 또는 다른 교반이 있거나 또는 없이) 웨이퍼를 유지한다. 일 실시형태에서, 본 발명에 따른 붕소 질화물 또는 붕소 탄소 질화물 증착 및 처리가 수행되는 웨이퍼는 프로세스 동안 반응기 내의 일 스테이션에서 다른 스테이션으로 반송된다. 프로세스에서 동안, 각 웨이퍼는 페데스탈, 웨이퍼 척 및/또는 다른 웨이퍼 홀딩 장치에 의해 제자리에 홀딩된다. 웨이퍼가 가열되어야 하는 소정의 동작들을 위해서, 장치는 가열판과 같은 가열기를 포함할 수도 있다. 본 발명의 바람직한 실시형태에서, 캘리포니아주, 산호세의 Novellus Systems 에 의해 제조된 VectorTM 또는 SequelTM 반응기가 본 발명을 구현하기 위해서 사용될 수도 있다.
도 3은 본 발명을 구현하기 위해 배열된 다양한 반응기 컴포넌트들을 도시한 단순 블록도를 제공한다. 도시된 바와 같이, 반응기 (300) 는 프로세스 챔버 (324) 를 포함하고, 프로세스 챔버 (324) 는 반응기의 다른 컴포넌트들을 둘러싸고 그리고 접지된 가열기 블록 (320) 과 함께 작용하는 샤워헤드 (314) 를 포함하는 커패시터 타입 시스템에 의해 발생되는 플라즈마를 포함하는 역할을 한다. 매칭 네트워크 (306) 에 접속된 고주파수 (HF) RF 발생기 (302), 및 저주파수 (LF) RF 발생기 (304) 는 샤워헤드 (314) 에 접속된다. 대안되는 실시형태에서, LF 발생기 (304) 는 웨이퍼 페데스탈 (318) 에 접속되거나 또는 그 아래에 배치될 수 있다. 매칭 네트워크 (306) 에 의해 공급되는 전력 및 주파수는 프로세스 가스/증기로부터 플라즈마를 발생시키기에 충분하다. 본 발명의 구현에서는, HF 발생기 및 LF 발생기의 양자가 사용된다. 통상적인 프로세스에서, HF 발생기는 일반적으로 2 내지 60㎒ 의 주파수에서 작동되고; 바람직한 실시형태서는 13.56 ㎒ 에서 작동된다. LF 발생기는 일반적으로 100 내지 800 ㎑ 의 주파수에서 작동되고; 바람직한 실시형태서는 300 내지 500 ㎑ 에서 작동된다.
본 명세서에 열거된 장치 및 각각의 프로세스 파라미터들의 설명은 붕소 질화물 또는 붕소 탄소 질화물을 200 ㎜ 웨이퍼 상에 증착하기 위한 6개의 스테이션들을 갖는 Novellus SequelTM 모듈에 대해 타당하다. 프로세스 파라미터들이 챔버 체적, 웨이퍼 사이즈, 및 다른 팩터들에 기초하여 스케일링될 수도 있음을 당업자는 용이하게 이해할 것이다. 예를 들어, LF 및 HF 발생기들의 전력 출력들은 통상 웨이퍼의 증착 표면적에 직접적으로 비례한다. 300 ㎜ 웨이퍼에 대해 이용되는 전력은 일반적으로 200 ㎜ 웨이퍼에 대해 이용되는 전력보다 2.25 더 높다. 마찬가지로, 표준 증기압과 같은 유량들 (flow rates) 은 진공 챔버의 자유 체적에 의존할 것이다.
반응기 내에서, 웨이퍼 페데스탈 (318) 은 본 발명에 따라 매우 압축된 유전체 캡핑층이 증착되는 기판 (316) 을 지지한다. 페데스탈은 통상 증착 및/또는 플라즈마 처리 반응들 동안 및 그 사이에서 기판을 홀딩 및 반송하기 위한 척, 포크 또는 리프트 핀들을 포함한다. 척은 정전 척, 기계식 척 또는 산업 및/또는 연구에서의 사용을 위해 이용가능한 다른 여러가지 형태들의 척일 수도 있다. 웨이퍼 페데스탈 (318) 은 기판 (316) 을 원하는 온도로 가열하기 위한, 접지된 가열기 블록 (320) 과 기능적으로 결합된다. 일반적으로, 기판 (316) 은, 상기 언급된 바와 같이, 살리사이드층과 같은 회로 컴포넌트들의 열 열화 (thermal degradation) 를 방지하고 및/또는 다른 프로세스와 관련된 목적을 위해서 약 25℃ 내지 500℃ 범위, 바람직하게 약 200℃ 내지 400℃ 범위의 온도에서 유지된다.
프로세스 가스들/증기들은 인입구 (312) 를 통해 도입된다. 멀티플 소스 가스 라인들 (310) 이 메니폴드 (308) 에 접속된다. 가스들/증기들은 메니폴드에서 사전 혼합되거나 또는 그렇지 않을 수 있다. 정확한 가스들이 프로세스의 증착 및 플라즈마 처리 페이즈 동안 전달되는 것을 보장하기 위해 적절한 밸브개폐 및 질량 흐름 제어 메커니즘들이 채용된다. 화학적 전구체(들)이 액체 형태로 전달되는 경우, 액체 흐름 제어 메커니즘들이 채용된다. 액체는 이후 기화되고, 증착 챔버에 도달하기 이전에 그 기화점 초과하여 가열되는 메니폴드에서 그 반송 동안 다른 프로세스 가스들과 혼합된다.
프로세스 가스들은 배출구 (322) 를 통해 챔버 (300) 를 나간다. 진공 펌프 (326) (예를 들어, 1 또는 2 단계 기계식 드라이 펌프 및/또는 터보분자 펌프) 는 통상 프로세스 가스들을 외부로 드로잉하고 그리고 스로틀 (throttle) 밸브 또는 팬더럼 (pendulum) 밸브와 같은 폐쇄 루프 제어된 흐름 제한 디바이스에 의해 반응기 내에 적합하게 낮은 압력을 유지한다. 본 발명에 따른 방법에서, 반응 챔버 내의 압력들은 일반적으로 약 0.1 Torr 내지 30 Torr 의 범위, 바람직하게 약 0.5 Torr 내지 10 Torr 의 범위에서 유지된다.
소정의 실시형태들에서, 시스템 제어기 (328) 는 본 발명에 따른 붕소 질화물 또는 붕소 탄소 질화물 유전체층 형성 및 다른 프로세스 동작들 동안 프로세스 조건들을 제어하기 위해 채용된다. 제어기는 통상 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 커넥션들, 스테퍼 모터 제어기 보드들 등을 포함할 수도 있다.
소정의 실시형태들에서, 제어기는 반응기의 모든 활동들을 제어한다. 시스템 제어기는 프로세싱 동작들의 타이밍, LF 발생기 (302) 및 HF 발생기 (304) 의 동작들의 주파수 및 전력, 전구체들 및 불활성 가스들의 유량 및 온도 그리고 그 상대적인 혼합, 가열기 블록 (320) 의 온도, 챔버의 압력, 및 특정 프로세스의 다른 파라미터들을 제어하기 위한 명령들의 세트들을 포함하는 시스템 제어 소프트웨어를 실행한다. 제어기와 관련된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 일부 실시형태들에서 채용될 수도 있다.
통상적으로 제어기 (328) 와 관련된 사용자 인터페이스가 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이, 및 사용자 입력 디바이스들, 예컨대, 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등을 포함할 수도 있다.
프로세싱 동작들을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 종래의 컴퓨터 판독가능 프로그래밍 언어: 예를 들어, 어셈블리 언어, C, C++, 파스칼, 포트란 또는 그 밖의 것들로 기록될 수 있다. 컴파일된 객체 코드 또는 스크립트는 프로그램에서 식별된 태스크들을 수행하도록 프로세서에 의해 실행된다.
제어기 파라미터들은, 예를 들어, 특정 프로세스의 프로세싱 단계들의 타이밍, 전구체들 및 불활성 가스들의 유량들 및 온도들, 웨이퍼의 온도, 챔버의 압력 및 다른 파라미터들과 같은 프로세스 조건들에 관련된다. 이 파라미터들은 레시피 형태로 사용자에게 제공되고, 사용자 인터페이스를 이용하여 입력될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 시스템 제어기의 아날로그 및/또는 디지털 입력 커넥션들에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 반응기의 아날로그 및 디지털 출력 커넥션들 상에서 출력된다.
시스템 소프트웨어는 상이한 많은 방식들로 설계 또는 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들이 본 발명의 증착 프로세스들을 실행하기 위해 필요한 챔버 컴포넌트들의 제어 동작에 기록될 수도 있다. 이 목적을 위한 프로그램들의 예들 또는 프로그램들의 섹션들은 프로세싱 단계들의 기판 타이밍 코드, 전구체들 및 불활성 가스들의 유량들 및 온도들 코드, 챔버의 압력에 대한 코드를 포함한다.
본 발명은 멀티 스테이션 또는 단일 스테이션 툴 상에서 구현될 수도 있다. 구체적인 실시형태들에서, 4-스테이션 증착 스킴을 갖는 300 ㎜ Novellus VectorTM 툴 또는 6-스테이션 증착 스킴을 갖는 200 ㎜ SequelTM 툴이 사용된다. 모든 요구되는 증착들 및 처리들이 완료될 때까지 매번의 증착 및/또는 포스트-증착 플라즈마 어닐 처리 이후 웨이퍼들을 인덱스하는 것이 가능하거나, 또는 멀티플 증착들 및 처리들이 웨이퍼를 인덱스하기 이전에 단일 스테이션에서 수행될 수 있다.
실시예들
본 발명의 양태들 및 이점들을 더욱 나타내기 위해서 하기의 실시예들이 제공된다. 이 실시예들은 본 발명의 양태들을 예시하고 보다 명확하게 나타내기 위해서 제공되며, 결코 한정하는 것으로 의도되지 않는다.
여러가지 유전체층들이 본 발명에 따른 붕소 질화물 막 증착 및 처리에 의해 형성되었다. 프로세스 파라미터들 및 상세들이 아래 표 1에 언급된다:
Figure 112012088473917-pct00001
본 발명에 따라 형성된 막들 2 내지 6 과의 비교를 위해서 포스트-증착 플라즈마 처리 없이 붕소 질화물 CVD 에 의해 막 1을 제조하였다. 나머지 막들은 상기 표에 언급된 파라미터들에 따라 플라즈마 없는 CVD 에 의해 증착되고, 이후 암모니아 플라즈마 포스트 처리된 컨포멀 붕소 질화물이었다. 막 4의 증착은 SiN 개시층의 증착 이후에 행해졌다. 각각의 경우, 증착 및 프로세스 챔버는 막 증착 및 처리 동작들 사이에 퍼지되었다.
막들 1 내지 4 의 프로세스 시퀀스들이 도 4에 나타내진다. 상기 기재에서 언급된 바와 같이, 증착 및/또는 처리 동작들 동안의 추가 프로세스 및/또는 불활성 가스들의 흐름, 또는 증착 동작 동안의 함질소 가스의 부재를 포함하여 본 발명의 범주 내의 많은 다른 가능성있는 프로세스 파라미터들 변형들이 존재한다.
6가지 붕소 질화물 유전체 재료들의 전기적 측정들이 아래 표 2에 언급된다:
Figure 112012088473917-pct00002
측정들은 낮은 유전 상수 및 합리적인 전기 브레이크다운 및 누출을 나타낸다. 증가된 플라즈마 노출의 함수로서의 누출의 저하는, 붕소의 금속성 캐릭터가 붕소 질화물 유전체로 변환되고 있다는 것을 나타낸다. 형성된 유전체의 벌크 막 특성들에 대한 플라즈마 포스트 처리의 기간 및 조건들을 변화시키는 효과는 막들 5 및 6 을 참조하여 알 수 있다.
도 5는 모든 프로세스들에 의해 제조되는 막들의 극심한 등각성을 나타내는 처음 4개의 붕소 질화물 유전체 막 재료들의 단면도를 도시한다. 이것은, 컨포멀 증착이 CVD 증착 컴포넌트에 의해 진정으로 유도되고 플라즈마 처리에 의해 기하학적으로 변경되지 않는다는 개념을 입증한다.
도 6은 막들이 정말로 붕소 질화물 캐릭터이고 막 내의 수소량이 프로세스 파라미터들의 변경에 의해 저하될 수 있다는 것을 나타내는, 이 재료들의 적외선 흡수 조사의 플롯들을 도시한다.
결론
상기 발명이 이해의 명료성을 위해 일부 상세하게 설명되었지만, 특정 변경들 및 수정들이 첨부된 청구범위의 범주 내에서 실행될 수도 있음이 명백할 것이다. 본 발명의 프로세스 및 컴포지션들의 양자를 구현하는 많은 대안되는 방식들이 존재한다는 것을 주목하여야 한다. 이에 따라, 본 실시형태들은 제한적인 것이 아니라 예시적인 것으로 고려되어야 하며, 본 발명은 본 명세서에 주어진 상세들에 한정되어서는 안된다.

Claims (31)

  1. 유전체층을 형성하는 방법에 있어서,
    플라즈마 프로세싱 챔버에 기판을 수용하는 단계;
    프로세스에 의해 붕소 질화물 또는 붕소 탄소 질화물 막을 형성하는 단계를 포함하고,
    상기 프로세스는,
    화학적 기상 증착의 적어도 일부가 플라즈마 없이 수행되는, 함붕소 막의 상기 기판 상에의 상기 화학적 기상 증착; 및
    상기 증착된 함붕소 막의 플라즈마에의 노출을 포함하고,
    상기 막 형성은 함질소 프로세스 가스를 사용하지 않고 상기 함붕소 막의 화학적 기상 증착과 그 후의 상기 유전체층을 형성하도록 함질소 플라즈마에의 상기 증착된 함붕소 막의 노출을 포함하는, 유전체층의 형성 방법.
  2. 제 1 항에 있어서,
    상기 증착 동작 및 상기 플라즈마 노출 동작은 적어도 한번 반복되는, 유전체층의 형성 방법.
  3. 제 1 항에 있어서,
    상기 증착 동작 및 상기 플라즈마 노출 동작은 복수회 반복되는, 유전체층의 형성 방법.
  4. 제 1 항에 있어서,
    상기 증착된 함붕소 막은 상기 함붕소 막의 치밀화를 위해 상기 플라즈마의 완전한 침투를 허용할 만큼 충분히 얇은, 유전체층의 형성 방법.
  5. 제 1 항에 있어서,
    상기 증착된 함붕소 막은 10 Å 이하의 두께인, 유전체층의 형성 방법.
  6. 제 1 항에 있어서,
    상기 증착된 함붕소 막은 5 Å 두께인, 유전체층의 형성 방법.
  7. 제 1 항에 있어서,
    상기 유전체층은 적어도 80% 컨포멀 (conformal) 인, 유전체층의 형성 방법.
  8. 제 1 항에 있어서,
    상기 유전체층은 붕소 질화물층인, 유전체층의 형성 방법.
  9. 제 1 항에 있어서,
    상기 유전체층은 붕소 탄소 질화물층인, 유전체층의 형성 방법.
  10. 제 1 항에 있어서,
    상기 플라즈마 노출은 암모니아 플라즈마를 사용하여 수행되는, 유전체층의 형성 방법.
  11. 제 1 항에 있어서,
    상기 붕소 질화물 또는 붕소 탄소 질화물 막을 형성하는 단계 이전에, 상기 기판 상에 핵생성층 (nucleation layer) 을 형성하는 단계를 더 포함하는, 유전체층의 형성 방법.
  12. 제 11 항에 있어서,
    상기 핵생성층은 실리콘 질화물을 포함하는, 유전체층의 형성 방법.
  13. 제 1 항에 있어서,
    상기 함붕소 막의 증착 이전에, 상기 함붕소 막의 접착성을 향상시키기 위해 상기 기판을 전처리하는 단계를 더 포함하는, 유전체층의 형성 방법.
  14. 제 13 항에 있어서,
    상기 전처리는, 상기 기판을 암모니아 플라즈마에 노출시키는 단계를 포함하는, 유전체층의 형성 방법.
  15. 제 1 항에 있어서,
    상기 붕소 질화물 또는 붕소 탄소 질화물 막 형성은, 플라즈마 없이 붕소 수소화물 또는 오르가노 보란 전구체를 이용하는 화학적 기상 증착, 이후, 상기 증착된 함붕소 막의 상기 함질소 플라즈마에의 노출을 포함하는, 유전체층의 형성 방법.
  16. 제 15 항에 있어서,
    상기 함질소 플라즈마는 암모니아 플라즈마인, 유전체층의 형성 방법.
  17. 제 15 항에 있어서,
    상기 플라즈마는 비활성 가스 (noble gas) 를 더 포함하는, 유전체층의 형성 방법.
  18. 제 15 항에 있어서,
    상기 플라즈마는 탄화수소를 더 포함하는, 유전체층의 형성 방법.
  19. 제 18 항에 있어서,
    상기 전구체는 붕소 수소화물인, 유전체층의 형성 방법.
  20. 제 1 항에 있어서,
    상기 기판으로부터 상기 유전체층을 애싱에 의해 제거하는 단계를 더 포함하는, 유전체층의 형성 방법.
  21. 제 20 항에 있어서,
    상기 애싱은 수소 플라즈마를 이용하여 수행되는, 유전체층의 형성 방법.
  22. 제 3 항에 있어서,
    상기 유전체층은 50 내지 500 Å의 두께를 갖는, 유전체층의 형성 방법.
  23. 유전체층을 형성하는 시스템에 있어서,
    플라즈마 프로세싱 챔버에 기판을 수용하기 위한 수단;
    화학적 기상 증착의 적어도 일부가 플라즈마 없이 수행되는, 함붕소 막의 상기 기판 상에의 상기 화학적 기상 증착을 위한 수단; 및
    상기 증착된 상기 함붕소 막을 플라즈마에 노출하기 위한 수단을 포함하는, 프로세스에 의해 붕소 질화물 또는 붕소 탄소 질화물 막을 형성하기 위한 수단을 포함하고,
    상기 막 형성은 함질소 프로세스 가스를 사용하지 않고 상기 함붕소 막의 화학적 기상 증착과 그 후의 상기 유전체층을 형성하도록 함질소 플라즈마에의 상기 증착된 막의 노출을 포함하는, 유전체층의 형성 시스템.
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
KR1020127028338A 2010-03-30 2011-03-23 컨포멀 붕소 질화물 막의 증착 KR101736528B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/750,180 US8288292B2 (en) 2010-03-30 2010-03-30 Depositing conformal boron nitride film by CVD without plasma
US12/750,180 2010-03-30
PCT/US2011/029662 WO2011126748A2 (en) 2010-03-30 2011-03-23 Depositing conformal boron nitride films

Publications (2)

Publication Number Publication Date
KR20130086525A KR20130086525A (ko) 2013-08-02
KR101736528B1 true KR101736528B1 (ko) 2017-05-16

Family

ID=44710166

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127028338A KR101736528B1 (ko) 2010-03-30 2011-03-23 컨포멀 붕소 질화물 막의 증착

Country Status (6)

Country Link
US (2) US8288292B2 (ko)
KR (1) KR101736528B1 (ko)
CN (1) CN103119196B (ko)
SG (1) SG184078A1 (ko)
TW (1) TWI580810B (ko)
WO (1) WO2011126748A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190103693A (ko) 2018-02-28 2019-09-05 한국에너지기술연구원 기상반응에 의한 질화붕소 증착코팅 방법

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5015705B2 (ja) * 2007-09-18 2012-08-29 ルネサスエレクトロニクス株式会社 層間絶縁膜形成方法、層間絶縁膜、半導体デバイス、および半導体製造装置
US7906817B1 (en) 2008-06-06 2011-03-15 Novellus Systems, Inc. High compressive stress carbon liners for MOS devices
US8580664B2 (en) * 2011-03-31 2013-11-12 Tokyo Electron Limited Method for forming ultra-shallow boron doping regions by solid phase diffusion
US8569158B2 (en) 2011-03-31 2013-10-29 Tokyo Electron Limited Method for forming ultra-shallow doping regions by solid phase diffusion
US20130193445A1 (en) * 2012-01-26 2013-08-01 International Business Machines Corporation Soi structures including a buried boron nitride dielectric
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9257293B2 (en) * 2013-03-14 2016-02-09 Applied Materials, Inc. Methods of forming silicon nitride spacers
US9589799B2 (en) * 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
KR102222909B1 (ko) 2013-10-10 2021-03-04 삼성전자주식회사 반도체 소자의 제조방법
US9362109B2 (en) 2013-10-16 2016-06-07 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9159579B2 (en) 2013-10-25 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using multilayer spacer for reduced spacer footing
CN103541000B (zh) * 2013-11-06 2016-09-07 中国科学院苏州纳米技术与纳米仿生研究所 一种制备氮化硼单晶的装置及方法
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US9224783B2 (en) 2013-12-23 2015-12-29 Intermolecular, Inc. Plasma densification of dielectrics for improved dielectric loss tangent
US9231063B2 (en) 2014-02-24 2016-01-05 International Business Machines Corporation Boron rich nitride cap for total ionizing dose mitigation in SOI devices
WO2016017988A1 (en) * 2014-07-28 2016-02-04 Lg Electronics Inc. Method and apparatus for configuring transmission mode and routing for tight interworking in wireless communication system
EP3216265B1 (en) * 2014-11-04 2019-10-16 Telefonaktiebolaget LM Ericsson (publ) Methods and apparatus for integration of wireless wide area networks with wireless local area networks
US9899224B2 (en) 2015-03-03 2018-02-20 Tokyo Electron Limited Method of controlling solid phase diffusion of boron dopants to form ultra-shallow doping regions
US10763103B2 (en) 2015-03-31 2020-09-01 Versum Materials Us, Llc Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
TWI673761B (zh) * 2015-04-14 2019-10-01 荷蘭商Asm Ip控股公司 摻雜半導體基板的方法及沈積含硼及碳的膜的方法
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
KR102576122B1 (ko) * 2015-06-05 2023-09-06 어플라이드 머티어리얼스, 인코포레이티드 붕소-도핑된 탄소 막들을 위한 정전 척킹 및 우수한 입자 성능을 가능하게 하기 위한 그레이딩된 인-시튜 전하 트랩핑 층들
US10745808B2 (en) * 2015-07-24 2020-08-18 Versum Materials Us, Llc Methods for depositing Group 13 metal or metalloid nitride films
CN117165927A (zh) * 2015-09-18 2023-12-05 应用材料公司 用于沉积共形bcn膜的方法
US9640514B1 (en) 2016-03-29 2017-05-02 Globalfoundries Inc. Wafer bonding using boron and nitrogen based bonding stack
CN106082400B (zh) * 2016-06-02 2019-02-22 安徽普氏生态环境工程有限公司 一种用于污水处理的新型硼杂石墨烯电极的制备方法
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10840087B2 (en) * 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
CN110921637B (zh) * 2018-09-20 2022-09-23 中国科学院上海微系统与信息技术研究所 多层六方氮化硼薄膜的制备方法
JP7487189B2 (ja) 2018-10-19 2024-05-20 ラム リサーチ コーポレーション 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露
WO2020243342A1 (en) 2019-05-29 2020-12-03 Lam Research Corporation High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
US11935751B2 (en) * 2021-05-25 2024-03-19 Applied Materials, Inc. Boron nitride for mask patterning

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5976990A (en) 1998-01-09 1999-11-02 Micron Technology, Inc. Method for optimization of thin film deposition
US20060185591A1 (en) 2005-02-18 2006-08-24 General Electric Company High temperature chemical vapor deposition apparatus
US20090263972A1 (en) 2008-04-04 2009-10-22 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5470661A (en) 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
JP3632256B2 (ja) 1994-09-30 2005-03-23 株式会社デンソー 窒化シリコン膜を有する半導体装置の製造方法
DE69609244T2 (de) 1995-03-31 2001-03-08 Ceramoptec Gmbh Verfahren zur Herstellung diamantartiger Beschichtungen
US6352921B1 (en) 2000-07-19 2002-03-05 Chartered Semiconductor Manufacturing Ltd. Use of boron carbide as an etch-stop and barrier layer for copper dual damascene metallization
US20060024879A1 (en) 2004-07-31 2006-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Selectively strained MOSFETs to improve drive current
DE102004052578B4 (de) 2004-10-29 2009-11-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen einer unterschiedlichen mechanischen Verformung in unterschiedlichen Kanalgebieten durch Bilden eines Ätzstoppschichtstapels mit unterschiedlich modifizierter innerer Spannung
US7381451B1 (en) 2004-11-17 2008-06-03 Novellus Systems, Inc. Strain engineering—HDP thin film with tensile stress for FEOL and other applications
US7371634B2 (en) 2005-01-31 2008-05-13 Taiwan Semiconductor Manufacturing Co., Ltd. Amorphous carbon contact film for contact hole etch process
US20060185590A1 (en) * 2005-02-18 2006-08-24 General Electric Company High temperature chemical vapor deposition apparatus
US7214630B1 (en) 2005-05-06 2007-05-08 Novellus Systems, Inc. PMOS transistor with compressive dielectric capping layer
US7247582B2 (en) 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US7566655B2 (en) 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US7902008B2 (en) 2005-08-03 2011-03-08 Globalfoundries Inc. Methods for fabricating a stressed MOS device
KR101060825B1 (ko) 2005-10-14 2011-08-30 요시미 시오야 반도체 제조 장치 및 제조 방법
US20070105292A1 (en) 2005-11-07 2007-05-10 Neng-Kuo Chen Method for fabricating high tensile stress film and strained-silicon transistors
US7678662B2 (en) 2005-12-13 2010-03-16 Applied Materials, Inc. Memory cell having stressed layers
US20070200179A1 (en) 2006-02-24 2007-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Strain enhanced CMOS architecture with amorphous carbon film and fabrication method of forming the same
US7935587B2 (en) 2006-06-09 2011-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced forming method and structure of local mechanical strained transistor
US7482245B1 (en) 2006-06-20 2009-01-27 Novellus Systems, Inc. Stress profile modulation in STI gap fill
US8084105B2 (en) 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
WO2009012067A1 (en) 2007-07-13 2009-01-22 Applied Materials, Inc. Boron derived materials deposition method
US7892900B2 (en) 2008-04-07 2011-02-22 Globalfoundries Singapore Pte. Ltd. Integrated circuit system employing sacrificial spacers
US7906817B1 (en) 2008-06-06 2011-03-15 Novellus Systems, Inc. High compressive stress carbon liners for MOS devices
US7998881B1 (en) 2008-06-06 2011-08-16 Novellus Systems, Inc. Method for making high stress boron-doped carbon films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5976990A (en) 1998-01-09 1999-11-02 Micron Technology, Inc. Method for optimization of thin film deposition
US20060185591A1 (en) 2005-02-18 2006-08-24 General Electric Company High temperature chemical vapor deposition apparatus
US20090263972A1 (en) 2008-04-04 2009-10-22 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
REINKE, P . et al. JOURNAL OF APPLIED PHYSICS, 2000, Vol. 88(10), pp. 5597-5604.

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190103693A (ko) 2018-02-28 2019-09-05 한국에너지기술연구원 기상반응에 의한 질화붕소 증착코팅 방법

Also Published As

Publication number Publication date
WO2011126748A2 (en) 2011-10-13
US20110244694A1 (en) 2011-10-06
KR20130086525A (ko) 2013-08-02
TW201211304A (en) 2012-03-16
US8479683B2 (en) 2013-07-09
US20130008378A1 (en) 2013-01-10
US8288292B2 (en) 2012-10-16
CN103119196B (zh) 2015-04-08
WO2011126748A3 (en) 2011-12-01
SG184078A1 (en) 2012-10-30
CN103119196A (zh) 2013-05-22
TWI580810B (zh) 2017-05-01

Similar Documents

Publication Publication Date Title
KR101736528B1 (ko) 컨포멀 붕소 질화물 막의 증착
US9076646B2 (en) Plasma enhanced atomic layer deposition with pulsed plasma exposure
US10043655B2 (en) Plasma activated conformal dielectric film deposition
US9552982B2 (en) Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9670579B2 (en) Method for depositing a chlorine-free conformal SiN film
US8361910B2 (en) Pretreatment processes within a batch ALD reactor
US20140273530A1 (en) Post-Deposition Treatment Methods For Silicon Nitride
KR20150079470A (ko) 펄싱된 플라즈마 노출을 사용하여 플라즈마 강화된 원자층 증착
CN100561708C (zh) 制造受应力电晶体结构的集成制程
US20080026553A1 (en) Method for fabricating an integrated gate dielectric layer for field effect transistors
WO2013032786A2 (en) Plasma activated conformal dielectric film deposition
CN102437053A (zh) 增加pecvd氮化硅膜层的压缩应力的方法
US20100173484A1 (en) Safe handling of low energy, high dose arsenic, phosphorus, and boron implanted wafers
WO2023196437A1 (en) Deposition of metal-containing films and chamber clean
US20080050928A1 (en) Semiconductor constructions, and methods of forming dielectric materials
Neumayer Plasma-Enhanced Chemical Vapor Deposition (PECVD): Silicon Nitride Films

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant