CN103119196B - 沉积敷形氮化硼膜 - Google Patents

沉积敷形氮化硼膜 Download PDF

Info

Publication number
CN103119196B
CN103119196B CN201180016315.XA CN201180016315A CN103119196B CN 103119196 B CN103119196 B CN 103119196B CN 201180016315 A CN201180016315 A CN 201180016315A CN 103119196 B CN103119196 B CN 103119196B
Authority
CN
China
Prior art keywords
film
plasma
boron
deposition
deposited
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201180016315.XA
Other languages
English (en)
Other versions
CN103119196A (zh
Inventor
乔治·安德鲁·安东内利
曼迪亚姆·西里拉姆
维什瓦纳坦·兰加拉詹
普拉莫德·苏布拉莫尼姆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of CN103119196A publication Critical patent/CN103119196A/zh
Application granted granted Critical
Publication of CN103119196B publication Critical patent/CN103119196B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/342Boron nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

形成氮化硼或者硼碳氮化物绝缘体制造没有负载效应的敷形层的方法。绝缘层通过在衬底上化学气相沉积(CVD)含硼膜而形成,沉积的至少一部分不用等离子体执行,并且随后曝光沉积的含硼膜在等离子体中。CVD组分控制沉积工艺,产生了不具负载效应的敷形膜。该绝缘层是可灰化的,并可用氢等离子体去除而不影响周围材料。与诸如氧化硅或氮化硅等其他前段隔离物或者硬质掩膜材料相比,该绝缘层具有非常低的湿法蚀刻速率,并且具有相对低的介电常数,其比氮化硅低得多。

Description

沉积敷形氮化硼膜
相关申请的交叉引用
本申请要求申请号为12/750,180、申请日为2010年3月30日的美国专利申请的优先权,基于所有目的,其公开全部内容通过引用方式并入本文。
技术领域
本发明涉及电子器件和相关制备工艺。更具体地,本发明涉及沉积在半导体器件制造中可有效地用作前段隔离物(front end spacer)或者蚀刻停止物或阻挡层的敷形(conformal)氮化硼膜。
背景技术
半导体工艺包含在晶片上形成金属氧化物半导体(MOS)晶体管。MOS晶体管通常包括栅极绝缘层和栅极电极、源极和漏极、在源极和漏极之间的沟道区域。在互补金属氧化物半导体(CMOS)技术中,晶体管可通常具有两种类型:N沟道金属氧化物半导体(NMOS)和P沟道金属氧化物半导体(PMOS)晶体管。可将晶体管和其他器件互联以形成执行大量有效功能的集成电路(IC)。
绝缘材料在半导体处理中具有重要作用。在晶片上形成MOS晶体管的前段时,除了其他用途外,还使用绝缘材料以使栅极电极绝缘。在这个方面,应用绝缘隔离物到栅极电极的侧表面上。这些前段栅极隔离物通常由二氧化硅或者氮化硅组成。然而,这些材料可具有高介电常数(k),在需要去除时不容易去除,并且,如果是由PECVD沉积,这些材料的敷形性(conformality)也受图案的负载效应的影响。也在后段处理中发现中等k绝缘体,例如作为蚀刻停止物、硬质掩膜或绝缘阻挡层。
发明内容
本发明涉及通过在衬底上化学气相沉积(CVD)含硼膜从而形成氮化硼或者硼碳氮化物绝缘层,该沉积的至少一部分不用等离子体执行,并且之后使沉积的含硼膜暴露到等离子体中。该CVD的组分控制沉积工艺,产生了没有负载效应的敷形膜。该绝缘层是可灰化的,且可通过氢等离子体去除而不影响周围的材料。与其他诸如氧化硅或者氮化硅等前段隔离物或硬质掩膜材料相比,该绝缘层具有较低的湿法蚀刻速率,以及具有相对低的介电常数,例如,介电常数比氮化硅低得多。
在一个方面,本发明涉及形成绝缘层的方法。该方法包含在等离子体腔中接收衬底,和在该衬底上形成氮化硼或者硼碳氮化物膜。通过包括在衬底上化学气相沉积含硼膜的工艺形成该膜,沉积的至少一部分不用等离子体执行;以及暴露所沉积的含硼膜在等离子体中。
在另一方面,本发明涉及半导体处理装置,该装置具有等离子体处理腔和控制器,该控制器具有根据本发明的方法形成绝缘层的程序指令。
参照附图在下文中进一步描述本发明的这些方面和其他方面以及其优点。
附图说明
图1描绘了按照本发明的实施方式制造的应变晶体管结构的方法的流程图的重要阶段。
图2是简单的MOS晶体管构造示意图,以示出可实施本发明的实施方式的设备场景。
图3提供了描绘为实现本发明所布置的各种反应器组件的简单框图。
图4是作为本发明的示例的工艺序列示意图。
图5描绘了按照本发明制作的氮化硼绝缘材料膜的横截面,示意了用CVD沉积制造的氮化硼膜的最终的敷形特征。
图6描绘了根据本发明制作的绝缘材料的红外吸收研究图谱。
具体实施方式
现详细地参照本发明的特定实施方式。在附图中示意了特定实施方式的示例。尽管结合这些特定的实施方式对本发明进行详细的描述,但应当理解的是该描述并不意图限制该发明至这些特定的实施方式。相反地,其意图涵盖如所附权利要求中所定义的本发明的主旨和范围内的替代、修改和等同方案。在以下的说明中,为了提供本发明的全面理解,阐述了大量特定的细节。脱离一些或者所有的这些特定细节可实践本发明。在其他的示例中,为了避免不必要混淆本发明,公知的工艺操作并未详细描述。
介绍
本发明涉及通过在衬底上化学气相沉积(CVD)含硼膜从而形成氮化硼或者硼碳氮化物绝缘层,该沉积的至少一部分不用等离子体执行,以及随后暴露所沉积的含硼膜在等离子体中。该CVD的组分控制沉积工艺,产生了不具负载效应的敷形膜。该绝缘层是可灰化的,并且可以在不影响周边材料情况下使用氢等离子体去除。与诸如氧化硅或者氮化硅等其他前段隔离物或者硬质掩膜材料相比,该绝缘层具有较低的湿法蚀刻速率,且具有相对低的介电常数,其比氮化硅低得多。
工艺
可按照各种不同方案实现本发明的绝缘体的形成,该方案包含:不使用等离子体沉积含硼膜,随后暴露所沉积的含硼膜在等离子体中。图1是说明本发明的该总体方案的、用于氮化硼或者硼碳氮化物绝缘层的形成的流程图。在等离子体处理腔室中接收衬底,通常在等离子体增强化学气相沉积(PECVD)反应器(101)中接收。在衬底上沉积含硼膜,该沉积的至少一部分不用等离子体执行(103)。随后暴露所沉积的含硼膜在等离子体中(105)。该等离子体处理通过除去氢而使膜致密。当已沉积的膜不是氮化硼或者硼碳氮化物时,可用被组成的具有将沉积的含硼膜转化成氮化硼或者硼碳氮化物膜效能的气体混合物执行等离子体处理。在所有或者仅部分的沉积操作中可关闭等离子体,和/或只当在处理腔中没有含硼反应物时可开启等离子体。可重复沉积和等离子体处理操作一次或者更多次。
沉积膜的厚度和等离子处理的持续时间为这样:其使得等离子体处理后,所产生的膜被等离子体完全穿透达到致密化,且达到这样的程度,即已沉积的膜并不是氮化硼或者硼碳氮化合物膜时,其能转化成氮化硼或者硼碳氮化合物。在特定的实施方式中,所沉积的膜的合适厚度不超过厚,例如约厚。通过重复膜的沉积和等离子体处理操作,最终绝缘层的厚度可调整成适合于特定的应用。例如能达到约10到范围的厚度。在某些特定实施方式中,例如对于前段栅极隔离物,可使用为约50到的绝缘层厚度,例如约200到厚,诸如约厚。在此实施方式中,绝缘层然后可以由沉积的和等离子体处理的约5到100,或者例如40-60的膜层组成。
用于沉积操作的含硼膜的源可以是诸如乙硼烷(B2H6)等硼氢化物或者诸如三甲基硼等有机硼烷,或者,用于氮化硼沉积的可以是,诸如环硼氮烷等含硼、氢和氮类物,或者当暴露到合适的温度的衬底时可容易分解成金属化硼或者硼碳化合物的其他硼前驱体。通常,对于特别有名的前驱体,200到400℃的范围的温度将足以适合这种分解发生。就有机硼烷前驱体来说,前驱体分子中的碳会保留在所沉积的硼膜中。
当需要直接CVD氮化硼或者硼碳氮化物膜时,除了含硼的前驱体外,还可添加诸如氨气(NH3)或者氮气(N2)等气相氮源到反应器中。或者,也可使用诸如环硼氮烷(通常BxHyNz、硼、氢和含氮类物)等具有分子氨基硼烷性质的单个前驱体类物。
根据本发明,合适的沉积工艺和参数包括:用硼氢化物或有机硼烷前驱体沉积含硼膜,并且随后在沉积膜的沉积后的等离子体处理过程中,通过将含硼膜暴露在含氮类物中,使硼氢化物或有机硼烷前体转化成相应的氮化硼或硼碳氮化物;用硼氢化物或者有机硼烷前驱体和含氮类物直接沉积氮化硼或者硼碳氮化合物;用诸如环硼氮烷等具有分子氨基硼烷性质的单个前驱体类物直接沉积氮化硼;用具有含氮类物、碳氢化物和/或其他的含碳工艺气体的硼氢化物、氨基硼烷或有机硼烷前驱体,直接沉积硼碳氮化物。某些特定的实施方式中的相关的沉积参数包括约1-6L/min的流速。对于含硼的前驱体(例如,乙硼烷,三甲基硼或环硼氮烷前驱体),流速为约1-10L/min。对于含氮的工艺气体(例如,NH3),HFRF:约200-1000W;LFRF:约200-2000W;总压强:约2-5T。含硼前驱体沉积(浸泡)和等离子体处理的持续时间可以显著影响膜的质量。沉积时间短(如5-10秒)和较长的处理时间(如10-20秒)对漏电流和敷形性是优选的。
在沉积含硼膜之后,将膜暴露于等离子体中处理。在一般情况下,通过电容耦合等离子体源产生等离子体,所述源可以是单频或双频,功率约200-1000W HFRF,和约200-2000W LFRF(四站工具的总功率;单站工具也可以使用所定级别的功率)操作,且温度在300-500°C,例如约400°C。
在沉积和等离子体后处理之间,可吹扫处理腔。该吹扫去除来自沉积操作过程的任何剩余的含硼的前驱体,以使等离子体处理可以在不存在任何前驱体的情况下进行,前驱体存在时会导致当等离子体开启时偶然发生沉积并可能降低沉积膜的质量。可使用各种吹扫技术,包括离散地或逐渐地关闭含硼前驱体源流动和维持或添加氨气或惰性气体(例如,氮气,氦,氩)的流量;抽空腔室以去除所有的工艺气体;或改变基座和喷头之间的间隙,以在没改变工艺气体时防止反应。吹扫可以进行任何合适的时间,例如,约5-20秒,以确保含硼的前驱体从反应腔完全去除。
在已沉积的含硼薄膜是氮化硼或硼碳氮化物的实施方式中,可在没有任何额外的工艺气体存在时执行等离子体处理,或在如氦,氩,氙或其他惰性的气体等惰性气体存在时执行。在其他实施方式中,已沉积膜的等离子体处理可以在另外的工艺气体存在时进行,以便对所产生的最终的绝缘体的膜的组分进行修正。在另外的其他实施方式中,如已含积的含硼膜不是氮化硼或碳硼氮化物,等离子处理可以在另外的工艺气体存在时进行,以便将已沉积膜转化为氮化硼或硼碳氮化物。
在沉积后等离子体处理中包含的另外的工艺气体,可以是下述一种或它们的组合:(1)NH3,N2,或可以与沉积膜反应以形成氮化硼或硼碳氮化物中的氮的或增加氮化硼或硼碳氮化物中氮的比例的一些其他的氮基分子;(2)He、Ar、Xe、或其他惰性气体;(3)烃类,如CH4、C2H6、C2H4、C2H2等,以形成硼碳氮化物中的碳或增加硼碳氮化物中的碳的比例。在一些实施方式中,可能需要添加氢气(H2)到气体混合物中,以添加蚀刻组分。在沉积气体混合物中添加氢实际上可以产生具有较少氢的膜,因为氢分子可帮助从基质中除去结合氢。以这种方式,更弱键合类物先于更强键合类物被蚀刻。所以,添加蚀刻成分可导致更致密的膜。
等离子体处理具有通过去除氢(例如,通过从沉积膜去除尽可能多的氢)使膜致密化的效果。B-H键的红外吸收分析结果是去除氢的能力的好的指标,也是材料的介电击穿的电气测量结果。在以下结合本发明的具体实施方式或示例的描述提供这些数据,作为使用本发明获得的有益结果的证据。
在含硼膜沉积之前也可使用预处理或晶核起始层。已发现,例如利用氨等离子体进行NH3预处理可以增强氮化硼对诸如铜等材料的粘附性。另一种可能的预处理剂是H2。起始层,例如诸如氮化硅或硅烷的非常薄的层,也可以用于增强低氢氮化硼的核化。
当敷形由CVD沉积工艺驱动时,根据本发明的工艺产生的氮化硼或硼碳氮化物绝缘体具有这样的性能:至少80%和高达100%的敷形,而没有负载效应。这个工艺中也使用等离子体,并因此能够在比传统的ALD工艺更高的沉积速率下运行。绝缘体是可灰化的,并因此可以通过灰化容易地从衬底去除,该灰化例如用氢等离子体执行的灰化。
根据本发明的第一方案,执行含硼膜沉积操作完全不用等离子体。如上所述在这方案的范围内有几种可能的变化,包括使用硼氢化物或有机硼烷的前驱体的、带有或不带有含氮的工艺气体或其他的工艺气体的化学气相沉积。具体实施方式包括:使用不带有含氮的工艺气体的硼氢化物前驱体沉积,然后通过曝光沉积膜到含氮等离子体(如氨等离子体)中以使膜氮化和致密化;使用没有含氮的工艺气体的有机硼烷沉积,随后使沉积膜暴露到可将有机硼烷转换成碳化硼或金属化硼的足够高的温度下、以及含氮等离子体中以使膜氮化和致密化;使用具有含氮工艺气体的硼氢化物前驱体沉积,以使已沉积膜为氮化硼,然后通过曝光沉积膜到等离子体中以使膜致密化;并且,沉积使用具有含氮的工艺气体的有机硼烷前驱体,使得沉积膜为硼碳氮化物,然后通过曝光沉积膜到等离子体中以使膜致密化。如本文所述的本发明的一般范围内的其他特定变化,例如,在沉积和/或沉积后等离子体处理操作过程中使用其他的工艺气体,也是可行的。
根据本发明的这个方案的另一实施方式涉及在膜沉积工艺期间的等离子体脉冲。根据本实施方式,在膜沉积操作的至少一部分中,硼氢化物或有机硼烷含硼膜前驱体和含氮类物一起存在于腔室中。然而,含硼的反应物流入处理腔室受到控制,使得硼前驱体只在等离子体关闭时存在。根据本实施方式,所述选通脉冲的射频等离子体源的信号可以用于触发连接到硼前驱体源极管线的ALD阀,使得硼的前驱体只在射频周期关闭部分存在腔室中。以这种方式,该周期的“开”或后处理部分期间将不会沉积硼。在本实施方式中等离子体的合适的脉冲频率为约0.1至1Hz,例如约0.5Hz,并可受到通过阀分配的含硼前动体进行充电和放电所需要的时间的限制。
根据这些实施方式,在含硼膜沉积期间没有用等离子体而形成的绝缘体将具有高敷形性。已沉积的膜会具有低的密度;通过沉积后等离子体处理增大密度。例如,在其中的高敷形性水平优先的情况下,可以有利地应用这些绝缘体形成技术。
根据本发明的另一个方面,使用脉冲的射频源激发反应腔室中的等离子体,在膜沉积操作过程中在反应腔中存在含硼前驱体和含氮类物。可以设置射频源的工作循环和频率以便使等离子体在循环的关闭部分完全熄灭。CVD硼生长发生在循环的“开”(等离子存在)和“关”(没有等离子体存在)的部分,而氮化只发生在循环的“开”的部分。由于前驱体在脉冲等离子体循环中的“开”和“关”的部分都存在,并且在两个部分时都沉积含硼膜,得到的膜可具有小于100%的敷形性和包含某种程度的密度负载(densityloading)。在本实施方式中的等离子体的合适的脉冲的频率为大约1Hz至10kHz,例如约500Hz,并且不受限于对通过阀分配到处理腔中的含硼前驱体充电和放电所需要的时间,但受限于形成的绝缘层中所需的敷形性级别。使用该脉冲技术的膜的沉积已描述在,例如,2008年10月17日提交的,题为Method for Improving Process Control and Film Conformality of PECVD Film(改善PECVD膜的工艺控制与膜的敷形性的方法)的申请No.12/253,807中,基于描述的目的通过引用将其并入本文。在含硼膜沉积期间越多的等离子体开启,沉积膜敷形越不足但越致密,因此为达到所需的致密水平需要更少的后沉积等离子体处理。可以有利地应用该膜形成技术,例如,在低于最佳的敷形性是可以接受的和工艺的效率和高的膜密度优先的情况下应用。
例如,根据本发明的膜形成的绝缘材料可以用作前段栅隔离物或后段硬掩模。这种材料可通过氢等离子体进行灰化去除,并取决于该绝缘材料中的氢或碳的量,可具有非常低的湿法刻蚀速率。因此,它与无定形碳一样是可灰化的;然而,氮化硼也是可以被设计为具有低介电常数、低漏电流、和高击穿电压的稳定的绝缘材料。因此,这种材料可以是牺牲型或永久型的。如果是牺牲型的,可以使用简单的氢等离子体除去氮化硼而不会影响其他材料。
MOS器件设备场景
图2示出了一个简单的MOS晶体管(在该图中为PMOS晶体管)架构以显示可以实现本发明的实施方式的设备场景。正如上面提到的,根据本发明形成的绝缘体的一种合适的用途是作为在MOS晶体管中的前段的隔离绝缘体。参看图2,PMOS晶体管200通常是由p-掺杂的衬底202和在衬底202中的n型掺杂阱204组成,p-掺杂的衬底202和n型掺杂阱204通常是与其他晶体管和器件在一起的整体晶片衬底的一部分。p-掺杂衬底202可包括任何合适的p-型掺杂剂,如硼和铟,并且可以由任何合适的技术所形成。n型掺杂阱204可包括任何合适的n型掺杂剂,如磷和砷,并可以由任何合适的技术形成。例如,n型掺杂阱204可以通过离子注入衬底202而形成。
晶体管还包括通过栅极绝缘层208与n型掺杂阱204分离的导电性的栅极电极206。栅极电极206可以包括任何合适的材料,如掺杂的或不掺杂的多晶硅。通常,栅极绝缘层208以二氧化硅的形式沉积,但是还可选择例如高k、栅极绝缘材料等其他材料。
PMOS晶体管200还包括在n型掺杂阱204中的p型掺杂的源极210和漏极212区域。源极210和漏极212区域位于栅极206的每一侧,形成阱204内的沟道214。源极210和漏极212区域可包括如硼等p-型掺杂剂。此外,源极210和漏极212区域可在n型掺杂阱204的凹部形成。
晶体管还可包括根据本发明沿栅极206的侧壁形成的侧壁隔离物218。隔离物218可以由氮化硼或硼碳氮化物组成。源极210和漏极212区域以及栅极206可覆盖有自对准硅化物(自对准多晶硅化物)216层。例如由压缩碳化硼组成的覆盖层120作为铺盖膜覆盖PMOS晶体管200的整个表面。
用于制造PMOS晶体管的一般制造步骤在本领域中是公知的。根据本文中提供的给定方向的本发明,这些工艺可以容易地适用于形成绝缘的侧壁隔离物。
装置
本发明优选在电容耦合等离子体增强化学气相沉积(PECVD)反应器中实施。此反应器可采取多种不同的形式。通常地,该装置将包括容纳一个或者多个晶片和适合晶片处理的一个或者多个腔室或者“反应器”(有时包括多个站)。该一个或多个腔室保持晶片在确定的一个或多个位置(在该位置运动或不运动,例如,旋转、震动或其他扰动)。在一实施方式中,在工艺期间在反应器内根据本发明经受氮化硼或硼碳氮化合物沉积和处理的晶片从一个站转运到另一个站。在工艺中,通过基座、晶片卡盘和/或其他晶片固定装置使每个晶片保持在适当的位置。对于晶片需要加热的某些操作,该装置可包括诸如加热板等加热器。在本发明优选的实施方式中,由SanJose,CA的Novellus System制造的VectorTM或SequelTM的反应器可用于实施本发明。
图3提供了描绘被布置为用以实施本发明的各种反应器部件的简单框图。如图所示,反应器300包括工艺腔室324,其包围了反应器的其他部件并用于容纳电容式系统产生的等离子体,该电容式系统包括与接地的加热器块320结合一起工作的喷头314。低频(LF)RF发生器304和连接到匹配网络306的高频(HF)RF发生器302连接到喷头314。在可选的实施方式中,LF发生器304可连接到晶片基座318上或位于晶片基座318之下。由匹配网络306提供的功率和射频足够从工艺气体/气相中产生等离子体。在本发明的实施中使用了HF发生器和LF发生器两者。在典型的工艺中,HF发生器通常在介于2-60MHz之间的频率下操作;在优选的实施方式中,在13.56MHz的频率下操作。LF发生器通常在介于100-800kHz之间的频率下操作;在优选的实施方式中,在300-500kH z的频率下操作。
在本文中列出的本装置和相应工艺参数的描述对具有在200mm的晶片上沉积氮化硼或硼碳氮的六个站的Novellus SequelTM模块有效。本领域的技术人员将容易理解工艺参数可根据腔体积、晶片尺寸和其他因数而缩放。例如,LF和HF发生器的功率输出通常与晶片的沉积表面积成正比。使用在300mm的晶片上的功率通常是使用在200mm的晶片上的功率的2.25倍。相似地,诸如标准气相压强等流速参数将依赖于真空腔的自由体积。
在反应器中,晶片基座318支持衬底316,根据本发明,高压缩的绝缘覆盖层将沉积在该衬底316上。该基座通常包括在沉积和/或等离子体处理反应期间和反应之间固定和转移晶片的卡盘、叉具、或升降销。卡盘可以是静电卡盘,机械卡盘或适合于在工业和/或研究中使用的各种其他类型的卡盘。晶片基座318与接地加热器块320在功能上(functionally)耦合以达到适合的温度。通常,使衬底316保持范围在约25℃到500℃的温度,为避免诸如硅化物层等电路部件的热老化,和/或为了如上提到的其他工艺相关的目的,优选范围在约200℃到400℃的温度。
通过入口312引入工艺气体/气相。多个气体源管线310连接到分流管308。气体/气相可在该分流管中预混和或者不预混。使用合适的阀和质量流控制机构以确保在工艺的沉积和等离子体处理阶段输送适当的气体。假如化学前驱体以液体的形式输入,使用液体流控制机构。然后,液体在其输送过程中,在到达沉积腔室之前,在蒸发温度以上的加热的分流管中,被蒸发并与其他工艺气体混合。
工艺气体通过出口322离开腔室300。真空泵326(例如,一级或二级机械干式泵和/或涡轮分子泵)通常使用诸如节流阀或者摆动阀等闭环控制的流量限制器使工艺气体离开反应器或在反应器中保持在合适的压强。根据本发明的方法,反应腔内的压强通常保持在约0.1托到30托的范围内,优选在约0.5托到10托的范围内。
在某些实施方式中,采用系统控制器328以在根据本发明形成氮化硼或硼碳氮化合物层的过程中以及其他工艺操作中控制工艺条件。该控制器将典型地包括一个或多个存储器和一个或多个处理器。处理器可包括CPU或计算机、模拟和/或数字输入/输出连接件、步进马达控制板,等等。
在某些实施方式中,控制器控制反应器的所有活动。系统控制器执行系统控制软件,该软件包括控制处理操作的记时、LF发生器302和HF发生器304的操作的频率和功率、前驱体和惰性气体和它们相关的混合物的流速和温度、加热块320的温度、腔室的压强、和特别处理的其他参数的指令集合。
通常会有连接到控制器328的用户接口。该用户接口可包括显示屏、装置和/或工艺条件的图形化软件显示器、和诸如指针式设备、键盘、触摸屏、麦克风等等用户输入器。
控制处理操作的电脑编程代码可用任何传统的计算机可读编程语言编写:例如,汇编语言、C、C++、Pascal、Fortran或者其他的语言。编译的目标代码或者脚本通过处理器执行以实施程序中确定的任务。
控制器参数涉及工艺条件,工艺参数诸如,例如,处理步骤的时间、前驱体和惰性气体的流速和温度、晶片温度、腔室的压强和特定工艺的其他参数。这些参数是以配方的形式提供给用户,并可利用用户接口输入。
监测工艺的信号可通过系统控制器的模拟和/或数字输入连接提供。控制工艺的信号通过反应器的模拟和数字输出连接输出。
该系统软件可设计或配置为许多不同的方式。例如,可以写入各种腔室组件的子程序或控制对象以控制腔室的组件的操作,这样的操作对于执行创造性的沉积工艺是必要的。用于这一目的的程序或部分程序的示例包括衬底处理步骤的记时代码,前驱体和惰性气体的流速和温度的代码,和腔室压强代码。
本发明可以在多站工具或单站工具上实现。在特定的实施方式中,使用具有四站式沉积方案的300mm Novellus VectorTM工具或具有6站式沉积方案的200mm SequelTM工具。在每次沉积和/或后沉积等离子体退火处理之后可以对晶片进行标记直到所有需要的沉积和处理完成,或在对晶片进行标记之前在单站中执行多次沉积和处理。
示例
提供以下的示例以进一步说明本发明的方案和优点。提供这些示例以示范和更清楚地说明本发明的方案而并不意图以任何方式进行限制。
根据本发明通过氮化硼膜沉积和处理形成若干绝缘层。工艺参数和细节记录在表1中,具体如下:
表1.
为与根据本发明所形成的膜2-6相比较的目的,膜1是通过CVD氮化硼制成而不经过后沉积等离子体处理。剩余的膜是按照以上表格中提到的参数通过CVD而不经过等离子体,随后经过氨等离子体后处理的敷形的氮化硼。膜4的沉积是先于沉积SiN初始层。在每个实例中,沉积和处理腔室在膜的沉积和处理操作之间都被净化。
膜1-4的工艺序列如图4中所示。如在以上的描述中提到的,在本发明的范围内有许多其他可能的工艺参数变化,其包括在沉积和/或处理操作中另外的工艺气体流和/或惰性气体流,或者在沉积操作期间不存在含氮气体。
在如下表2中记录了氮化硼绝缘材料的电学测量结果:
表2.
  1MV/cm   2MV/cm   4MV/cm   BDV
  膜   k   A/cm2   A/cm2   A/cm2   MV/cm
  膜1   4.62   1.88E-07   1.75E-05   0.0247   -2.97
  膜2   4.560   1.53E-07   6.03E-05   0.0784   -2.63
  膜3   4.360   4.81E-09   2.29E-07   0.0004   -4.33
  膜4   4.470   1.20E-09   3.17E-08   3.14651E-05   -5.31
  膜5   4.708   9.81E-10   9.36E-09   1.30E-05   -5.33
  膜6   4.699   1.30E-09   3.13E-09   3.40E-06   -5.78
测试结果表明低介电常数和合理的电击穿和漏电。作为增加的等离子体曝光的函数的漏电流,其降低表明硼的金属化特性被转化为氮化硼绝缘体。改变等离子体后处理条件和持续时间对产生的绝缘体的体型(bulk)膜性质的影响可参照膜5和6观察到。
图5描绘了第一四个氮化硼膜材料,显示了通过各种工艺制造的膜的最终的敷形特征。这证实了敷形沉积是真正地由CVD沉积组分驱动并且由等离子体处理几何地修正的观点。
图6描绘了这些材料的红外研究图谱,其展示了这些膜确实是氮化硼的性质并且膜中氢的含量可通过工艺参数的修改而减少。
结论
尽管以上发明以明确理解为目的已经描述了某些细节,很明显在所附权利要求的范围内可实践一些改变和修正。应该注意在本发明的工艺和组成中有许多实施的替代方案。相应地,本文的实施方式应被认为是示例并非限制,以及本发明并不受限于本文给出的细节。

Claims (23)

1.一种形成绝缘层的方法,包括:
在等离子体处理腔中接收衬底;
通过工艺形成氮化硼或者硼碳氮化物膜,所述工艺包括,
在所述衬底上化学气相沉积含硼膜,所述沉积的至少一部分不用等离子体执行;以及,
使所沉积的所述含硼膜暴露在等离子体中,
其中所述膜的形成包括化学气相沉积含硼膜而不使用含氮工艺气体,随后暴露所沉积的膜在含氮等离子体中以形成绝缘层。
2.如权利要求1所述的方法,其中,重复所述沉积和等离子体暴露操作至少一次。
3.如权利要求1所述的方法,其中,重复所述沉积和等离子体暴露操作多次。
4.如权利要求1所述的方法,其中,所述沉积膜足够薄以使所述等离子体完全穿透,从而实现所述膜的致密化。
5.如权利要求1所述的方法,其中,所述沉积膜为不超过厚。
6.如权利要求1所述的方法,其中,所述沉积膜为厚。
7.如权利要求1所述的方法,其中,所述绝缘层为至少80%是敷形的。
8.如权利要求1所述的方法,其中,所述绝缘层为氮化硼层。
9.如权利要求1所述的方法,其中,所述绝缘层为硼碳氮化物层。
10.如权利要求1所述的方法,其中,在氨等离子体中执行所述等离子体暴露。
11.如权利要求1所述的方法,还包括,在形成所述膜之前,在所述衬底上形成晶核层。
12.如权利要求11所述的方法,其中,所述晶核层包括氮化硅。
13.如权利要求1所述的方法,还包括,在沉积所述膜之前,预处理所述衬底以增强所述膜的粘附性。
14.如权利要求13所述的方法,其中,所述预处理包括暴露所述衬底在氨等离子体中。
15.如权利要求1所述的方法,其中,所述膜的形成包括在不用等离子体时使用硼氢化物或者有机硼烷前驱体化学气相沉积,随后暴露所沉积的所述膜在含氮等离子体中。
16.如权利要求15所述的方法,其中,所述含氮等离子体是氨等离子体。
17.如权利要求15所述的方法,其中,所述等离子体还包括惰性气体。
18.如权利要求15所述的方法,其中,所述等离子体还包括碳氢化物。
19.如权利要求18所述的方法,其中,所述前驱体为硼氢化物。
20.如权利要求1所述的方法,还包括通过灰化从所述衬底去除所述绝缘层。
21.如权利要求20所述的方法,其中,所述灰化是用氢等离子体执行的。
22.如权利要求3所述的方法,其中,所述绝缘层具有50到的厚度。
23.一种形成绝缘层的系统,其包括:
用于在等离子体处理腔中接收衬底的装置;
用于通过工艺形成氮化硼或者硼碳氮化物膜的装置,该用于通过工艺形成氮化硼或者硼碳氮化物膜的装置包括,
装置,用于在所述衬底上化学气相沉积含硼膜,所述沉积的至少一部分不用等离子体执行;以及,
装置,用于使所沉积的所述含硼膜暴露在等离子体中,
其中所述膜的形成包括化学气相沉积含硼膜而不使用含氮处理气体,随后暴露所沉积的膜在含氮等离子体中以形成绝缘层。
CN201180016315.XA 2010-03-30 2011-03-23 沉积敷形氮化硼膜 Active CN103119196B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/750,180 2010-03-30
US12/750,180 US8288292B2 (en) 2010-03-30 2010-03-30 Depositing conformal boron nitride film by CVD without plasma
PCT/US2011/029662 WO2011126748A2 (en) 2010-03-30 2011-03-23 Depositing conformal boron nitride films

Publications (2)

Publication Number Publication Date
CN103119196A CN103119196A (zh) 2013-05-22
CN103119196B true CN103119196B (zh) 2015-04-08

Family

ID=44710166

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180016315.XA Active CN103119196B (zh) 2010-03-30 2011-03-23 沉积敷形氮化硼膜

Country Status (6)

Country Link
US (2) US8288292B2 (zh)
KR (1) KR101736528B1 (zh)
CN (1) CN103119196B (zh)
SG (1) SG184078A1 (zh)
TW (1) TWI580810B (zh)
WO (1) WO2011126748A2 (zh)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5015705B2 (ja) * 2007-09-18 2012-08-29 ルネサスエレクトロニクス株式会社 層間絶縁膜形成方法、層間絶縁膜、半導体デバイス、および半導体製造装置
US7906817B1 (en) 2008-06-06 2011-03-15 Novellus Systems, Inc. High compressive stress carbon liners for MOS devices
US8569158B2 (en) 2011-03-31 2013-10-29 Tokyo Electron Limited Method for forming ultra-shallow doping regions by solid phase diffusion
US8580664B2 (en) * 2011-03-31 2013-11-12 Tokyo Electron Limited Method for forming ultra-shallow boron doping regions by solid phase diffusion
US20130193445A1 (en) * 2012-01-26 2013-08-01 International Business Machines Corporation Soi structures including a buried boron nitride dielectric
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9257293B2 (en) * 2013-03-14 2016-02-09 Applied Materials, Inc. Methods of forming silicon nitride spacers
US9589799B2 (en) * 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
KR102222909B1 (ko) 2013-10-10 2021-03-04 삼성전자주식회사 반도체 소자의 제조방법
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9159579B2 (en) 2013-10-25 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using multilayer spacer for reduced spacer footing
CN103541000B (zh) * 2013-11-06 2016-09-07 中国科学院苏州纳米技术与纳米仿生研究所 一种制备氮化硼单晶的装置及方法
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US9224783B2 (en) 2013-12-23 2015-12-29 Intermolecular, Inc. Plasma densification of dielectrics for improved dielectric loss tangent
US9231063B2 (en) 2014-02-24 2016-01-05 International Business Machines Corporation Boron rich nitride cap for total ionizing dose mitigation in SOI devices
WO2016017988A1 (en) * 2014-07-28 2016-02-04 Lg Electronics Inc. Method and apparatus for configuring transmission mode and routing for tight interworking in wireless communication system
US10375604B2 (en) * 2014-11-04 2019-08-06 Telefonaktiebolaget Lm Ericsson (Publ) Methods and apparatus for integration of wireless wide area networks with wireless local area networks
US9899224B2 (en) 2015-03-03 2018-02-20 Tokyo Electron Limited Method of controlling solid phase diffusion of boron dopants to form ultra-shallow doping regions
US10763103B2 (en) 2015-03-31 2020-09-01 Versum Materials Us, Llc Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
TWI673761B (zh) * 2015-04-14 2019-10-01 荷蘭商Asm Ip控股公司 摻雜半導體基板的方法及沈積含硼及碳的膜的方法
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
KR102634196B1 (ko) * 2015-06-05 2024-02-06 어플라이드 머티어리얼스, 인코포레이티드 붕소-도핑된 탄소 막들을 위한 정전 척킹 및 우수한 입자 성능을 가능하게 하기 위한 그레이딩된 인-시튜 전하 트랩핑 층들
US10745808B2 (en) 2015-07-24 2020-08-18 Versum Materials Us, Llc Methods for depositing Group 13 metal or metalloid nitride films
KR102615728B1 (ko) * 2015-09-18 2023-12-18 어플라이드 머티어리얼스, 인코포레이티드 등각적 bcn 막들을 증착하기 위한 방법들
US9640514B1 (en) 2016-03-29 2017-05-02 Globalfoundries Inc. Wafer bonding using boron and nitrogen based bonding stack
CN106082400B (zh) * 2016-06-02 2019-02-22 安徽普氏生态环境工程有限公司 一种用于污水处理的新型硼杂石墨烯电极的制备方法
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
KR102123016B1 (ko) 2018-02-28 2020-06-16 한국에너지기술연구원 기상반응에 의한 질화붕소 증착코팅 방법
US10840087B2 (en) * 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
CN110921637B (zh) * 2018-09-20 2022-09-23 中国科学院上海微系统与信息技术研究所 多层六方氮化硼薄膜的制备方法
KR20220056249A (ko) 2018-10-19 2022-05-04 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
WO2020243342A1 (en) 2019-05-29 2020-12-03 Lam Research Corporation High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
US11935751B2 (en) * 2021-05-25 2024-03-19 Applied Materials, Inc. Boron nitride for mask patterning

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5976990A (en) * 1998-01-09 1999-11-02 Micron Technology, Inc. Method for optimization of thin film deposition
CN101184865A (zh) * 2005-02-18 2008-05-21 莫门蒂夫功能性材料公司 高温化学气相沉积设备

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5470661A (en) 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
JP3632256B2 (ja) 1994-09-30 2005-03-23 株式会社デンソー 窒化シリコン膜を有する半導体装置の製造方法
DE69609244T2 (de) 1995-03-31 2001-03-08 Ceramoptec Gmbh Verfahren zur Herstellung diamantartiger Beschichtungen
US6352921B1 (en) 2000-07-19 2002-03-05 Chartered Semiconductor Manufacturing Ltd. Use of boron carbide as an etch-stop and barrier layer for copper dual damascene metallization
US20060024879A1 (en) 2004-07-31 2006-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Selectively strained MOSFETs to improve drive current
DE102004052578B4 (de) 2004-10-29 2009-11-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen einer unterschiedlichen mechanischen Verformung in unterschiedlichen Kanalgebieten durch Bilden eines Ätzstoppschichtstapels mit unterschiedlich modifizierter innerer Spannung
US7381451B1 (en) 2004-11-17 2008-06-03 Novellus Systems, Inc. Strain engineering—HDP thin film with tensile stress for FEOL and other applications
US7371634B2 (en) 2005-01-31 2008-05-13 Taiwan Semiconductor Manufacturing Co., Ltd. Amorphous carbon contact film for contact hole etch process
US20060185591A1 (en) 2005-02-18 2006-08-24 General Electric Company High temperature chemical vapor deposition apparatus
US7214630B1 (en) 2005-05-06 2007-05-08 Novellus Systems, Inc. PMOS transistor with compressive dielectric capping layer
US7247582B2 (en) 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US7566655B2 (en) 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US7902008B2 (en) 2005-08-03 2011-03-08 Globalfoundries Inc. Methods for fabricating a stressed MOS device
WO2007043206A1 (ja) 2005-10-14 2007-04-19 Yatabe Massao 半導体製造装置及び製造方法
US20070105292A1 (en) 2005-11-07 2007-05-10 Neng-Kuo Chen Method for fabricating high tensile stress film and strained-silicon transistors
US7678662B2 (en) 2005-12-13 2010-03-16 Applied Materials, Inc. Memory cell having stressed layers
US20070200179A1 (en) 2006-02-24 2007-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Strain enhanced CMOS architecture with amorphous carbon film and fabrication method of forming the same
US7935587B2 (en) 2006-06-09 2011-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced forming method and structure of local mechanical strained transistor
US7482245B1 (en) 2006-06-20 2009-01-27 Novellus Systems, Inc. Stress profile modulation in STI gap fill
US8084105B2 (en) 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
KR20100042644A (ko) 2007-07-13 2010-04-26 어플라이드 머티어리얼스, 인코포레이티드 보론 유도 물질 증착 방법
US8148269B2 (en) 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
US7892900B2 (en) 2008-04-07 2011-02-22 Globalfoundries Singapore Pte. Ltd. Integrated circuit system employing sacrificial spacers
US7998881B1 (en) 2008-06-06 2011-08-16 Novellus Systems, Inc. Method for making high stress boron-doped carbon films
US7906817B1 (en) 2008-06-06 2011-03-15 Novellus Systems, Inc. High compressive stress carbon liners for MOS devices

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5976990A (en) * 1998-01-09 1999-11-02 Micron Technology, Inc. Method for optimization of thin film deposition
CN101184865A (zh) * 2005-02-18 2008-05-21 莫门蒂夫功能性材料公司 高温化学气相沉积设备

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Hydrogen-plasma etching of ion beam deposited c-BN films: An in situ investigation of the surface with electron spectroscopy;P.Reinke etal.;《Journal Of Applied Physics》;20001115;第80卷(第10期);第5597-5604页 *

Also Published As

Publication number Publication date
KR20130086525A (ko) 2013-08-02
US8479683B2 (en) 2013-07-09
TW201211304A (en) 2012-03-16
US20130008378A1 (en) 2013-01-10
US8288292B2 (en) 2012-10-16
KR101736528B1 (ko) 2017-05-16
WO2011126748A2 (en) 2011-10-13
SG184078A1 (en) 2012-10-30
CN103119196A (zh) 2013-05-22
WO2011126748A3 (en) 2011-12-01
US20110244694A1 (en) 2011-10-06
TWI580810B (zh) 2017-05-01

Similar Documents

Publication Publication Date Title
CN103119196B (zh) 沉积敷形氮化硼膜
CN108630524B (zh) 氮化硅的选择性生长
KR102491771B1 (ko) 실리콘 옥사이드의 존재시 실리콘 표면들 상에서 실리콘 옥사이드 또는 실리콘 나이트라이드의 선택적인 성장
Knoops et al. Atomic layer deposition of silicon nitride from Bis (tert-butylamino) silane and N2 plasma
US7651961B2 (en) Method for forming strained silicon nitride films and a device containing such films
US9034760B2 (en) Methods of forming tensile tungsten films and compressive tungsten films
KR20220124668A (ko) Peald 프로세스 및 열적 ald 프로세스에 의해 형성된 나이트라이드 막
US6656282B2 (en) Atomic layer deposition apparatus and process using remote plasma
US8975184B2 (en) Methods of improving tungsten contact resistance in small critical dimension features
US20140273530A1 (en) Post-Deposition Treatment Methods For Silicon Nitride
CN101167165B (zh) 增加pecvd氮化硅膜层的压缩应力的方法
CN102437053A (zh) 增加pecvd氮化硅膜层的压缩应力的方法
WO2013043330A1 (en) Plasma activated conformal dielectric film deposition
TW201441408A (zh) 包含氮化矽之膜的電漿輔助原子層沉積
Meng et al. Hollow cathode plasma-enhanced atomic layer deposition of silicon nitride using pentachlorodisilane
KR101970378B1 (ko) 인-시튜 수산화 장치
Lee et al. Effect of reactive gases (NH3/N2) on silicon–nitride thin films deposited with diiodosilane (SiH2I2) precursors
US20180350596A1 (en) Method of depositing doped amorphous silicon films with enhanced defect control, reduced substrate sensitivity to in-film defects and bubble-free film growth
KR20200091491A (ko) 합금 원자 층 증착에서 전구체들의 균질 혼합을 위한 시스템들 및 방법들
Neumayer Plasma-Enhanced Chemical Vapor Deposition (PECVD): Silicon Nitride Films
KR20080033103A (ko) 에이엘디법을 이용한 금속 박막 형성 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant