KR101970378B1 - 인-시튜 수산화 장치 - Google Patents

인-시튜 수산화 장치 Download PDF

Info

Publication number
KR101970378B1
KR101970378B1 KR1020147012106A KR20147012106A KR101970378B1 KR 101970378 B1 KR101970378 B1 KR 101970378B1 KR 1020147012106 A KR1020147012106 A KR 1020147012106A KR 20147012106 A KR20147012106 A KR 20147012106A KR 101970378 B1 KR101970378 B1 KR 101970378B1
Authority
KR
South Korea
Prior art keywords
chamber
substrate
wafer support
process region
lifting mechanism
Prior art date
Application number
KR1020147012106A
Other languages
English (en)
Other versions
KR20140077192A (ko
Inventor
켄릭 초이
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20140077192A publication Critical patent/KR20140077192A/ko
Application granted granted Critical
Publication of KR101970378B1 publication Critical patent/KR101970378B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

암모니아 및 수증기를 이용하여 기판 표면을 수산화하기 위한 장치 및 방법이 기술된다.

Description

인-시튜 수산화 장치{IN-SITU HYDROXYLATION APPARATUS}
본 발명의 실시예들은 일반적으로 기판의 표면 상에 수산화기(hydroxyl groups)를 생성하기 위한 장치 및 방법에 관한 것이다.
기판 표면 상에서의 박막의 퇴적은 자기 판독/기입 헤드를 위한 반도체 프로세싱, 확산 배리어 코팅 및 유전체를 포함하는 다양한 산업에서의 중요한 프로세스이다. 반도체 산업에서, 소형화는 하이 어스펙트 구조물(high aspect structures) 상에 컨포멀 코팅(conformal coating)을 생성하기 위한 박막 퇴적의 원자 레벨 제어를 수반할 수 있다. 컨포멀 퇴적 및 원자 층 제어를 이용하여 박막을 퇴적하는 방법 중 하나는 ALD(atomic layer deposition)인데, 이것은 옹스트롬 또는 일분자층(monolayer) 수준에서 제어되는 정밀한 두께를 갖는 층들을 형성하기 위해 순차적인 자기 제한적 표면 반응(sequential, self-limiting surface reactions)을 이용한다. 대부분의 ALD 프로세스들은 2원 화합물 막을 퇴적하는 2원 반응 시퀀스에 기반을 둔다. 2가지 표면 반응 각각은 순차적으로 발생하며, 그들은 자기 제한적이므로, 박막은 원자 레벨 제어를 갖고서 퇴적될 수 있다. 표면 반응들이 순차적이기 때문에, 2가지의 기체상(gas phase) 반응물이 접촉하지 않으며, 입자들을 형성하고 퇴적할 수 있는 가능한 기체상 반응은 제한된다. 표면 반응들의 자기 제한적인 성질은 또한 모든 반응 사이클 동안 반응이 완료되게 해 주며, 이에 의해 연속적이며 핀홀이 없는 막이 야기된다.
ALD는 기판 표면 상에 금속 및 금속 화합물을 퇴적하기 위해 이용되어 왔다. Al2O3 퇴적은 ALD의 순차적이고 자기 제한적인 반응 특성의 실례가 되는 전형적인 ALD 프로세스의 일례이다. Al2O3 ALD는 통상적으로 트리메틸알루미늄(trimethylaluminum)(TMA, 종종 반응 "A" 또는 "A" 선구물질이라고 지칭됨) 및 H2O(종종 "B" 반응 또는 "B" 선구물질이라고 지칭됨)를 이용한다. 2원 반응의 단계 A에서, 수산기 표면 종들(hydroxyl surface species)이 기상(vapor phase) TMA와 반응하여, 기체상의 표면 결합 AlOAl(CH3)2 및 CH4를 생성한다. 이 반응은 표면 상의 반응 부위(reactive site)의 개수에 의해 자기 제한된다. 2원 반응의 단계 B에서, 표면 결합 화합물의 AlCH3가 기상 H2O와 반응하여, 표면에 결합된 AlOH 및 CH4를 기체상으로 생성한다. 이 반응은 표면 결합된 AlOAl(CH3)2 상의 이용가능한 반응 부위의 유한한 개수에 의해 자기 제한된다. 후속하는 A 및 B의 사이클, 반응들 사이 및 반응 사이클들 사이에서의 기체상 반응물 및 비반응 기상 선구물질의 퍼징(purging)은 요구되는 막 두께를 획득하기 위해 본질적으로 선형인 방식으로 Al2O3 성장을 만들어낸다.
그러나, 다수의 ALD 반응은 ALD 선구물질이 기판 표면과 반응하도록 하기 위해 반응성 "핸들(handle)"의 존재를 필요로 한다. 그러한 반응성을 추가하는 한가지 방법은 기판 표면에 -OH(수산화)기를 추가하는 것이다. 이전에 알려진 수산화 방법 중 하나는 기판을 액체 암모니아 및 물을 포함하는 배쓰(bath) 내에 넣는 것을 수반했다. 이러한 프로세스는 -OH가 풍부한 계면 층 표면을 만들지만, 웨이퍼가 막의 형성을 위해 배쓰로부터 프로세스 챔버로 이송될 때 웨이퍼가 대기에 노출되는 단점을 가졌다. 고-k 유전체 막, 예를 들어 하프늄 산화물과 같은 일부 막들에 있어서, 공기에의 노출은 유전체 막을 포함하는 디바이스의 히스테리시스를 열화시킨다. 암모니아와 물의 혼합은 수산화 암모늄을 형성하는데, 이것은 부식성의 강염기이며 많은 금속을 열화시킨다. 따라서, 암모니아 및 물의 혼합을 수반하는 프로세스들은 금속 컴포넌트의 열화가 예상되기 때문에 프로세스 챔버 내에서 수행되지 않았다.
그러므로, 기판 표면의 수산화를 위한 이용가능한 프로세스를 개선하는 방법을 제공할 필요가 있다.
본 발명의 한 양태는 기판 표면을 수산화(hydroxylate)하기 위한 장치에 관한 것이다. 본 양태의 하나 이상의 실시예에서, 장치는 챔버 벽, 챔버 플레이트 및 챔버 리드(chamber lid)를 갖는 챔버 바디 - 챔버 벽, 챔버 플레이트 및 챔버 리드는 챔버 프로세스 영역을 정의하며, 기판의 표면을 수산화하기 위해 기판이 그 영역 내에 배치될 수 있음 - ; 챔버 프로세스 영역 내에 배치된 웨이퍼 지지체 - 웨이퍼 지지체는 챔버 프로세스 영역 내에 위치된 기판이 챔버 플레이트에 직접 접촉하는 것을 방지함 - ; 프로세스 챔버 내에 위치되어, 기판을 웨이퍼 지지체 위로 하강시키고 기판을 웨이퍼 지지체로부터 상승시키는 리프팅 메커니즘; 및 챔버 내의 기판을 수산화 암모늄에 노출하여 기판을 수산화하기 위해 챔버 프로세스 영역에 아민(amine) 및 수산화물을 전달하는 하나 이상의 인젝터를 포함한다. 하나 이상의 실시예에 따르면, 챔버 바디, 웨이퍼 지지체, 리프팅 메커니즘 및 하나 이상의 인젝터는 수산화 암모늄에 의한 열화에 저항성이 있는 재료들을 포함한다.
소정 실시예들은 수산화 암모늄에 의한 열화에 저항성이 있는 재료들이 스테인레스 스틸, 석영 및 폴리테트라플루오로에틸렌(polytetrafluoroethylene) 중 하나 이상을 포함하는 것을 제공한다. 특정 실시예에서, 수산화 암모늄에 의한 열화에 저항성이 있는 재료들은 스테인레스 스틸을 포함한다.
하나 이상의 실시예에서, 리프팅 메커니즘은 적어도 주변 프레임을 포함한다. 하나 이상의 실시예에 따르면, 주변 프레임은 프레임을 상승 및 하강시키는 모터와 체결된다. 소정 실시예들은 기판의 주변을 적어도 부분적으로 둘러싸는 주변 프레임을 제공한다. 다른 실시예들에서, 프레임은 그 주변 프레임 둘레에 이격된 복수의 내향 핑거(inwardly-directed fingers)를 포함한다. 또 다른 실시예들은 리프팅 메커니즘이 프레임 내에 삽입되어 프레임과 기판의 포인트 컨택트를 가능하게 하는 복수의 세라믹 스탠드오프(ceramic standoffs)를 더 포함하는 것을 제공한다. 특정 실시예에 따르면, 세라믹은 실리콘 질화물을 포함한다.
하나 이상의 실시예에 따르면, 웨이퍼 지지체는 챔버 플레이트 내에 삽입되어 기판과의 복수의 포인트 컨택트를 가능하게 하는 복수의 세라믹 볼을 포함한다. 소정 실시예들에서, 세라믹은 실리콘 질화물을 포함한다.
하나 이상의 실시예에서, 장치는 암모니아와 물이 챔버 리드 및 챔버 벽 부근에서 반응하지 않고 암모니아와 물이 웨이퍼 지지체 상의 기판 부근에서 반응하도록, 챔버 리드 및 챔버 벽 부근에서 온도를 유지하는 가열 시스템을 더 포함한다. 다른 실시예들에서, 장치는 챔버 리드 및 챔버 벽에 인접하여 챔버 리드 및 챔버 벽 부근의 온도를 상승시키는 가열 소자, 및 챔버 플레이트 부근의 온도를 상승 또는 하강시키는 열 소자(thermal element)를 더 포함한다.
본 발명의 다른 양태는 기판 표면을 수산화하기 위한 장치를 제공하는데, 장치는 챔버 벽, 챔버 플레이트 및 챔버 리드를 갖는 챔버 바디 - 챔버 벽, 챔버 플레이트 및 챔버 리드는 챔버 프로세스 영역을 정의하며, 기판의 표면을 수산화하기 위해 기판이 그 영역 내에 배치될 수 있음 - ; 챔버 프로세스 영역 내에 배치된 웨이퍼 지지체 - 웨이퍼 지지체는 챔버 프로세스 영역 내에 위치된 기판이 챔버 플레이트에 직접 접촉하는 것을 방지함 - ; 프로세스 챔버 내에 위치되어 기판을 웨이퍼 지지체 위로 하강시키고 기판을 웨이퍼 지지체로부터 상승시키는 리프팅 메커니즘; 챔버 내의 기판을 수산화 암모늄에 노출하여 기판을 수산화하기 위해 챔버 프로세스 영역에 아민 및 수산화물을 전달하는 하나 이상의 인젝터 - 챔버 바디, 웨이퍼 지지체, 리프팅 메커니즘 및 하나 이상의 인젝터는 수산화 암모늄에 의한 열화에 저항성이 있는 재료들을 포함함 - ; 및 챔버 벽 내에 배치된 이송 밸브 - 이송 밸브는 기판이 프로세스 영역 내로 로딩되고, 프로세스 챔버에서 나와서 이송 밸브에 인접한 이송 챔버로 로딩되는 것을 허용함 - 를 포함한다.
이러한 양태의 하나 이상의 실시예에서, 이송 밸브는 이송 밸브가 개방 위치에 있을 때 퍼지 가스(purge gas)를 흐르게 하는 퍼지 가스 인젝터를 포함한다. 하나 이상의 실시예에 따르면, 리프팅 메커니즘은 주변 프레임 - 주변 프레임은 프레임을 상승 및 하강시키는 모터와 체결됨 - , 및 프레임 내에 삽입되어 프레임과 기판의 포인트 컨택트를 가능하게 하는 복수의 세라믹 스탠드오프를 포함한다.
또 다른 양태는 기판 표면을 수산화하기 위한 장치를 제공하는데, 장치는 챔버 벽, 챔버 플레이트 및 챔버 리드를 갖는 챔버 바디 - 챔버 벽, 챔버 플레이트 및 챔버 리드는 챔버 프로세스 영역을 정의하며, 챔버 내에서 프로세싱될 때 기판의 표면을 수산화하기 위해 기판이 그 영역 내에 배치될 수 있음 - ; 챔버 프로세스 영역 내에 배치된 웨이퍼 지지체 - 웨이퍼 지지체는 챔버 프로세스 영역 내에 위치된 기판이 챔버 플레이트에 직접 접촉하는 것을 방지함 - ; 프로세스 챔버 내에 위치되어, 기판을 웨이퍼 지지체 위로 하강시키고 기판을 웨이퍼 지지체로부터 상승시키는 리프팅 메커니즘; 챔버 내의 기판을 수산화 암모늄에 노출하여 기판을 수산화하기 위해 챔버 프로세스 영역에 아민 및 수산화물을 전달하는 하나 이상의 인젝터; 및 챔버 내에서 프로세싱될 때 기판의 표면을 수산화하도록 프로세스 영역 내에 요구되는 상대 습도를 제공하기 위해, 챔버 내의 아민 및 수산화물의 흐름을 조절하고 챔버 내의 온도를 제어하는 챔버 제어기를 포함한다.
이러한 양태의 하나 이상의 실시예는 챔버 바디, 웨이퍼 지지체, 리프팅 메커니즘 및 하나 이상의 인젝터가 수산화 암모늄에 의한 열화에 저항성이 있는 재료들을 포함하는 것을 제공한다. 소정의 실시예들에서, 수산화 암모늄에 의한 열화에 저항성이 있는 재료들은 스테인레스 스틸, 석영 및 폴리테트라플루오로에틸렌 중 하나 이상을 포함한다.
하나 이상의 실시예에서, 장치는 암모니아와 물이 챔버 리드 및 챔버 벽 부근에서 반응하지 않고 암모니아와 물이 웨이퍼 지지체 상의 기판 부근에서 반응하도록, 챔버 리드 및 챔버 벽 부근의 온도를 유지하는 가열 시스템을 더 포함한다.
위에서는 본 발명의 소정의 피쳐 및 기술적 이점을 다소 폭넓게 개술하였다. 본 기술분야의 숙련된 자들은 개시된 특정 실시예들이 본 발명의 범위 내의 다른 구조 또는 프로세스를 수정하거나 설계하기 위한 기초로서 쉽게 이용될 수 있음을 쉽게 알 것이다. 또한, 본 기술분야의 숙련된 자들은 그러한 균등한 구성들이 첨부된 청구항들에 제시된 본 발명의 취지 및 범위로부터 벗어나지 않는다는 것을 알아차려야 한다.
위에서 언급된 본 발명의 피쳐들이 상세하게 이해될 수 있도록, 위에 간략하게 요약된 본 발명의 더 구체적인 설명은 실시예들을 참조할 수 있으며, 그들 중 일부는 첨부 도면들에 도시되어 있다. 그러나, 본 발명은 동등한 효과의 다른 실시예들을 허용할 수 있으므로, 첨부 도면들은 본 발명의 전형적인 실시예들을 도시할 뿐이며, 따라서 그것의 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 주목해야 한다.
도 1a는 본 발명의 하나 이상의 실시예에 따른 장치의 프로세스 영역의 측단면도를 도시한다.
도 1b는 본 발명의 하나 이상의 실시예에 따른 장치의 프로세스 영역의 상부 단면도를 도시한다.
도 2는 본 발명의 하나 이상의 실시예에 따른 시스템의 개략도를 도시한다.
도 3은 본 발명의 하나 이상의 실시예에 따른 클러스터 툴 시스템의 개략도를 도시한다.
여기에 기술되는 다양한 실시예들은 공기에의 노출 없이 기판 표면을 수산화하기 위한 방법 및 장치를 제공하며, 그에 의해 유전체 막을 포함하는 디바이스들의 히스테리시스 열화를 방지한다. 본 발명의 실시예들은 주변 공기에의 기판 노출을 막는 챔버의 프로세스 영역 내에서 수행될 수 있는 프로세스 및 장치의 제공에 관한 것이다.
여기에서 이용될 때, "기판 표면"은 제조 프로세스 동안 막 프로세싱이 수행되는 기판 상에 형성된 임의의 기판 또는 재료 표면을 지칭한다. 예를 들어, 프로세싱이 수행될 수 있는 기판 표면은 응용에 따라, 실리콘, 실리콘 산화물, 스트레인드 실리콘(strained silicon), SOI(silicon on insulator), 탄소 도핑된 실리콘 산화물, 실리콘 질화물, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어, 및 금속, 금속 질화물, 금속 합금 및 다른 전도성 재료와 같은 임의의 다른 재료들과 같은 재료들을 포함한다. 기판 표면 상의 배리어 층, 금속 또는 금속 질화물은 티타늄, 티타늄 질화물, 텅스텐 질화물, 탄탈륨 및 탄탈륨 질화물, 알루미늄, 구리, 또는 디바이스 제조에 유용한 임의의 다른 전도체 또는 전도성 또는 비전도성 배리어 층을 포함한다. 기판들은 200mm 또는 300mm 직경의 웨이퍼와, 직사각형 또는 정사각형의 페인(pane)과 같은 다양한 치수를 가질 수 있다. 본 발명의 실시예들이 유용할 수 있는 기판들은 결정질 실리콘(예를 들어, Si<100> 또는 Si<111>), 실리콘 산화물, 스트레인드 실리콘, 실리콘 게르마늄, 도핑 또는 비도핑 폴리실리콘, 도핑 또는 비도핑 실리콘 웨이퍼, GaAs, GaN, InP 등과 같은 III-V 재료, 및 패터닝 또는 비패터닝 웨이퍼와 같은 반도체 웨이퍼를 포함할 수 있지만, 이에 한정되지는 않는다. 기판들은 기판 표면을 연마, 에칭, 환원, 산화, 수산화, 어닐링 및/또는 베이킹하기 위한 전처리 프로세스에 노출될 수 있다.
따라서, 본 발명의 한 양태는 기판의 표면 상에 유전체 막을 형성하기 위해 기판을 준비하는 방법에 관한 것으로, 이 방법은 프로세스 챔버 내에 기판을 배치하는 단계, 및 수증기와 같은 수산화물 및 암모니아와 같은 아민을 프로세스 챔버 내로 흘리는 단계를 포함한다. 수증기 및 암모니아는 기판의 표면이 수증기 및 암모니아에 동시에 노출되도록 흘려진다. 이 방법은 진공 조건 하에서, 즉 감압 하에서, 그리고 기판을 주변 공기에 노출시키지 않고서 수행된다. 하나 이상의 실시예에 따르면, 질소와 같은 불활성 기체가 수산화 챔버 내에 존재할 수 있다.
수증기 및 암모니아가 구체적으로 언급되긴 했지만, 본 발명은 다른 수산화물 및 아민 소스의 이용을 포괄한다는 것이 이해될 것이다. 예를 들어, 적절한 수산화물은 물 및 과산화수소를 포함한다. 적절한 아민의 예는 암모니아, 피리딘, 히드라진, 알킬 아민 및 아릴 아민을 포함한다.
수증기와 암모니아는 기판의 표면에서 반응하여 수산화 암모늄을 제공하며, 다음으로 수산화 암모늄이 기판의 표면과 반응하여 수산화된 기판을 제공한다. 구체적인 실시예들에서, 기판 표면은 수산화 이전에 할로겐화되지 않는다. 하나 이상의 실시예에 따르면, 기판 또는 막의 표면에 추가되는 유일한 기능은 수산기 기능이다.
하나 이상의 실시예에 따르면, 기판은 표면을 수산화한 후에 추가의 프로세싱에 종속된다. 이러한 추가의 프로세싱은 수산화 챔버와 동일 챔버 내에서 수행될 수도 있고, 하나 이상의 별도의 프로세싱 챔버에서 수행될 수도 있다. 일 실시예에서, 수산화된 기판은 추가의 프로세싱을 위해, 수산화 챔버로부터 별도의 제2 챔버로 이동된다. 수산화된 기판은 수산화 챔버로부터 별도의 프로세싱 챔버로 직접 이동될 수도 있고, 아니면 수산화 챔버로부터 하나 이상의 이송 챔버로 이동된 다음, 요구되는 별도의 프로세싱 챔버에 이동될 수도 있다.
하나 이상의 실시예에 따르면, 수산화된 기판은 계속적으로 진공 또는 "로드 록(load lock)" 조건 하에 있고, 한 챔버로부터 다음 챔버로 이동될 때 주변 공기에 노출되지 않는다. 따라서, 이송 챔버들은 진공 하에 있고, 진공 압력 아래로 "펌프 다운(pumped down)"된다. 프로세싱 챔버 또는 이송 챔버 내에 불활성 가스가 존재할 수 있다. 일부 실시예들에서, 불활성 가스는 기판의 표면을 수산화한 후에 반응물의 일부 또는 전부를 제거하기 위한 퍼지 가스로서 이용된다. 하나 이상의 실시예에 따르면, 반응물이 수산화 챔버로부터 이송 챔버 및/또는 프로세싱 챔버로 이동되는 것을 방지하기 위해, 퍼지 가스가 수산화 챔버의 출구에서 주입된다. 따라서, 불활성 가스의 흐름은 챔버의 출구에서 커튼을 형성한다.
다른 프로세싱 챔버는 퇴적 챔버 및 에칭 챔버를 포함할 수 있지만, 그에 한정되지는 않는다. 하나 이상의 실시예에 따르면, 막은 화학적 기상 증착(CVD) 또는 원자 층 퇴적(ALD)과 같은 퇴적 프로세스에 의해, 수산화된 기판 상에 퇴적된다. 특정 실시예에서, 막은 원자 층 퇴적 프로세스를 통해 기판 상에 퇴적된다.
하나 이상의 실시예에서, 높은 유전 상수(k)를 갖는 막이 수산화된 기판 상에 퇴적된다. 고-k 게이트 유전체를 만들기 위해 이용될 수 있는 재료들은 하프늄 산화물, 란타넘 산화물, 란타넘 알루미늄 산화물, 지르코늄 산화물, 지르코늄 실리콘 산화물, 티타늄 산화물, 탄탈륨 산화물, 이트륨 산화물 및 알루미늄 산화물을 포함하지만, 이에 한정되지 않는다. 일부 실시예들에서, 고-k 유전체 막은 하프늄을 포함한다. 따라서, 본 발명의 양태는 기판의 표면 상에 유전체 막을 형성하는 방법에 관한 것이다. 유전체 막을 형성하는 방법은 수산화 챔버의 프로세스 영역 내로의 암모니아 및 수증기의 흐름을 제어하여, 기판의 표면을 수증기 및 암모니아에 동시에 노출시켜 수산화된 기판 표면을 제공하는 단계를 포함할 수 있다. 방법은 프로세스 챔버 내의 압력을 제어하고, 수산화된 기판을 로드 록 조건 하에서 수산화 챔버로부터 이송 챔버로, 그리고 퇴적 챔버로 이동시키는 단계를 더 포함할 수 있다. 마지막으로, 방법은 수산화된 기판 상에 막, 예를 들어 유전체 막을 퇴적하는 단계를 포함한다.
이러한 양태의 하나 이상의 실시예에 따르면, 방법은 암모니아와 물이 기판 부근에서는 반응하지만, 암모니아와 물이 챔버 리드 또는 챔버 벽 부근과 같이, 프로세스 영역의 다른 부분들에서는 반응하지 않도록, 프로세스 영역 내에서의 온도 분포를 제어하는 단계를 더 포함한다. 소정 실시예들에서, 막은 원자 층 퇴적 프로세스를 통해 퇴적된다.
따라서, 본 발명의 다른 양태는 위에서 설명된 실시예들 중 임의의 것에 따른 프로세스를 수행하기 위한 기판의 수산화를 위한 장치에 관한 것이다. 일 실시예는 챔버 바디, 웨이퍼 지지체, 리프팅 메커니즘 및 하나 이상의 인젝터를 포함하는 장치에 관한 것이다. 이러한 장치는 기판 표면에의 수증기 및 암모니아의 공급을 제공할 것이고, 이들은 반응하여 수산화 암모늄을 형성할 것이며, 이는 결국 기판의 표면을 수산화한다.
수증기와 암모니아가 반응하여 수산화 암모늄을 형성할 것이므로, 챔버 프로세스 영역은 부식성 환경을 가질 것이다. 따라서, 습윤 경로(wetted path) 내의 모든 컴포넌트는 수산화 암모늄에 의한 열화에 저항성이 있는 재료들을 포함해야 한다. 따라서, 알루미늄과 같이, 반도체 프로세싱 챔버 내에서 이용되는 전형적인 재료는 부식성 환경에 노출될 컴포넌트들에는 적합하지 않다. 하나 이상의 실시예에 따르면, 챔버 바디, 웨이퍼 지지체 및 하나 이상의 인젝터는 수산화 암모늄에 의한 열화에 저항성이 있는 재료들을 포함한다. 다른 실시예들에서, 리프팅 메커니즘도 수산화 암모늄에 의한 열화에 저항성이 있는 재료들을 포함한다.
수산화 암모늄에 대한 요구되는 저항성을 제공할 많은 재료들이 이용될 수 있다. 예를 들어, 스테인레스 스틸, 석영 및 폴리테트라플루오로에틸렌이 장치 내의 다양한 컴포넌트들에 이용될 수 있다. 구체적인 실시예에서, 장치 컴포넌트들 중 하나 이상의 컴포넌트는 스테인레스 스틸을 포함한다.
챔버 바디는 챔버 벽, 챔버 플레이트 및 챔버 리드를 갖는다. 챔버 벽, 챔버 플레이트 및 챔버 리드는 챔버 프로세스 영역을 정의하는데, 이것은 수산화 반응이 발생하는 영역이다. 하나 이상의 인젝터는 암모니아 및 수증기를 챔버 프로세스 영역 내로 확산시키며, 이들은 반응하여 수산화 암모늄을 형성한다. 다음으로, 수산화 암모늄은 기판의 표면과 반응하여 수산화된 기판을 제공한다.
도 1a는 본 발명의 이러한 양태에 따라 챔버 바디(100)의 실시예의 측단면도를 도시한다. 챔버 바디(100)는 챔버 프로세스 영역(104)을 정의하는 챔버 리드(101), 챔버 벽(102) 및 챔버 플레이트(103)를 포함한다. 도 1a 및 도 1b에 도시된 장치는 챔버 벽(102)을, 단면이 개략적으로 원형인 프로세스 영역을 정의하는 단일 벽으로서 보여준다. 그러나, 프로세스 영역(104)은 기판을 프로세싱하기 위한 임의의 적절한 형상일 수 있으며, 프로세스 영역을 정의하는 챔버 벽(102)은 복수의 별개의 벽 요소들을 포함할 수 있음을 이해할 것이다. 챔버 리드(101)는 프로세스 영역(104)의 상단 경계를 형성한다. 챔버 리드(101)는 프로세스 영역의 세정 및 유지보수를 용이하게 하기 위해 개방되거나 제거가능할 수 있다. 도시된 실시예에서, 챔버 리드(101)는 챔버 리드(101)를 챔버 벽(102)으로부터 들어올리기 위한 핸들(115)을 포함한다. 챔버 리드(101)는 세트 스크류(set screw), 클램프 등과 같은 임의의 적절한 수단에 의해 제자리에 유지될 수 있다. 다른 실시예들에서, 챔버 리드는 힌지(도시되지 않음)에 의해 챔버 벽(102)에 탑재될 수도 있고, 아니면 리드는 수직 또는 수평 수축 메커니즘(도시되지 않음) 등에 의해 챔버 벽(102)에 이동가능하게 연계될 수 있다. 리프팅 메커니즘(105)은 기판을 상승시키고 하강시키며, 기판을 개구(106)를 통해 챔버 프로세스 영역(104) 안으로 또는 챔버 프로세스 영역 밖으로 이동시키기 위해 이용된다. 슬릿 밸브 인서트(107)는 장치를 다른 챔버에 접속할 수 있다. 슬릿 밸브 인서트(107)는 기판이 장치 내외로 이동될 때, 반응 가스가 챔버 프로세스 영역(104)을 벗어나지 못하도록 하기 위해 퍼지 가스를 위한 인젝터를 포함할 수 있다.
장치는 또한 도 1b에 가장 잘 도시되어 있는 주변 프레임(109)을 포함한다. 주변 프레임(109)은 기판을 프로세스 영역(104) 내에서 상승 및 하강시키도록 주변 프레임(109)을 위 및 아래로 이동시키기 위한 서보 모터 또는 임의의 다른 적절한 디바이스일 수 있는 리프팅 메커니즘(105)과 체결된다. 도시된 실시예에서, 리프팅 메커니즘은 주변 프레임(109)의 일부와 접촉하는 샤프트(117)를 포함한다.
도 1b는 프로세스 영역의 상부 단면도를 도시한다. 세라믹 볼들(108)이 챔버 플레이트(103)에 부착된다. 세라믹 볼들은 본딩, 접착제, 프레스-피팅(press-fitting) 등과 같은 다양한 방식으로 플레이트에 부착될 수 있다. 도시된 실시예에서, 세라믹 볼들은 챔버 플레이트(103) 내의 홀들 내로 프레스-피팅된다. 세라믹 볼들(108)은 프로세스 영역(104) 내에, 그리고 챔버 플레이트(103) 상에 로딩되는 기판을 위한 오프셋을 제공한다. 따라서, 프로세스 영역(104) 내로 로딩되고 세라믹 볼들(108) 위에 놓여있는 기판은 챔버 플레이트(103)와 직접 접촉하게 되지 않을 것이다. 이것은 프로세스 영역(104)으로부터의 기판의 제거 및 로딩을 용이하게 한다. 위에서 논의된 바와 같이, 주변 프레임(109)은 주변 프레임(109)이 기판을 세라믹 볼들(108) 위로 하강시킬 수 있게 하기 위해 샤프트(117)에 의해 리프팅 메커니즘(105)과 동작상 체결되며, 핑거들(110)은 프레임(109)의 둘레에서 이격되고, 프레임(109)으로부터 내측을 향한다. 인젝터(111)는 세라믹 볼들(108) 상에 놓여있는 동안, 기판의 표면을 가로질러 암모니아 및 수증기를 확산시킨다.
도시된 실시예에서, 세라믹 볼은 챔버 프로세스 영역 내에서의 웨이퍼 지지체로서 기능한다. 이러한 웨이퍼 지지체는 챔버 플레이트 위의 프로세스 영역 내에서 기판을 상승시키고, 챔버 프로세스 영역 내의 기판은 웨이퍼 지지체 상에 놓인다. 이것은 기판의 배면과 챔버 플레이트 간의 직접적인 접촉을 방지한다. 기판과 챔버 플레이트 간의 직접적인 접촉은 챔버 플레이트로부터의 기판의 배면 금속 오염을 유발할 수 있다. 구체적인 실시예에서, 기판과 챔버 플레이트 간의 직접적인 접촉은 존재하지 않는다. 웨이퍼 지지체는 세라믹 볼들에 한정되지 않음을 이해할 것이다. 다른 실시예들에서, 웨이퍼 지지체는 리프트 핀, 스탠드오프, 또는 임의의 다른 적절한 요소를 포함할 수 있다.
따라서, 웨이퍼 지지체는 챔버 플레이트와 기판 간의 접촉을 일반적으로 최소화하는 임의의 구성을 포함할 수 있다. 하나 이상의 실시예에서, 웨이퍼 지지체는 복수의 세라믹 볼과 같은 세라믹 지지체를 포함한다. 하나 이상의 실시예에서, 이러한 세라믹 볼들은 챔버 플레이트 내에 삽입된다. 기판은 이러한 볼들의 최상부에 놓이며, 아래의 챔버 플레이트와 접촉하지 않는다. 따라서, 기판이 챔버 플레이트의 상단에 직접 놓여지는 대신에, 복수의 포인트 컨택트만이 기판과 접촉한다. 소정 실시예에 따르면, 세라믹 지지체는 실리콘 질화물을 포함한다.
하나 이상의 실시예에 따르면, 장치는 암모니아와 물이 챔버 벽 및/또는 챔버 리드 부근에서 반응하지 않고, 대신에 웨이퍼 지지체 상의 기판 부근에서 반응하도록, 챔버 벽 및/또는 챔버 리드 부근의 온도를 유지하는 가열 시스템(도시되지 않음)을 더 포함한다. 소정 실시예들에서, 이러한 가열 시스템은 반응물이 벽(102) 또는 리드(101)와 반응하는 것을 방지하는 데에 도움이 되도록, 챔버 벽 및/또는 챔버 리드를 가열한다. 따라서, 챔버 벽 및/또는 챔버 리드는 가열 소자에 인접할 것이다. 예를 들어, 챔버 벽(102)은 챔버 벽(102)을 가열하기 위해 그 안에 삽입된 저항성 가열 소자를 가질 수 있다. 저항성 가열 소자를 대신하여, 또는 그에 추가하여, 램프와 같은 복사 가열 소자가 프로세스 영역(104) 내부에 또는 그에 인접하게 제공되어, 챔버 벽(102) 및 리드(101)를 가열할 수 있다.
소정 실시예들은 챔버 플레이트(103)가 가열 또는 냉각되는 것을 가능하게 한다. 챔버 플레이트(103)의 온도는 기판의 표면에서 요구되는 상대 습도를 달성하도록 조절될 수 있다. 특정 실시예에 따르면, 챔버 프로세스 영역(104)의 온도는 약 20℃ 내지 약 60℃의 범위 내에서 유지된다. 하나 이상의 실시예에서, 기판 표면에서의 온도는 기판의 수산화를 용이하게 하기 위해 약 25℃ 또는 그 아래이다. 따라서, 소정 실시예들은 챔버 플레이트 부근의 온도를 상승 및 하강시켜, 수산화될 기판의 표면에서의 온도의 국지적 변화를 야기하도록 하기 위해, 챔버 플레이트 및/또는 웨이퍼 지지체가 열 소자(119)에 인접하는 것을 가능하게 한다. 열 소자(119)는 임의의 적절한 온도 변경 디바이스일 수 있으며, 챔버에 인접하거나 챔버 내부에 있는 다양한 위치들에 배치될 수 있다. 열 소자(119)의 적절한 예는 복사 가열기(예를 들어, 램프 및 레이저), 저항성 가열기, 액체 제어 열 교환기(liquid controlled heat exchangers), 및 냉각 및 가열 플레이트를 포함하지만, 그에 한정되지 않는다. 냉각 및 가열 플레이트는 플레이트를 냉각 또는 가열하기 위해 그를 통해 액체 또는 기체가 흐르는 하나 이상의 유체 채널을 포함할 수 있다. 특정 실시예에서, 챔버 플레이트는 냉각 소자와 열 접촉한다.
하나 이상의 인젝터(111)는 암모니아 공급원 및 수증기 공급원(도시되지 않음)에 접속되도록 구성된다. 암모니아 및 물이 동일한 인젝터로부터 확산될 수 있거나, 또는 챔버 프로세스 영역에 도달하기 전에 혼합되는 것을 방지하기 위해 복수의 인젝터가 이용될 수 있다. 교차 흐름(cross flow) 또는 상하식 흐름(top-down flow)을 포함하여, 암모니아 및 수증기를 확산시키기 위해, 임의의 적합한 흐름 구성이 이용될 수 있다. 인젝터들(111)은 샤워헤드 또는 배플 플레이트를 포함하여, 반응물을 챔버 프로세스 영역 내로 확산시키기 위한 임의의 수단을 포함할 수 있다.
주변 프레임(109)에 연결된 리프팅 메커니즘(105)은 기판을 웨이퍼 지지체로부터 하강 및 상승시키기 위해 이용되며, 그와 같이 하기 위해 임의의 기계적 수단을 이용할 수 있다. 기판을 웨이퍼 지지체로부터 상승 및 하강시키는 것에 더하여, 리프팅 메커니즘(105)은 또한 챔버 내의 개구(106)를 통해 기판을 챔버 프로세스 영역(104) 내외로 반송할 수 있다. 하나 이상의 실시예에 따르면, 리프팅 메커니즘(105)은 주변 프레임(109)을 포함하고, 기판은 주변 프레임(109)이 기판을 상승 또는 하강시킬 때 주변 프레임 상에 놓일 수 있다. 소정 실시예들에서, 주변 프레임(109)은 프레임을 상승 및 하강시키기 위해 모터와 동작상 체결된다.
소정 실시예들에 따르면, 주변 프레임(109)은 기판의 주변을 적어도 부분적으로 둘러싼다. 도시된 실시예에서, 주변 프레임은 원의 일부이다. 도시된 실시예에서, 주변 프레임은 약 270도이지만, 본 발명은 이러한 구성에 한정되지 않고, 주변 프레임(109)은 완전한 원, 반원(180도), 또는 반도체 웨이퍼와 같은 기판을 상승 및 하강시키는 데에 적합한 임의의 다른 구성일 수 있다. 소정 실시예들에서, 주변 프레임(109)은 주변 프레임 둘레에 이격된 복수의 내향 핑거(inwardly-directed fingers)(110)를 포함한다. 도 1b에 도시된 실시예에는, 3개의 핑거(110)가 도시되어 있다. 그러나, 더 많거나 더 적은 핑거(110)가 제공될 수 있다.
하나 이상의 실시예에서, 리프팅 메커니즘은 기판과 주변 프레임(109) 간의 접촉을 최소화하는 스텐드오프를 포함할 수 있다. 소정 실시예들에서는, 챔버 플레이트(103)와 마찬가지로, 스탠드오프는 기판과의 포인트 컨택트를 가능하게 하기 위해, 주변 프레임(109)의 상부 표면으로부터 돌출된 복수의 세라믹 스탠드오프(121)를 포함할 수 있다. 특정 실시예에서, 세라믹 스탠드오프들(121)은 복수의 내향 핑거(110)에 삽입된다. 특정 실시예들에서, 세라믹 스탠드오프들(121)은 실리콘 질화물을 포함한다.
장치는 또한 챔버의 측벽 내에 위치된 이송 밸브(107)를 포함할 수 있다. 하나 이상의 실시예에서, 이송 밸브(107)는 슬릿 밸브이다. 슬릿 밸브(107)는 기판이 수산화 챔버 프로세스 영역(104)에 들어가거나 그로부터 나올 수 있는 개구일 수 있다. 슬릿 밸브(107)는 도어(도시되지 않음)를 포함할 수 있고, 이송 챔버 또는 인접 프로세스 챔버와 같은 다른 챔버에 접속하도록 구성될 수 있다. 하나 이상의 실시예에 따르면, 슬릿 밸브 인서트는 퍼지 가스 인젝터(도시되지 않음)를 포함하는데, 이것은 슬릿 밸브가 개방 위치에 있을 때, 반응물 가스가 수산화 챔버로부터 나오는 것과 인접 챔버에 들어가는 것을 방지하고, 주변 공기가 프로세스 영역(104)에 들어가는 것을 방지하기 위해 이용된다. 질소를 포함하는 임의의 적절한 불활성 기체가 퍼지 가스로서 이용될 수 있다.
본 발명의 다른 양태는 기판 표면을 수산화하기 위한 시스템에 관한 것이다. 하나 이상의 실시예에 따르면, 이러한 시스템은 도 1a 및 도 1b에 관련하여 위에서 설명된 바와 같이, 기판 지지체, 암모니아 공급원, 수증기 공급원 및 하나 이상의 인젝터를 포함하는 챔버 바디(100)를 포함한다. 소정 실시예들에서, 시스템은 또한 챔버 프로세스 영역 내의 압력을 제어하기 위한 압력 제어 밸브를 포함할 수 있다. 시스템은 챔버 프로세스 영역 내의 압력과, 챔버 바디 내로의 암모니아 및 수증기의 흐름을 조절하는 제어 시스템을 더 포함할 수 있다. 제어 시스템은 기판의 표면이 수증기 및 암모니아에 동시에 노출되어 수산화된 기판 표면을 제공하도록, 압력 및 반응물의 흐름을 조절한다. 하나 이상의 실시예에서, 시스템은 수산화된 기판이 주변 공기에 노출되는 것을 방지하기 위해, 제어된 압력 하에서 기판을 프로세스 영역으로부터 이송 챔버로 이동시키는 이송 밸브를 더 포함한다.
도 2는 본 발명의 이러한 양태에 따른 일 실시예를 도시한다. 챔버 바디는 챔버 리드(201), 챔버 벽(202) 및 챔버 플레이트(203)를 포함한다. 챔버 리드(201), 챔버 벽(202) 및 챔버 플레이트(203)는 챔버 프로세스 영역(224)을 정의하고, 여기에서 기판 표면 상에 수산화 반응이 발생한다. 리프팅 메커니즘(214)은 기판을 상승 및 하강시켜, 기판이 로봇 블레이드 또는 다른 적절한 이송 메커니즘을 이용하여 챔버 프로세스 영역 내외로 이동할 수 있게 한다.
암모니아 기체는 암모니아 공급원(206)에 의해 제공되며, 이것은 암모니아를 적절한 유량으로 인젝터(221)를 통해 프로세스 영역(224)으로 전달하기 위한 파이프 또는 채널과 같은 임의의 적절한 도관일 수 있는 암모니아 도관(225)을 통해 프로세스 영역(224) 내로 전달된다. 암모니아 공급원은 암모니아 기체를 생성하기 위한 암모니아 발생 시스템 또는 암모니아 기체의 실린더일 수 있다. 챔버로의 암모니아 기체의 흐름은 암모니아 밸브(209) 및 암모니아 흐름 제어기(212)에 의해 조절되며, 이들은 챔버 제어기(204)와 통신할 수 있다. 흐름 제어기(212)는 질량 흐름 또는 체적 흐름 제어기일 수 있다. 수증기는 인젝터(221)를 통해 도관(227)을 경유하여 프로세스 영역(224)에 전달되는 수증기 공급원(207)에 의해 제공된다. 수증기의 흐름은 워터 밸브(210), 및 질량 흐름 또는 체적 흐름 제어기일 수 있는 물 흐름 제어기(213)에 의해 조절된다. 밸브(210) 및 흐름 제어기(213)는 챔버 제어기(204)와 통신하고 있을 수 있다. 도 2에 도시된 바와 같이, 암모니아 및 수증기는 별개의 도관들(225 및 227)을 통해 따로따로 챔버에 전달될 수 있다. 그러나, 기체들을 챔버 내에 도입하기 전에 암모니아 및 수증기를 혼합하고, 그들을 단일 도관 내에서 전달하는 것도 본 발명의 범위 내에 있다.
불활성 기체 공급원(208)은 배기 시스템(218)을 통해 반응물 및/또는 부산물을 챔버 바디로부터 제거하기 위해, 불활성 기체를 불활성 기체 도관(229)을 통해 퍼지 가스로서 제공하기 위해 이용될 수 있다. 추가로, 불활성 기체는 불활성 기체를 암모니아 공급원 또는 수증기 공급원 중 하나 또는 둘 다와 혼합함으로써 반응물들을 챔버 내로 전달하기 위한 캐리어 가스로서 이용될 수 있다. 불활성 기체가 캐리어 기체로서 이용되는 경우, 불활성 기체 도관은 불활성 기체 도관(229)을 암모니아 기체 도관(225) 및/또는 수증기 도관(227) 중 하나 또는 둘 다와 접속하기 위한 적절한 상호접속부(도시되지 않음)를 포함한다. 적절한 상호접속부들은 챔버 제어기(204)와 통신하는 밸브 및/또는 흐름 제어기(도시되지 않음)를 포함한다. 불활성 기체 밸브(211)는 챔버 바디로의 불활성 기체의 흐름을 조절한다. 흐름 제어기(233)는 또한 챔버 내로의 불활성 기체의 흐름을 조절하기 위해 이용될 수 있다.
온도 제어기(205)는 수증기 시스템(207), 챔버 리드(201) 및 챔버 벽(202)을 위한 가열 소자, 또는 챔버 플레이트(203)를 위한 가열 및/또는 냉각 소자와 같은, 시스템의 다양한 가열 및 냉각 소자를 제어할 수 있다.
배기 시스템(218)은 챔버 바디로부터 기체를 제거한다. 배기 도관(231)을 통해 챔버에 접속되는 배기 라인(217)과 유체 소통하는 펌프(228)는 수산화 프로세스가 완료될 때 수산화 프로세스의 과잉 반응물 및 부산물을 프로세스 영역(224)으로부터 제거한다. 격리 밸브(216)는 챔버 바디를 펌프(228)로부터 격리하기 위해 이용될 수 있다. 스로틀 밸브(215)는 프로세스 영역(224) 내에서 요구되는 상대 습도를 달성하도록 챔버 바디 내의 압력을 조절하기 위해 이용될 수 있다. 따라서, 프로세스 영역 내에서 요구되는 상대 습도를 제공하고 기판을 수산화하도록 물의 부분 압력을 제어하기 위해, 압력 및/또는 온도가 조절 또는 수정될 수 있음을 이해할 것이다. 상대 습도는 특정 온도에서의 수분 포화 압력에 대한 수분 부분 압력의 백분율을 지칭한다. 특정 실시예들에서, 물의 증기압은 기판의 온도에서의 포화된 증기압의 20%이다. 다른 특정 실시예들에서, 물의 포화 증기압은 기판의 온도에서의 포화 증기압의 40%, 60% 또는 80%이다.
챔버 바디, 인젝터, 웨이퍼 지지체 및 리프팅 메커니즘은 수산화를 위한 장치에 대하여 앞에서 설명된 피쳐들 중 임의의 것을 가질 수 있다.
위에서 설명된 바와 같이, 암모니아와 물이 반응하여, 부식성 환경인 수산화 암모늄을 형성한다. 따라서, 소정 실시예들에 따르면, 수산화 암모늄에 노출되는 컴포넌트들은 열화에 저항성이 있는 재료들로 구성되어야 한다. 그러한 재료들은 스테인레스 스틸, 석영 및 폴리테트라플루오로에틸렌을 포함하지만, 그에 한정되지는 않는다.
수증기 공급원은 수산화에서 이용될 수증기를 제공하며, 기판 표면 상에서 수산화 반응을 초래하는 데에 적합한 챔버 프로세스 영역에 수증기를 전달할 수 있는 임의의 시스템을 포함할 수 있다. 수증기는 수증기 발생 시스템에 의해 생성될 수 있거나, 다른 소스에서 생성되어 시스템에 제공될 수 있다. 소정 실시예들에 따르면, 수증기는 거품으로 되거나 기화되는 수분 앰플(water ampoule)에 의해 생성된다. 따라서, 소정 실시예들은 수증기 공급원이 액상 수분 소스, 및 수분 소스에 접속된 기체 소스를 포함하여 기체가 물을 통해 거품을 만들어서 수증기를 형성하는 것을 제공한다.
대안적으로, 수증기는 물을 원자화 또는 기화함으로써 생성될 수 있다. 소정 실시예들에서, 시스템은 물을 담고 있는 컨테이너, 및 벤추리 효과(Venturi effect)에 의존하는 네뷸라이저 또는 노즐과 같은 물 원자화기(water atomizer)를 포함한다. 다른 실시예들에서, 수증기 공급원은 액상 수분 소스, 및 펠티에 제어기에 의해 제어되고 챔버 제어기(204)와 통신하는 하나 이상의 펠티에 디바이스와 같은 가열 소자를 포함한다. 또 다른 실시예에서, 수증기는 수소 및 산소 기체를 이용하는 유닛에 의해 생성될 수 있다.
하나 이상의 실시예에서, 암모니아 흐름 제어기(212), 수증기 흐름 제어기(213), 온도 제어기(205) 및 펠티에 제어기와 같은 시스템의 다양한 요소들은 시스템의 I/O 제어를 제공하는 챔버 제어기(204)에 의해 제어된다. 따라서, 챔버 제어기(204)는 다양한 제어기와 유선 또는 무선 통신하는 CPU(234), 메모리(235) 및 I/O(236)를 포함할 수 있다. CPU(234)는 인젝터(221)로의 암모니아 및 수증기의 흐름을 제어하기 위해, 암모니아 흐름 제어기(212) 및 수증기 제어기(213)에 대한 신호를 송신 및 수신한다. CPU(234)는 또한 챔버 프로세스 영역 내의 압력을 제어하기 위해 스로틀 밸브(215)에 신호를 송수신하여, 스로틀 밸브(215)가 시스템의 압력 제어 밸브로서 동작하게 한다. CPU(234)는 또한 격리 밸브(216) 및 펌프(228)와 통신하여, 챔버로부터의 배기 흐름을 더 제어할 수 있다.
CPU는 다양한 챔버 및 서브-프로세서를 제어하기 위해 산업용 세팅에서 이용될 수 있는 임의의 형태의 컴퓨터 프로세서 중 하나일 수 있다. 따라서, CPU는 랜덤 액세스 메모리(RAM), 판독 전용 메모리(ROM), 플래시 메모리, 컴팩트 디스크, 플로피 디스크, 하드 디스크, 또는 임의의 다른 형태의 로컬 또는 원격 디지털 저장소와 같은 쉽게 이용가능한 메모리 중 하나 이상일 수 있는 메모리(235)에 연결될 수 있다. 지원 회로(도시되지 않음)는 종래의 방식으로 CPU를 지원하기 위해 CPU에 연결될 수 있다. 이러한 회로들은 캐시, 전력 공급부, 클럭 회로, 입력/출력 회로망, 서브시스템 등을 포함한다. CPU(234) 및 메모리(235)는 시스템의 다양한 제어기들과 통신하기 위해 적절한 I/O 회로(236)에 연결된다.
제어 시스템은 머신 실행가능한 명령어들의 세트를 갖는 컴퓨터 판독가능한 매체를 더 포함할 수 있다. 이러한 명령어들은 CPU에 의해 실행될 때, 시스템이 앞에서 설명된 방법들 중 임의의 것을 수행하게 하는 것일 수 있다. 일 실시예에서, 명령어들은 기판의 표면을 수증기 및 암모니아에 동시에 노출시켜 수산화된 기판 표면을 제공하는 단계를 포함하는 방법에 관한 것이다. 다른 실시예에서, 명령어들은 기판의 표면을 수증기 및 암모니아에 동시에 노출시켜 수산화된 기판을 제공하는 단계; 수산화된 기판을 수산화 챔버로부터 이송 챔버로 이동시키는 단계; 수산화된 기판을 이송 챔버로부터 퇴적 챔버로 이동시키는 단계; 및 수산화된 기판 상에 막을 퇴적하는 단계를 포함하는 방법에 관한 것이다.
수산화 시스템은 수산화 챔버에 더하여 다른 챔버들을 더 포함할 수 있다. 이러한 챔버들은 이송 챔버, 및 퇴적 챔버 및 에칭 챔버와 같은 추가의 프로세싱 챔버들을 포함할 수 있다. 이러한 챔버들은 "클러스터 툴 시스템" 내에서 상호접속될 수 있다.
일반적으로, 클러스터 툴은 기판 중심 찾기 및 배향, 디가스(degassing), 어닐링, 퇴적 및/또는 에칭을 포함하는 다양한 기능을 수행하는 복수의 챔버를 포함하는 모듈 시스템이다. 본 발명의 실시예에 따르면, 클러스터 툴은 본 발명의 수산화 프로세스를 수행하도록 구성된 수산화 챔버를 적어도 포함한다. 클러스터 툴의 복수의 챔버는 챔버들 사이에서 기판들을 왕복시키도록 적응된 로봇을 하우징하는 중앙 이송 챔버에 탑재된다. 이송 챔버는 전형적으로 진공 조건에서 유지되며, 기판들을 하나의 챔버로부터 클러스터 툴의 선단에 위치된 로드 록 챔버 및/또는 다른 챔버로 왕복시키기 위한 중간 스테이지를 제공한다. 본 발명에 적응될 수 있는 2가지의 공지된 클러스터 툴은 Centura® 및 Endura®이며, 이들 둘 다는 캘리포니아 주 산타클라라의 Applied Materials, Inc.로부터 입수가능하다. 그러한 스테이지화된 진공 기판 프로세싱 시스템 중 하나의 상세는 1993년 2월 16일에 발행된 Tepman 등의 미국 특허 제5,186,718호 "Staged-Vacuum Wafer Processing System and Method"에 개시되어 있다. 그러나, 챔버들의 정확한 배열 및 조합은 여기에 설명되는 것과 같은 프로세스의 특정 단계들을 수행할 목적으로 변경될 수 있다.
도 3은 본 발명의 양태와 함께 이용될 수 있는 클러스터 툴 또는 멀티-챔버 프로세싱 시스템(310)의 예를 보여준다. 프로세싱 시스템(310)은 기판들을 시스템(310)의 내외로 이송하기 위한 하나 이상의 로드 록 챔버(312, 314)를 포함할 수 있다. 전형적으로, 시스템(310)이 진공 하에 있으므로, 로드 록 챔버들(312, 314)은 시스템(310) 내에 도입되는 기판들을 "펌프 다운"할 수 있다. 제1 로봇(20)은 기판들을 로드 록 챔버들(312, 314)과 하나 이상의 기판 프로세싱 챔버(332, 334, 336, 338)의 제1 세트 사이에서 이송할 수 있다. 각각의 프로세싱 챔버(332, 334, 336, 338)는 다수의 기판 프로세싱 동작을 수행하도록 구성될 수 있다. 예를 들어, 프로세싱 챔버(332)는 에치 프로세스를 실시하도록 설계된 에치 프로세서일 수 있으며, 프로세싱 챔버(334)는 ALD 또는 CVD를 수행하기 위한 퇴적 반응 챔버, 또는 기판 상에 열 산화 층을 형성하도록 설계된 급속 열 프로세싱(RTP) 또는 RadOx® 챔버일 수 있다. 프로세싱 챔버들(336, 338)은 또한 예를 들어 CLD(cyclical layer deposition), ALD(atomic layer deposition), CVD(chemical vapor deposition), PVD(physical vapor deposition), 에칭, 사전 세정(pre-clean), 화학적 세정, RTP와 같은 열 처리, 플라즈마 질화(plasma nitridation), 디가스, 배향, 수산화 및 다른 기판 프로세스를 더 제공하도록 구성될 수 있다.
제1 로봇(20)은 또한 하나 이상의 이송 챔버(342, 344)로/로부터 기판들을 이송할 수 있다. 이송 챔버들(342, 344)은 기판들이 시스템(310) 내에서 이송될 수 있게 하면서 진공 조건을 유지하기 위해 이용될 수 있다. 제2 로봇(50)은 기판들을 이송 챔버들(342, 344)과 하나 이상의 프로세싱 챔버(362, 364, 366, 368)의 제2 세트 사이에서 이송할 수 있다. 프로세싱 챔버들(332, 334, 336, 338)과 마찬가지로, 프로세싱 챔버들(362, 364, 366, 368)은 CLD(cyclical layer deposition), ALD(atomic layer deposition), CVD(chemical vapor deposition), PVD(physical vapor deposition), 에피텍시얼 퇴적, 에칭, 사전 세정, 화학적 세정, RTP/RadOx®와 같은 열 처리, 플라즈마 질화, 디가스 및 배향에 더하여, 에칭 프로세스들을 포함하는 다양한 기판 프로세싱 동작들을 수행하도록 구성될 수 있다. 기판 프로세싱 챔버들(332, 334, 336, 338, 362, 364, 366, 368) 중 임의의 것은 필요하지 않다면 시스템(310)으로부터 제거될 수 있다.
이러한 프로세스를 클러스터 툴 상의 챔버 내에서 수행함으로써, 대기 불순물에 의한 기판의 표면 오염이 회피되고, 동시에 습식 화학 처리(wet chemical treatment)로부터의 증가된 핵 형성의 이점을 유지한다.
캘리포니아 주 산타 클라라의 Applied Materials, Inc.는 CMOS 트랜지스터 게이트를 위한 얇은 실리콘 이산화물 층을 형성하기 위해 RadOx®라고 지칭되는 프로세스를 포함하는 기판 프로세싱 챔버를 제공한다. RadOx® 프로세스는 램프로 기판을 가열하고, 수소 및 산소를 프로세스 챔버에 주입한다. 이러한 기체들은 기판 표면에 충돌할 때 라디컬을 형성한다. 라디컬은 중성 종들(neutral species)보다 반응성이 높아서, ISSG(In Situ Steam Generated) 산화물 성장이라고 알려진 스트림 프로세스들을 이용할 때 얻을 수 있는 것보다 더 빠른 층 성장율을 제공한다.
적합한 에칭 또는 세정 챔버는 습식 또는 건식 에칭, 반응성 이온 에칭(RIE) 또는 그와 유사한 것을 위해 구성될 수 있다. 예시적인 에칭 챔버들은 역시 캘리포니아 주 산타클라라의 Applied Materials, Inc.로부터 입수가능한 SICONITM Producer® 또는 CarinaTM 챔버를 포함한다. 제한이 아닌 예시적인 하나의 건식 에칭 프로세스는 암모니아(NH3) 또는 질소 삼불화물(NF3) 기체, 또는 무수 불화 수소(HF) 기체와 원격 플라즈마의 혼합을 포함할 수 있는데, 이는 저온(예를 들어, 약 30℃)에서 SiO2에 집광되고, SiO2를 에칭하기 위해 적당한 온도(예를 들어, >100℃)에서 승화될 수 있는 화합물을 형성하도록 반응한다. 그러한 예시적인 에칭 프로세스는 시간에 따라 감소되어, 결국에는 화합물의 부분들이 (예를 들어, 위에서 설명된 승화 프로세스에 의해) 제거되지 않으면 더 이상의 에칭이 발생하지 않는 지점까지 포화할 수 있다. 에칭 프로세스는 상술한 메커니즘을 이용하여, 및/또는 시간이 정해진 에칭 프로세스(예를 들어, 미리 결정된 기간 동안 에칭)에 의해 제어될 수 있다. 예시적인 습식 에칭 프로세스는 불화 수소(HF) 또는 그와 유사한 것을 포함할 수 있다. 예시적인 플라즈마 또는 원격 플라즈마 에칭 프로세스들은 CF4(carbon tetrafluoride), CHF3(trifluoromethane), SF6(sulfur hexafluoride), H2(hydrogen) 또는 그와 유사한 것과 같은 하나 이상의 에천트를 포함할 수 있으며, 가열 척(heating chuck)을 이용하거나 이용하지 않고서 수행될 수 있다.
특정 실시예들에서, 로봇(20)이 기판을 로드 록 챔버들(312, 314) 중 하나로부터 건식 에칭 또는 세정 챔버, 예를 들어 SICONITM 챔버로 이동시키는 제1 단계를 포함하는 프로세스가 수행된다. 건식 에칭 또는 세정 프로세스 후에, 기판은 제2 단계에서 로드 록 챔버(312, 314)로 되돌아가거나, 열 처리를 위해 급속 열 프로세싱 챔버 또는 RadOx® 챔버에 직접 이송될 수 있다. 그 후에, 제3 단계에서, 로봇(20)은 기판을 로드 록 챔버들(312, 314) 중 하나에, 또는 수산화 챔버로 직접 이동시킬 수 있다. 대안적으로, 제3 단계에서, 기판은 RTP 또는 RadOx® 챔버 후에 건식 세정 또는 에칭 챔버로, 또는 중간-K 유전체를 형성하기 위한 퇴적 챔버로 이동될 수 있다. 제3 단계에서의 수산화 챔버, RTP/RadOx® 챔버 또는 중간 K 유전체의 퇴적에서의 프로세싱 후에, 제4 단계는 중간 K 유전체 또는 고-K 유전체의 퇴적을 수반할 수 있다. 제5 단계는 고-K 유전체의 퇴적, 또는 제4 단계에서 형성되는 고-K 유전체의 플라즈마 질화, 또는 RTP 또는 수산화를 포함할 수 있다. 제6 및 제7 단계는 RTP/RadOx®에서의 프로세싱 및 플라즈마 질화, 또는 중간 K 유전체 또는 고-K 유전체와 같은 추가 유전체 층의 형성을 포함할 수 있다.
클러스터 툴 내에서 수행되는 프로세스의 특정 실시예에서, 제1 단계는 건식 에칭/세정을 수반하고, 제2 단계는 RTP 챔버에서의 프로세싱을 포함하고, 제3 단계는 건식 에칭/세정 챔버에서의 프로세싱을 포함하고, 제4 단계는 여기에 설명된 것과 같은 수산화 챔버에서의 프로세싱을 포함하고, 제5 단계는 고-K 유전체의 퇴적을 수반한다.
적합한 고-K 게이트 유전체 재료의 예는 하프늄 산화물, 란타넘 산화물, 란타넘 알루미늄 산화물, 지르코늄 산화물, 지르코늄 실리콘 산화물, 티타늄 산화물, 탄탈륨 산화물, 이트륨 산화물 및 알루미늄 산화물을 포함한다. 중간 K 유전체는 실리콘 및/또는 게르마늄과 같은 원소들로 고-K 유전체를 도핑함으로써 제공될 수 있다.
제어기(353)는 다양한 서브프로세서 및 서브컨트롤러를 제어하기 위해 산업용 세팅에서 이용될 수 있는 임의의 형태의 범용 데이터 프로세싱 시스템 중 하나일 수 있다. 일반적으로, 제어기(353)는 다른 공통의 컴포넌트들 중에서도, 메모리(355) 및 입력/출력(I/O) 회로망(356)과 통신하는 중앙 프로세싱 유닛(CPU)(354)을 포함한다.
본 명세서 전반에서 "일 실시예", "소정 실시예", "하나 이상의 실시예" 또는 "실시예"에 대한 언급은 그 실시예에 관련하여 설명된 특정한 피쳐, 구조, 재료 또는 특성이 본 발명의 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서 전반의 다양한 위치에서 "하나 이상의 실시예에서", "소정 실시예들에서", "일 실시예에서" 또는 "실시예에서"와 같은 문구가 나온다고 해서, 반드시 본 발명의 동일한 실시예를 지칭하는 것은 아니다. 또한, 특정한 피쳐, 구조, 재료 또는 특성은 하나 이상의 실시예에서 임의의 적절한 방식으로 결합될 수 있다. 상술한 방법의 설명 순서는 제한으로서 해석되어서는 안 되며, 방법들은 설명된 동작들을 순서에 어긋나게, 또는 생략하거나 추가하여 이용할 수 있다.
상술한 설명은 제한적인 것이 아니라 예시로서 의도된 것임을 이해해야 한다. 본 기술분야의 통상의 지식을 가진 자는 상술한 설명을 검토하고서 다수의 다른 실시예들을 분명히 알 것이다. 그러므로, 본 발명의 범위는 첨부된 청구항들을, 그러한 청구항들에 부여되는 균등물들의 전체 범위와 함께 참조하여 결정되어야 한다.

Claims (15)

  1. 기판 표면을 수산화(hydroxylate)하기 위한 장치로서,
    챔버 벽, 챔버 플레이트 및 챔버 리드(chamber lid)를 갖는 챔버 바디 - 상기 챔버 벽, 상기 챔버 플레이트 및 상기 챔버 리드는 챔버 프로세스 영역을 정의함 -;
    상기 챔버 프로세스 영역 내에 배치된 웨이퍼 지지체;
    상기 챔버 바디 내에 위치되어, 상기 기판을 상기 웨이퍼 지지체 위로 하강시키고 상기 기판을 상기 웨이퍼 지지체로부터 상승시키는 리프팅 메커니즘; 및
    상기 챔버 바디 내의 상기 기판을 수산화 암모늄에 노출하여 상기 기판을 수산화시키도록, 상기 챔버 프로세스 영역에 아민(amine) 및 수산화물을 전달하는 하나 이상의 인젝터
    를 포함하고,
    상기 챔버 바디, 상기 웨이퍼 지지체, 상기 리프팅 메커니즘 및 상기 하나 이상의 인젝터는 수산화 암모늄에 의한 열화에 저항성이 있는 재료들을 포함하는 장치.
  2. 제1항에 있어서, 상기 수산화 암모늄에 의한 열화에 저항성이 있는 재료들은 스테인레스 스틸, 석영 및 폴리테트라플루오로에틸렌(polytetrafluoroethylene) 중 하나 이상을 포함하는 장치.
  3. 제1항에 있어서, 상기 리프팅 메커니즘은 주변 프레임(peripheral frame)을 포함하며, 상기 주변 프레임은 상기 프레임을 상승 및 하강시키는 모터와 체결되는 장치.
  4. 제3항에 있어서, 상기 프레임은 상기 주변 프레임 둘레에 이격된 복수의 내향 핑거(inwardly-directed fingers)를 포함하는 장치.
  5. 제4항에 있어서, 상기 리프팅 메커니즘은, 상기 프레임 내에 삽입되어 상기 프레임과 상기 기판의 포인트 컨택트를 가능하게 하는 복수의 세라믹 스탠드오프(ceramic standoffs)를 더 포함하는 장치.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서, 상기 웨이퍼 지지체는, 상기 챔버 플레이트 내에 삽입되어 상기 기판과의 복수의 포인트 컨택트를 가능하게 하는 복수의 세라믹 볼을 포함하는 장치.
  7. 제1항 내지 제5항 중 어느 한 항에 있어서, 상기 장치는, 암모니아와 물이 상기 챔버 리드 및 상기 챔버 벽 부근에서 반응하지 않고 암모니아와 물이 상기 웨이퍼 지지체 상의 기판 부근에서 반응하도록, 상기 챔버 리드 및 상기 챔버 벽 부근의 온도를 유지하는 가열 시스템을 더 포함하는 장치.
  8. 제7항에 있어서, 상기 장치는 상기 챔버 리드 및 상기 챔버 벽에 인접하여 상기 챔버 리드 및 상기 챔버 벽 부근의 온도를 상승시키는 가열 소자, 및 상기 챔버 플레이트 부근의 온도를 상승 또는 하강시키는 열 소자(thermal element)를 더 포함하는 장치.
  9. 기판 표면을 수산화하기 위한 장치로서,
    챔버 벽, 챔버 플레이트 및 챔버 리드를 갖는 챔버 바디 - 상기 챔버 벽, 상기 챔버 플레이트 및 상기 챔버 리드는 챔버 프로세스 영역을 정의함 -;
    상기 챔버 프로세스 영역 내에 배치된 웨이퍼 지지체;
    상기 챔버 바디 내에 위치되어, 상기 기판을 상기 웨이퍼 지지체 위로 하강시키고 상기 기판을 상기 웨이퍼 지지체로부터 상승시키는 리프팅 메커니즘;
    상기 챔버 바디 내의 상기 기판을 수산화 암모늄에 노출하여 상기 기판을 수산화시키도록, 상기 챔버 프로세스 영역에 아민 및 수산화물을 전달하는 하나 이상의 인젝터 - 상기 챔버 바디, 상기 웨이퍼 지지체, 상기 리프팅 메커니즘 및 상기 하나 이상의 인젝터는 수산화 암모늄에 의한 열화에 저항성이 있는 재료들을 포함함 -; 및
    상기 챔버 벽 내에 배치된 이송 밸브(transfer valve) - 상기 이송 밸브는, 기판이 상기 프로세스 영역 내로 로딩되고, 상기 프로세스 영역으로부터 상기 이송 밸브에 인접한 이송 챔버로 로딩되는 것을 허용함 -
    를 포함하는 장치.
  10. 제9항에 있어서, 상기 이송 밸브는 상기 이송 밸브가 개방 위치에 있을 때 퍼지 가스(purge gas)를 흐르게 하는 퍼지 가스 인젝터를 포함하는 장치.
  11. 제9항 또는 제10항에 있어서, 상기 리프팅 메커니즘은 주변 프레임 - 상기 주변 프레임은 상기 프레임을 상승 및 하강시키는 모터와 체결됨 -, 및 상기 프레임 내에 삽입되어 상기 프레임과 상기 기판의 포인트 컨택트를 가능하게 하는 복수의 세라믹 스탠드오프를 포함하는 장치.
  12. 기판 표면을 수산화하기 위한 장치로서,
    챔버 벽, 챔버 플레이트 및 챔버 리드를 갖는 챔버 바디 - 상기 챔버 벽, 상기 챔버 플레이트 및 상기 챔버 리드는 챔버 프로세스 영역을 정의함 -;
    상기 챔버 프로세스 영역 내에 배치된 웨이퍼 지지체;
    상기 챔버 바디 내에 위치되어, 상기 기판을 상기 웨이퍼 지지체 위로 하강시키고 상기 기판을 상기 웨이퍼 지지체로부터 상승시키는 리프팅 메커니즘;
    상기 챔버 바디 내의 상기 기판을 수산화 암모늄에 노출하여 상기 기판을 수산화시키도록, 상기 챔버 프로세스 영역에 아민 및 수산화물을 전달하는 하나 이상의 인젝터; 및
    상기 챔버 내에서 프로세싱될 때 기판의 표면을 수산화하도록 상기 프로세스 영역 내에 요구되는 상대 습도를 제공하기 위해, 상기 챔버 내의 아민 및 수산화물의 흐름을 조절하고 상기 챔버 내의 온도를 제어하는 챔버 제어기
    를 포함하는 장치.
  13. 제12항에 있어서, 상기 챔버 바디, 상기 웨이퍼 지지체, 상기 리프팅 메커니즘 및 상기 하나 이상의 인젝터는 수산화 암모늄에 의한 열화에 저항성이 있는 재료들을 포함하는 장치.
  14. 제13항에 있어서, 상기 수산화 암모늄에 의한 열화에 저항성이 있는 재료들은 스테인레스 스틸, 석영 및 폴리테트라플루오로에틸렌 중 하나 이상을 포함하는 장치.
  15. 제12항 내지 제14항 중 어느 한 항에 있어서, 상기 장치는, 암모니아와 물이 상기 챔버 리드 및 상기 챔버 벽 부근에서 반응하지 않고 암모니아와 물이 상기 웨이퍼 지지체 상의 기판 부근에서 반응하도록, 상기 챔버 리드 및 상기 챔버 벽 부근의 온도를 유지하는 가열 시스템을 더 포함하는 장치.
KR1020147012106A 2011-10-05 2012-02-03 인-시튜 수산화 장치 KR101970378B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161543642P 2011-10-05 2011-10-05
US61/543,642 2011-10-05
US13/364,910 2012-02-02
US13/364,910 US20130087099A1 (en) 2011-10-05 2012-02-02 In-Situ Hydroxylation Apparatus
PCT/US2012/023810 WO2013052145A1 (en) 2011-10-05 2012-02-03 In-situ hydroxylation apparatus

Publications (2)

Publication Number Publication Date
KR20140077192A KR20140077192A (ko) 2014-06-23
KR101970378B1 true KR101970378B1 (ko) 2019-04-18

Family

ID=48041240

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147012106A KR101970378B1 (ko) 2011-10-05 2012-02-03 인-시튜 수산화 장치

Country Status (4)

Country Link
US (1) US20130087099A1 (ko)
KR (1) KR101970378B1 (ko)
TW (3) TWI674625B (ko)
WO (1) WO2013052145A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10655219B1 (en) * 2009-04-14 2020-05-19 Goodrich Corporation Containment structure for creating composite structures
CN105405801B (zh) * 2014-09-11 2018-02-06 沈阳芯源微电子设备有限公司 一种半导体热盘上的陶瓷球微调装置
DE102016101003A1 (de) 2016-01-21 2017-07-27 Aixtron Se CVD-Vorrichtung mit einem als Baugruppe aus dem Reaktorgehäuse entnehmbaren Prozesskammergehäuse

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040182315A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW204411B (ko) * 1991-06-05 1993-04-21 Tokyo Electron Co Ltd
US6124158A (en) * 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US7037574B2 (en) * 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US6858532B2 (en) * 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US7942969B2 (en) * 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
KR100970113B1 (ko) * 2008-05-08 2010-07-15 주식회사 테스 기판 리프트 어셈블리
US20090305515A1 (en) * 2008-06-06 2009-12-10 Dustin Ho Method and apparatus for uv curing with water vapor
JP5518499B2 (ja) * 2009-02-17 2014-06-11 株式会社日立国際電気 半導体デバイスの製造方法および基板処理装置
KR20110057645A (ko) * 2009-11-24 2011-06-01 삼성전자주식회사 절연막 형성 방법 및 이를 포함하는 트랜지스터 형성 방법
US20120201959A1 (en) * 2011-02-04 2012-08-09 Applied Materials, Inc. In-Situ Hydroxylation System

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040182315A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system

Also Published As

Publication number Publication date
TW201820456A (zh) 2018-06-01
KR20140077192A (ko) 2014-06-23
WO2013052145A1 (en) 2013-04-11
TWM610611U (zh) 2021-04-21
US20130087099A1 (en) 2013-04-11
TW201316395A (zh) 2013-04-16
TWI674625B (zh) 2019-10-11

Similar Documents

Publication Publication Date Title
US10825679B2 (en) Selective growth of SIO2 on dielectric surfaces in the presence of copper
US10490413B2 (en) Selective growth of silicon nitride
US20120201959A1 (en) In-Situ Hydroxylation System
JP2020510314A (ja) 酸化シリコンの存在下でのシリコン表面上の酸化シリコンまたは窒化シリコンの選択的成長
US11972952B2 (en) Atomic layer deposition on 3D NAND structures
CN110581067A (zh) 蚀刻方法及蚀刻装置
US7335266B2 (en) Method of forming a controlled and uniform lightly phosphorous doped silicon film
US10224212B2 (en) Isotropic etching of film with atomic layer control
TW201327672A (zh) 乾蝕刻製程
JP2008311631A (ja) 化学気相堆積プロセスを用いて高k誘電物質を堆積させる方法
WO2018160493A1 (en) Selective etch of metal nitride films
US20220275510A1 (en) Thermal atomic layer deposition of silicon-containing films
KR20090027162A (ko) 제어된 결정 구조를 갖는 다층 실리콘막들 및 도펀트들의 이용을 통한 다결정성 폴리실리콘 막들 및 주변층들의 변형
KR20200123482A (ko) 챔퍼리스 (chamferless) 비아 통합 스킴 (scheme)
WO2005096358A1 (en) A silicon germanium surface layer for high-k dielectric integ ration
JP4979578B2 (ja) 単一ウエハチャンバを用いたナノ結晶シリコンの堆積
US9093264B2 (en) Methods and apparatus for forming silicon passivation layers on germanium or III-V semiconductor devices
KR101970378B1 (ko) 인-시튜 수산화 장치
JP2024500134A (ja) ホウ素膜の堆積
KR20210076997A (ko) 3d nand 집적에 대해 개선된 에칭 선택도를 가지는 나이트라이드 막들
TWI847071B (zh) 沉積膜的方法
WO2023230296A1 (en) Single wafer reactor, low temperature, thermal silicon nitride deposition
KR20240090473A (ko) 금속 질화물 막들을 형성하는 방법들
TW201237957A (en) In-situ hydroxylation system

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right