TWM610611U - 羥化基材表面的裝置 - Google Patents

羥化基材表面的裝置 Download PDF

Info

Publication number
TWM610611U
TWM610611U TW109208699U TW109208699U TWM610611U TW M610611 U TWM610611 U TW M610611U TW 109208699 U TW109208699 U TW 109208699U TW 109208699 U TW109208699 U TW 109208699U TW M610611 U TWM610611 U TW M610611U
Authority
TW
Taiwan
Prior art keywords
chamber
substrate
wafer support
lifting mechanism
peripheral frame
Prior art date
Application number
TW109208699U
Other languages
English (en)
Inventor
肯瑞克 喬伊
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TWM610611U publication Critical patent/TWM610611U/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本文描述用於使用氨和水蒸氣來羥化基材表面的裝置。所欲解決之問題之一為如何改善基材表面的羥化。解決問題之技術手段之一為一種裝置,該裝置包含:腔室主體,該腔室主體具有腔室壁、腔室板與腔室蓋,該腔室壁、該腔室板與該腔室蓋界定腔室製程區域;晶圓支撐件,該晶圓支撐件設置在腔室製程區域內,用以避免基材直接地接觸該腔室板;升降機構;及一或更多個注射器,該一或更多個注射器經配置以將胺類與氫氧化物散佈至該腔室製程區域內,以使該基材暴露於氫氧化銨,其中該腔室主體、該晶圓支撐件、該升降機構與該一或更多個注射器包含對由氫氧化銨造成的降解有抗性的材料。

Description

羥化基材表面的裝置
本創作的實施例大體上關於用以在基材的表面上產生羥基的羥化基材表面的裝置。
在各種工業(包括半導體處理、擴散阻障塗層與用於磁性讀寫頭的介電質)中,薄膜在基材表面上的沉積是重要的製程。在半導體工業中,小型化係涉及薄膜沉積的原子位準控制,以在高深寬比結構上產生共形塗層。一種用於薄膜沉積而具有原子層控制和共形沉積的方法是原子層沉積(ALD),ALD利用依序的自我限制表面反應來形成精確厚度受到控制在埃或單一層位準的多個層。大部分的ALD製程是基於二元反應順序,二元反應順序係沉積二元化合物膜。此兩個表面反應的各者依序地發生,並且由於此兩個表面反應是自我限制的,可沉積具有原子位準控制的薄膜。由於表面反應是依序的,兩個氣相反應物不會接觸,並且會形成且沉積顆粒的可能氣相反應是受到限制的。表面反應的自我限制本質亦容許反應在每個反應循環期間能被驅動至完成,而造成了連續且無針孔的膜。
已經使用ALD來在基材表面上沉積金屬與金屬化合物。Al 2O 3沉積是典型ALD製程的實例,說明了ALD的依序且自我限制反應的特徵。傳統上,Al 2O 3ALD使用三甲基鋁(TMA,常稱為反應「A」或「A」前驅物)與H 2O(常稱為反應「B」或「B」前驅物)。在二元反應的步驟A中,羥表面物種和氣相TMA反應,以在氣相中產生表面受限的AlOAl(CH 3) 2與CH 4。此反應是藉由表面上的多個反應位置而被自我限制。在二元反應的步驟B中,表面受限化合物的AlCH 3和氣相H 2O反應,以對表面與氣相中的CH 4產生AlOH鍵結。此反應是藉由表面受限的AlOAl(CH 3) 2上的有限多個可取得的反應位置而被自我限制。後續的A與B的循環、在反應之間與在反應循環之間淨化氣相反應產物與未反應的氣相前驅物係造成以基本上線性方式的Al 2O 3生長而獲得期望的膜厚度。
然而,許多ALD反應需要反應性「柄狀物(handle)」的存在以使ALD前驅物和基材表面反應。一種增加此類反應性的方式是藉由將-OH(羥)基添加到基材表面。一種先前已知的羥化的方法係涉及將基材沉浸在含有液體氨和水的浴中。此製程使界面層表面富含有-OH,但具有當晶圓從浴被傳送到處理腔室以用於膜形成時使晶圓暴露於大氣的缺失。對於一些膜,諸如高-k介電膜(例如氧化鉿),暴露於空氣會劣化被併入有介電膜的元件的滯後現象(hysteresis)。氨與水的混合形成氫氧化銨,氫氧化銨是具有腐蝕性且會降解許多金屬的強鹼。因此,由於預期的金屬部件的降解,涉及氨與水的混合的製程尚未被執行在處理腔室中。
所以,存在一種需求,該需求係提供能改善可取得的基材表面的羥化製程的方法。
本創作的一個態樣關於一種羥化基材表面的裝置。在此態樣的一或更多個實施例中,該裝置包含:腔室主體,該腔室主體具有腔室壁、腔室板與腔室蓋,該腔室壁、該腔室板與該腔室蓋界定腔室製程區域,基材可被放置在該腔室製程區域中以羥化該基材的表面;晶圓支撐件,該晶圓支撐件設置在該腔室製程區域內,該晶圓支撐件可避免被放置在該腔室製程區域中的基材直接地接觸該腔室板;升降機構,該升降機構設置在該處理腔室內,以將該基材降低到該晶圓支撐件上與將該基材升高離開該晶圓支撐件;及一或更多個注射器,其中當該一或更多個注射器連接到胺類供應與氫氧化物供應時,該一或更多個注射器將胺類與氫氧化物散佈在該腔室製程區域內以使該腔室中的基材暴露於氫氧化銨而羥化該基材。根據一或更多個實施例,該腔室主體、該晶圓支撐件、該升降機構與該一或更多個注射器包含可抵抗由氫氧化銨造成的降解的材料。
特定實施例係提供可抵抗由氫氧化銨造成的降解的該些材料包含不銹鋼、石英與聚四氟乙烯的一或更多者。在特定實施例中,可抵抗由氫氧化銨造成的降解的該些材料包含不銹鋼。
在一或更多個實施例中,該升降機構包含至少周邊框架。根據一或更多個實施例,該周邊框架和馬達嚙合以升高與降低該框架。特定實施例係提供該周邊框架至少部分圍繞地環繞基材。在進一步實施例中,該框架包含繞著該周邊框架而隔開的複數個向內指向的指件。又進一步實施例係提供該升降機構更包含複數個陶瓷間隙物,該些陶瓷間隙物被嵌設在該框架內,以使該框架和該基材的點接觸成為可能。根據特定實施例,該陶瓷包含氮化矽。
根據一或更多個實施例,該晶圓支撐件包含複數個陶瓷球,該些陶瓷球被嵌設在該腔室板中,以使該些陶瓷球和該基材的複數個點接觸成為可能。在特定實施例中,該陶瓷包含氮化矽。
在一或更多個實施例中,該裝置更包含加熱系統以維持鄰近該腔室蓋與該腔室壁處的溫度,以致氨與水不會在鄰近該腔室蓋與該腔室壁處反應,且氨與水會在鄰近該晶圓支撐件上的基材處反應。在進一步實施例中,該裝置包含加熱構件與熱構件,該加熱構件鄰近該腔室蓋與該腔室壁處以升高鄰近該腔室蓋與該腔室壁處的溫度,該熱構件用以升高與降低鄰近該腔室板處的溫度。
本創作的另一態樣提供一種羥化基材表面的裝置,該裝置包含:腔室主體,該腔室主體具有腔室壁、腔室板與腔室蓋,該腔室壁、該腔室板與該腔室蓋界定腔室製程區域,基材可被放置在該腔室製程區域中以羥化該基材的表面;晶圓支撐件,該晶圓支撐件設置在該腔室製程區域內,該晶圓支撐件可避免被放置在該腔室製程區域中的基材直接地接觸該腔室板;升降機構,該升降機構設置在該處理腔室內,以將該基材降低到該晶圓支撐件上與將該基材升高離開該晶圓支撐件;一或更多個注射器,其中當一或更多個注射器連接到胺類供應與氫氧化物供應時,該一或更多個注射器將胺類與氫氧化物散佈在該腔室製程區域內以使該腔室中的基材暴露於氫氧化銨而羥化該基材,其中該腔室主體、該晶圓支撐件、該升降機構與該一或更多個注射器包含可抵抗由氫氧化銨造成的降解的材料;及傳送閥,該傳送閥設置在該腔室壁中,以容許基材被裝載到該製程區域內,且將基材從該處理腔室傳出到鄰近該傳送閥處的傳送腔室。
在此態樣的一或更多個實施例中,該傳送閥包含淨化氣體注射器,該淨化氣體注射器用以當該傳送閥處於開啟位置時能使淨化氣體流動。根據一或更多個實施例,該升降機構包含周邊框架與複數個陶瓷間隙物,該周邊框架和馬達嚙合以升高與降低該框架,該些陶瓷間隙物被嵌設在該框架內以使該框架和該基材的點接觸成為可能。
另一態樣提供一種羥化基材表面的裝置,該裝置包含:腔室主體,該腔室主體具有腔室壁、腔室板與腔室蓋,該腔室壁、該腔室板與該腔室蓋界定腔室製程區域,當基材在該腔室中被處理時,該基材可被放置在該腔室製程區域中以羥化該基材的表面;晶圓支撐件,該晶圓支撐件設置在該腔室製程區域內,該晶圓支撐件可避免被放置在該腔室製程區域中的基材直接地接觸該腔室板;升降機構,該升降機構設置在該處理腔室內,以將該基材降低到該晶圓支撐件上與將該基材升高離開該晶圓支撐件;一或更多個注射器,該一或更多個注射器將胺類與氫氧化物散佈在該腔室製程區域內以使該腔室中的基材暴露於氫氧化銨而羥化該基材;及在該腔室內處理基材時基材的表面。
此態樣的一或更多個實施例係提供該腔室主體、該晶圓支撐件、該升降機構與該一或更多個注射器包含可抵抗由氫氧化銨造成的降解的材料。在特定實施例中,可抵抗由氫氧化銨造成的降解的材料包含不銹鋼、石英與聚四氟乙烯的一或更多者。
根據一或更多個實施例,該裝置更包含加熱系統以維持鄰近該腔室蓋與該腔室壁處的溫度,以致氨與水不會在鄰近該腔室蓋與該腔室壁處反應,且氨與水會在鄰近該晶圓支撐件上的基材處反應。
上述說明已經相當廣泛地概述了本創作的特定特徵與技術優點。熟習此技術領域的人士應能瞭解可輕易地將所揭示的特定實施例作為修改或設計落入本創作範疇內的其他結構或製程的基礎。熟習此技術領域的人士亦應能瞭解此類等效結構不脫離隨附的申請專利範圍中所公開的本創作的精神與範疇。
在此描述的各種實施例係提供用於基材表面的羥化而不會暴露於空氣的方法與裝置,藉此避免含有介電膜的元件的滯後現象的劣化。本創作的實施例關於製程與裝置的提供,該製程與裝置可被執行在腔室的製程區域中,該製程區域避免使基材暴露於外界空氣。
如在此所使用,「基材表面」係指任何基材或被形成在基材上的材料表面,其中膜處理在製造製程期間被執行在基材表面上。例如,取決於應用,上面被執行有處理的基材表面包括諸如矽、氧化矽、伸張矽、絕緣體上覆矽(SOI)、碳摻雜氧化矽、氮化矽、摻雜矽、鍺、砷化鍺、玻璃、藍寶石(sapphire)的材料,以及諸如金屬、金屬氮化物、金屬合金與其他導電材料的任何其他材料。基材表面上的阻障層、金屬或金屬氮化物包括鈦、氮化鈦、氮化鎢、鉭與氮化鉭、鋁、銅或對於元件製造是有用的任何其他導體或導電或非導電的阻障層。基材可具有各種尺寸,諸如200 mm或300 mm直徑的晶圓以及矩形或方形面板。本創作的實施例在基材上是有用的基材包括但不限於半導體晶圓(諸如結晶矽(例如矽<100>或矽<111>)、氧化矽、伸張矽、鍺化矽、摻雜或未摻雜多晶矽、摻雜或未摻雜矽晶圓)、III-V材料(諸如GaAs、GaN、InP等)與圖案化或未圖案化晶圓。基材可被暴露於預處理製程,以研磨、蝕刻、還原、氧化、羥化、退火與/或烘烤基材表面。
因此,本創作的一個態樣關於製備基材的方法,以用於介電膜在基材的表面上的形成,該方法包含以下步驟:將基材設置在處理腔室中且使氫氧化物(諸如水蒸氣)與胺類(諸如氨)流動到處理腔室內。水蒸氣與氨被流動成使得基材的表面同時地被暴露於水蒸氣與氨。在真空條件下(即在低壓下)執行此方法而不使基材暴露於外界空氣。根據一或更多個實施例,惰性氣體(諸如氮)可存在於羥化腔室中。
儘管特定地提及水蒸氣與氨,應瞭解本創作涵蓋其他氫氧化物與胺類源的使用。例如,適當的氫氧化物包括水與過氧化氫。適當的胺類的實例包括氨、吡啶、聯胺、烷基胺與芳香基胺。
水蒸氣與氨在基材的表面處反應以提供氫氧化銨,氫氧化銨接著和基材的表面反應以提供經羥化的基材。在特定實施例中,在羥化之前,基材表面未經鹵化。根據一或更多個實施例,僅被添加到基材或膜的表面的官能性是羥官能性。
根據一或更多個實施例,在羥化該表面之後,基材經受進一步的處理。此進一步的處理可被執行在和羥化腔室相同的腔室中,或可被執行在一或更多個不同的處理腔室中。在一個實施例中,經羥化的基材從羥化腔室被移動到不同的第二腔室以進一步的處理。經羥化的基材可從羥化腔室直接地被移動到不同的處理腔室,或者經羥化的基材可從羥化腔室被移動到一或更多個傳送腔室且接著被移動到期望的不同的處理腔室。
根據一或更多個實施例,經羥化的基材持續地處於真空或「負載閉鎖(load lock)」條件下,且在從一個腔室被移動到下一個腔室時沒有被暴露於外界空氣。傳送腔室因此處於真空下且在真空壓力下「被抽低壓力(pumped down)」。惰性氣體可存在於處理腔室或傳送腔室中。在一些實施例中,惰性氣體作為淨化氣體,以在羥化該基材表面之後移除一些或全部的反應物。根據一或更多個實施例,淨化氣體在羥化腔室的出口處被注射,以避免反應物從羥化腔室移動到傳送腔室與/或處理腔室。因此,惰性氣體的流動在腔室的出口處形成簾幕。
其他處理腔室可包括但不限於沉積腔室與蝕刻腔室。根據一或更多個實施例,藉由諸如化學氣相沉積(CVD)或原子層沉積(ALD)的沉積製程將膜沉積在經羥化的基材上。在特定實施例中,經由原子層沉積製程將膜沉積在基材上。
在一或更多個實施例中,具有高介電常數(k)的膜被沉積在經羥化的基材上。可用以製造高-k閘極介電質的材料包括但不限於:氧化鉿、氧化鑭、氧化鑭鋁、氧化鋯、氧化鋯矽、氧化鈦、氧化鉭、氧化釔與氧化鋁。在一些實施例中,高-k介電膜包含鉿。因此,本創作的一態樣關於在基材的表面上形成介電膜的方法。形成介電膜的方法可包括以下步驟:控制氨與水蒸氣進入到羥化腔室的製程區域內的流量,以使基材表面同時地暴露於水蒸氣與氨,而提供經羥化的基材表面。該方法可更包括以下步驟:控制處理腔室內的壓力,及在負載閉鎖條件下從羥化腔室移動經羥化的基材到傳送腔室且到沉積腔室。最後,該方法包括以下步驟:在經羥化的基材上沉積膜(諸如介電膜)。
根據此態樣的一或更多個實施例,該方法更包含以下步驟:控制製程區域中的溫度分佈,以致氨與水在鄰近基材處反應,但氨與水不會在製程區域的其他部分中(諸如鄰近腔室蓋或腔室壁處)反應。在特定實施例中,經由原子層沉積製程來沉積該膜。
因此,本創作的另一態樣關於用於基材羥化的裝置,以根據任何上述的實施例執行製程。一個實施例關於裝置,該裝置包含腔室主體、晶圓支撐件、升降機構與一或更多個注射器。此裝置係提供水蒸氣與氨到基材表面的供應,水蒸氣與氨會反應而形成氫氧化銨,氫氧化銨進而會羥化該基材的表面。
由於水蒸氣與氨會反應而形成氫氧化銨,腔室製程區域具有腐蝕性環境。所以,在潤濕路徑中的所有部件應包含可抵抗由氫氧化銨造成的降解的材料。因此,被利用在半導體處理腔室中的典型材料(諸如鋁)不適於作為會被暴露於腐蝕性環境的部件。根據一或更多個實施例,腔室主體、晶圓支撐件與一或更多個注射器包含可抵抗由氫氧化銨造成的降解的材料。在進一步實施例中,升降機構亦包含可抵抗由氫氧化銨造成的降解的材料。
可使用能提供對氫氧化銨的期望抵抗性的許多材料。例如,不銹鋼、石英與聚四氟乙烯可用於裝置中的各種部件。在特定實施例中,裝置部件的一或更多個部件包含不銹鋼。
腔室主體具有腔室壁、腔室板與腔室蓋。腔室壁、腔室板與腔室蓋界定腔室製程區域,腔室製程區域是羥化反應發生的區域。一或更多個注射器將氨與水蒸氣散佈在腔室製程區域內,氨與水蒸氣反應而形成氫氧化銨。氫氧化銨接著和基材的表面反應以提供經羥化的基材。
第1A圖圖示根據本創作的此態樣的腔室主體100的實施例的側剖視圖。腔室主體100包含腔室蓋101、腔室壁102與腔室板103,該等部件界定腔室製程區域104。第1A圖和第1B圖所圖示的裝置顯示腔室壁102是界定製程區域的單一壁,且該壁剖面大體上為圓形。然而,應瞭解製程區域104可以是用於處理基材的任何適當形狀,並且界定製程區域的腔室壁102可包含多個不連續的壁構件。腔室蓋101形成製程區域104的頂邊界。腔室蓋101可被開啟或可移除,以促進製程區域的清潔和維護。在所圖示的實施例中,腔室蓋101包括用以將腔室蓋101從腔室壁102舉升的柄115。可藉由任何適當的方式(諸如固定螺栓、夾持件等)將腔室蓋101固持住。在其他實施例中,可藉由樞轉件(未圖示)將腔室蓋裝設到腔室壁101,或者可諸如藉由垂直或水平的縮回機構(未圖示)將蓋和腔室壁101予以可移動地關聯。升降機構105係升高與降低基材,並且用以移動基材而使基材經由開口106進出腔室製程區域104。狹縫閥插件107可將裝置連接到另一腔室。狹縫閥插件107可包含用於淨化氣體的注射器,以避免當基材被移動進出裝置時反應物氣體會離開腔室製程區域104。
裝置亦包括周邊框架109,周邊框架109最佳地被圖示在第1B圖中。周邊框架109和升降機構105嚙合,升降機構105可以是伺服馬達或用以在製程區域104中上下移動周邊框架109以升高或降低基材的任何其他適當裝置。在所圖示的實施例中,升降機構包括桿117,桿117和周邊框架109的一部分接觸。
第1B圖圖示製程區域的頂剖視圖。陶瓷球108被固定到腔室板103。陶瓷球108可藉由各種方式(諸如接合、黏附、壓嵌等)被固定到板。在所圖示的實施例中,陶瓷球被壓嵌到腔室板103中的孔洞內。陶瓷球108係提供被裝載到製程區域104內且到腔室板103上的基材的支距(offset)。因此,已經被裝載到製程區域104內且安置在陶瓷球108上的基材不會和腔室板103直接地接觸。此可促進基材被裝載到製程區域104與從製程區域104被移除。如上所討論,周邊框架109藉由桿117和升降機構105可操作地嚙合,以容許周邊框架109將基材降低到陶瓷球108上。指件110繞著框架109的周邊而隔開,並且從框架109向內地指向。當基材安置在陶瓷球108上時,注射器111將氨與水蒸氣散佈越過基材的表面。
在所圖示的實施例中,陶瓷球的功能是作為腔室製程區域內的晶圓支撐件。此晶圓支撐件係在腔室板上方於製程區域內將基材升高,並且腔室製程區域中的基材安置在晶圓支撐件上。此可避免基材的背面和腔室板直接地接觸。基材與腔室板之間的直接接觸會造成來自腔室板的基材背側金屬污染。在特定實施例中,基材和腔室板之間不存在有直接接觸。應瞭解晶圓支撐件不限於陶瓷球。在其他實施例中,晶圓支撐件可包含升降銷、間隙物(standoff)或任何其他適當的構件。
因此,晶圓支撐件可包含大體上能將腔室板與基材之間的接觸予以最小化的任何組態。在一或更多個實施例中,晶圓支撐件包括陶瓷支撐件(諸如複數個陶瓷球)。在一或更多個實施例中,該等陶瓷球被嵌設在腔室板中。基材安置在該等球的頂部上且不會和下方的腔室板接觸。因此,僅和基材形成複數個點接觸,而不是基材直接地放置在腔室板的頂部上。根據特定實施例,陶瓷支撐件包含氮化矽。
根據一或更多個實施例,裝置更包含加熱系統(未圖示),以維持鄰近腔室壁與/或腔室蓋處的溫度,而使氨與水不會在鄰近腔室壁與/或腔室蓋處反應,但會在鄰近晶圓支撐件上的基材處反應。在特定實施例中,此加熱系統係加熱腔室壁與/或腔室蓋,以有助於避免反應物和壁102或蓋101反應。因此,腔室壁與/或腔室蓋可鄰近或具有加熱構件。例如,腔室壁102可具有被嵌設在腔室壁內的電阻式加熱構件,以加熱腔室壁102。替代地,或除了電阻式加熱構件以外,輻射加熱構件(諸如燈)可被提供在製程區域104內或鄰近製程區域104處,以加熱腔室壁102與蓋101。
特定實施例係提供腔室板103被加熱或被冷卻。腔室板103的溫度可被調整成達到在基材表面處的期望相對濕度。根據特定實施例,腔室製程區域104的溫度被維持在約20℃到約60℃的範圍中。在一或更多個實施例中,基材表面處的溫度為約25℃或低於約25℃,以促進基材的羥化。因此,特定實施例係提供腔室板與/或晶圓支撐件鄰近熱構件119,以升高與降低鄰近腔室板處的溫度,而在待羥化的基材的表面處造成局部的溫度改變。熱構件119可以是任何適當的溫度變化元件且可設置在鄰近腔室處或腔室內的各種位置中。熱構件119的適當實例包括但不限於輻射加熱器(例如燈與雷射器)、電阻式加熱器、液體受控熱交換器及冷卻與加熱板。冷卻與加熱板可包括一或更多個流體通道,液體或氣體流動通過該些流體通道以冷卻或加熱該板。在特定實施例中,腔室板和冷卻構件呈熱接觸。
一或更多個注射器111設以連接到氨供應和水蒸氣供應(未圖示)。氨與水可從相同的注射器被散佈,或者可使用多個注射器以避免在抵達腔室製程區域之前混合。任何適當的流動組態可用以將氨與水蒸氣散佈,包括橫向流動(cross flow)或頂部向下流動(top-down flow)。注射器111可包含用以將反應物散佈在腔室製程區域內的任何機制,包括噴頭或擋板。
耦接到周邊框架109的升降機構105用以將基材從晶圓支撐件升高與降低,並且可利用任何機械的機制來實現此目的。除了將基材從晶圓支撐件升高與降低,升降機構105亦可經由腔室中的開口106將基材承載進出腔室製程區域104。根據一或更多個實施例,升降機構105包含周邊框架109,並且當升降機構升高或降低基材時,基材可安置在周邊框架109上。在特定實施例中,周邊框架109和馬達可操作地嚙合,以升高與降低框架。
根據特定實施例,周邊框架109至少部分圍繞地環繞基材。在所圖示的實施例中,周邊框架是圓的一部分。在所圖示的實施例中,周邊框架為約270°,然而本創作不限於此組態,並且周邊框架109可以是全圓、半圓(180°)或適於升高與降低基材(諸如半導體晶圓)的任何其他組態。在特定實施例中,周邊框架109包含繞著周邊框架而隔開的複數個向內指向的指件110。在第1B圖所圖示的實施例中,圖上圖示三個指件110。然而,可提供更多或更少個指件110。
在一或更多個實施例中,升降機構可含有間隙物,間隙物能將基材與周邊框架109之間的接觸予以最小化。在特定實施例中,類似腔室板103,間隙物可包含複數個陶瓷間隙物121,陶瓷間隙物121從周邊框架109的上表面突出以使陶瓷間隙物121和基材的點接觸成為可能。在特定實施例中,陶瓷間隙物121被嵌設在該複數個向內指向的指件110中。在特定實施例中,陶瓷間隙物121包含氮化矽。
裝置亦可包含傳送閥107,傳送閥107位在腔室的側壁中。在一或更多個實施例中,傳送閥107是狹縫閥。狹縫閥107可以是開口,基材可經由該開口進入與離開羥化腔室製程區域104。狹縫閥107可包括門(未圖示)且可設以連接到另一腔室(諸如傳送腔室或鄰近的處理腔室)。根據一或更多個實施例,狹縫閥插件包含淨化氣體注射器(未圖示),淨化氣體注射器用以避免當狹縫閥處於開啟位置時反應物氣體會離開羥化腔室且進入鄰近的腔室,並且避免外界空氣會進入製程區域104。任何適當的惰性氣體可作為淨化氣體,包括氮。
本創作的另一態樣關於羥化基材表面的系統。根據一或更多個實施例,此系統包含腔室主體100,腔室主體100如上參照第1A圖和第1B圖所述包括基材支撐件、氨供應、水蒸氣供應與一或更多個注射器。在特定實施例中,系統亦可包含壓力控制閥以控制腔室製程區域中的壓力。系統可更包含控制系統,該控制系統係調節腔室製程區域中的壓力以及氨與水蒸氣進入到腔室主體內的流量。控制系統係調節反應物的壓力與流量,以致基材的表面同時地被暴露於水蒸氣與氨,而提供經羥化的基材表面。在一或更多個實施例中,系統更包含傳送閥,以在受控壓力下將基材從製程區域移動到傳送腔室,而避免經羥化的基材暴露於外界空氣。
第2圖圖示根據本創作的此態樣的實施例。腔室主體包括腔室蓋201、腔室壁202與腔室板203。腔室蓋201、腔室壁202與腔室板203界定腔室製程區域224,腔室製程區域224是羥化反應在基材表面上發生之處。升降機構214升高與降低基材,因而基材可藉由機械人葉片或其他適當的傳送機制被移動進出腔室製程區域。
氨氣被氨供應206提供,而經由氨導管225被輸送到製程區域224內,其中氨導管225可以是任何適當的導管(諸如管路或通道)以透過注射器221在適當流速下將氨輸送到製程區域224。氨供應可以是氨氣的汽缸或氨產生系統以產生氨氣。氨氣流動到腔室的流量是由氨閥209與氨流量控制器212來調節,氨閥209與氨流量控制器212能和腔室控制器204連通。流量控制器212可以是質流或體積流量控制器。水蒸氣被水蒸氣供應207提供,而經由導管227透過注射器221被輸送到製程區域224。水蒸氣的流量是由水閥210與水流量控制器213來調節,水流量控制器213可以是質流或體積流量控制器。閥210與流量控制器213可和腔室控制器204連通。如第2圖所圖示,氨與水蒸氣可經由個別的導管225與227個別地被輸送到腔室。然而,在將氣體引導到腔室內之前將氨與水蒸氣混合且將氨與水蒸在單一導管中輸送係落入本創作的範疇內。
惰性氣體供應208可用以經由惰性氣體導管229提供惰性氣體(諸如淨化氣體),以經由排放系統218從腔室主體移除反應物與/或副產物。此外,藉由將惰性氣體和氨供應或水蒸氣供應的一或兩者混合,惰性氣體可作為用以將反應物輸送到腔室內的載體氣體。若惰性氣體作為載體氣體,則惰性氣體導管包括適當的內連接配件(未圖示)以將惰性氣體導管229和氨氣體導管225與/或水蒸氣導管227的一或兩者連接。適當的內連接配件包括閥與/或流量控制器(未圖示),閥與流量控制器和腔室控制器204連通。惰性氣體閥211係調節惰性氣體流動到腔室主體的流量。流量控制器233亦可用以調節惰性氣體流動到腔室內的流量。
溫度控制器205可控制系統的各種加熱與冷卻構件(諸如用於水蒸氣系統207、腔室蓋201與腔室壁202的加熱構件,或者是用於腔室板203的加熱與/或冷卻構件)。
排放系統218從腔室主體移除氣體。當羥化製程完成時,和排放線217流體連通的泵228係從製程區域224移除過量的羥化製程的反應物與副產物,其中排放線217經由排放導管231連接到腔室。隔離閥216可用以將腔室主體和泵228隔離。節流閥215可用以調節腔室主體中的壓力,以達到製程區域224中期望的相對濕度。因此,應瞭解可調節或變更壓力與/或溫度以控制水的分壓,而提供製程區域中期望的相對濕度且羥化該基材。相對濕度係指在特定溫度下水分壓對於水飽和壓力的百分比。在特定實施例中,在基材溫度下,水的蒸氣壓是飽和蒸氣壓的20%。在其他特定實施例中,在基材溫度下,水的蒸氣壓是飽和蒸氣壓的40%、60%或80%。
腔室主體、注射器、晶圓支撐件與升降機構可具有任何上述用於羥化裝置的特徵。
如上所述,氨與水會反應以形成氫氧化銨,氫氧化銨是腐蝕性環境。因此,根據特定實施例,被暴露於氫氧化銨的部件應該包含可抵抗降解的材料。此類材料包括但不限於不銹鋼、石英與聚四氟乙烯。
水蒸氣供應係提供待用於羥化的水蒸氣,且可包含能將水蒸氣輸送到腔室製程區域以適於在基材表面上實現羥化反應的任何系統。可藉由水蒸氣產生系統來產生水蒸氣或可在另一源處產生水蒸氣,並且將水蒸氣提供到系統。根據特定實施例,水蒸氣是藉由水安瓶來製造,其中水安瓶係被起泡(bubbled)或被蒸氣吸入(vapor drawn)。因此,特定實施例係提供水蒸氣供應包含液體水源與氣體源,氣體源連接到水源以透過水將氣體起泡而形成水蒸氣。
替代地,可藉由將水予以霧化或蒸氣化來製造水蒸氣。在特定實施例中,系統包含容納水的容器與倚賴文氏管效應(Venturi effect)的水霧化器(諸如氣霧器或噴嘴)。在其他實施例中,水蒸氣供應包含液體水源與加熱構件(諸如由皮迪爾控制器(Peltier controller)控制且和腔室控制器204連通的一或更多個皮迪爾裝置)。在另一實施例中,可藉由使用氫與氧氣的單元來產生水蒸氣。
在一或更多個實施例中,系統的各種構件(諸如氨流量控制器212、水蒸氣流量控制器213、溫度控制器205與皮迪爾控制器)是由腔室控制器204來控制,腔室控制器204係提供系統的I/O控制。因此,腔室控制器204可包括CPU 234、記憶體235與I/O 236,而以有線或無線方式和各種控制器連通。CPU 234傳送與接收訊號到氨流量控制器212與水蒸氣控制器213,以控制氨與水蒸氣流動到注射器221的流量。CPU 234亦傳送與接收訊號到節流閥215,以控制腔室製程區域中的壓力,因而節流閥215運作成用於系統的壓力控制閥。CPU 234亦可和隔離閥216與泵228連通,以進一步控制來自腔室的廢氣的流量。
CPU可以是用在工業設備以控制各種腔室和子處理器的任何形式的電腦處理器之一。因此,CPU可耦接到記憶體235,記憶體235可以是可輕易取得的記憶體的一或更多者,諸如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、快閃記憶體、光碟、軟碟、硬碟或任何其他形式的局部或遠端的數位儲存器。支援電路(未圖示)可耦接到CPU而以傳統方式支援CPU。該等電路包括快取、功率供應器、時脈電路、輸入/輸出電路、子系統與諸如此類者。CPU 234與記憶體235耦接到適當的I/O電路236,以和系統的各種控制器連通。
控制系統可更包含電腦可讀媒體,電腦可讀媒體具有一組機器可執行指令。該等指令可以是當被CPU執行時能使系統執行任何上述的方法。在一個實施例中,指令關於一種方法,該方法包含以下步驟:將基材的表面同時地暴露於水蒸氣與氨以提供經羥化的基材。在另一實施例中,指令關於一種方法,該方法包含以下步驟:將基材的表面同時地暴露於水蒸氣與氨以提供經羥化的基材;將經羥化的基材從羥化腔室移動到傳送腔室;將經羥化的基材從傳送腔室移動到沉積腔室;及在經羥化的基材上沉積膜。
羥化系統可更包含除了羥化腔室以外的其他腔室。該等腔室可包括傳送腔室與額外的處理腔室(諸如沉積腔室與蝕刻腔室)。該等腔室可在「群集工具系統」中互連。
大體上,群集工具是模組系統,該模組系統包含執行各種功能的多個腔室,該些功能包括基材中心尋找與定向、去氣、退火、沉積與/或蝕刻。根據本創作的一實施例,群集工具包括設以執行本創作羥化製程的至少一羥化腔室。群集工具的該多個腔室被裝設到中心傳送腔室,中心傳送腔室係容納適於將基材傳送在該些腔室之間的機械人。典型地,傳送腔室被維持在真空條件下且提供用於將基材從一個腔室傳送到另一腔室與/或負載閉鎖腔室的中間階段,其中負載閉鎖腔室設置在群集工具的前端處。可適於本創作的兩個已知的群集工具是Centura®與Endura®,該兩個工具皆可從美國加州聖大克勞拉市的應用材料公司取得。一個此類分階段真空基材處理系統的細節被揭示在西元1993年2月16日頒予Tepman等人且新型名稱為「Staged-Vacuum Wafer Processing System and Method」的美國專利第5,186,718號中。然而,可為了執行如在此所述的製程的特定步驟之目的而改變確切的腔室配置和組合。
第3圖圖示可涉及本創作的一態樣而被使用的群集工具或多腔室處理系統310的實例。處理系統310可包括一或更多個負載閉鎖腔室312、314以用於將基材傳送進出系統310。典型地,由於系統310處於真空下,負載閉鎖腔室312、314可將被引進到系統310內的基材予以「抽低壓力(pump down)」。第一機械人320可將基材傳送於負載閉鎖腔室312、314與第一組的一或更多個基材處理腔室332、334、336、338之間,各個處理腔室332、334、336、338可設以執行許多基材處理操作。例如,處理腔室332可以是被設計用以執行蝕刻製程的蝕刻處理器,並且處理腔室334可以是用以執行ALD或CVD的沉積反應腔室或被設計用以在基材上形成熱氧化物層的快速熱處理(RTP)或RadOx®腔室。處理腔室336、338亦可設以進一步提供例如循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清潔、化學清潔、熱處理(諸如RTP)、電漿氮化、去氣、定向、羥化與其他基材製程。
第一機械人320亦可將基材傳送到一或更多個傳送腔室342、344/從一或更多個傳送腔室342、344傳送基材。傳送腔室342、344可用以維持真空條件,同時容許基材在系統310內被傳送。第二機械人350可將基材傳送於傳送腔室342、344與第二組的一或更多個處理腔室362、364、366、368之間。類似處理腔室332、334、336、338,處理腔室362、364、366、368可設以執行各種基材處理操作,包括除了循環層沉積(CLD)以外的蝕刻製程、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、磊晶沉積、蝕刻、預清潔、化學清潔、熱處理(諸如RTP/RadOx®)、電漿氮化、去氣與定向。基材處理腔室332、334、336、338、362、364、366、368的任一個,若不需要的話,可從系統310被移除。
藉由在群集工具上的腔室中執行此製程,可避免基材表面受到大氣雜質污染,並且同時可維持從濕式化學處理的增加成核的優點。
美國加州聖大克勞拉市的應用材料公司係提供基材處理腔室,該基材處理腔室包括稱為RadOx®的製程以形成用於CMOS電晶體閘極的薄二氧化矽層。RadOx®製程係以燈加熱基材且將氫與氧注射到處理腔室內。當該等氣體撞擊基材的表面時,該等氣體形成自由基。自由基比中性物種更富有反應性,提供了比蒸汽製程能所能取得者(稱為原位蒸汽產生(ISSG)的氧化物生長)更快速的層生長速率。
適當的蝕刻或清潔腔室可設以用於濕式或乾式蝕刻、反應性離子蝕刻(RIE)或諸如此類者。示範性蝕刻腔室包括SICONI TMProducer®或Carina TM腔室,該等腔室亦可從美國加州聖大克勞拉市的應用材料公司取得。一個非限制的示範性乾式蝕刻製程可包括氨(NH 3)或三氟化氮(NF 3)氣體,或和遠端電漿混合的無水氟化氫(HF)氣體混合物,該等氣體於低溫下(例如約30℃)凝結在SiO 2上且反應而形成能在中等溫度下(例如>100℃)昇華的化合物以蝕刻SiO 2。此類示範性蝕刻製程可隨著時間逐漸縮減且最後飽和到不再有進一步蝕刻發生的程度,除非化合物的部分被移除(例如藉由上述的昇華製程)。可使用上述機構與/或藉由依時蝕刻製程(例如預定時段內的蝕刻)來控制蝕刻製程。示範性濕式蝕刻製程可包括氟化氫(HF)或諸如此類者。示範性電漿或遠端電漿蝕刻製程可包括一或更多個蝕刻劑,諸如四氟化碳(CF 4)、三氟甲烷(CHF 3)、六氟化硫(SF 6)、氫(H 2)或諸如此類者,並且可在具有或不具有加熱夾盤下被執行。
在特定實施例中,製程被執行,該製程包括第一步驟,其中機械人320將基材從負載閉鎖腔室312、314的一者移動到乾式蝕刻或清潔腔室(例如SICONI TM腔室)。在乾式蝕刻或清潔製程之後,基材可在第二步驟中被移動回到負載閉鎖腔室312、314內或直接地被傳送到快速熱處理腔室或RadOx®腔室以進行熱處理。接著,在第三步驟中,機械人320可將基材移動到負載閉鎖腔室312、314的一者或直接地移動到羥化腔室。替代地,在第三步驟中,基材可在RTP或RadOx®腔室之後被移動到乾式清潔或蝕刻腔室,或到沉積腔室以形成中-k介電質。在第三步驟中的羥化腔室中的處理、RTP/RadOx®腔室或中-k介電質的沉積之後,第四步驟可涉及中-k介電質或高-k介電質的沉積。第五步驟可包括高-k介電質的沉積或在第四步驟中所形成的高-k介電質的電漿氮化或RTP或羥化。第六與第七步驟可包括在RTP/RadOx®中的處理與電漿氮化或額外介電層(諸如中-k介電質或高-k介電質)的形成。
在群集工具中所執行的製程的特定實施例中,第一步驟涉及乾式蝕刻/清潔,第二步驟包括在RTP腔室中的處理,第三步驟包括在乾式蝕刻/清潔腔室中的處理,第四步驟涉及如在此所述的在羥化腔室中的處理,且第五步驟涉及高-k介電質的沉積。
適當的高-k介電材料的實例包括氧化鉿、氧化鑭、氧化鑭鋁、氧化鋯、氧化鋯矽、氧化鈦、氧化鉭、氧化釔與氧化鋁。可藉由以諸如矽與/或鍺的元素來摻雜高-k介電質而提供中-k介電質。
控制器353可以是能用在工業設備以控制各種子處理器和子控制器的任何形式的一般目的資料處理系統。大體上,控制器353包括中央處理單元(CPU)354,CPU 354和記憶體355與輸入/輸出(I/O)電路356以及其他共用的部件連通。
本說明書中所指的「一實施例」、「特定實施例」、「一或更多個實施例」或「一個實施例」係意指涉及該實施例所描述的特定特徵、結構、材料或特性被包括在本創作的至少一個實施例中。因此,諸如「在一或更多個實施例中」、「在特定實施例中」、「在一實施例中」或「在一個實施例中」的詞語在本說明書的各處的出現不必然涉及相同的本創作實施例。又,特定特徵、結構、材料或特性在一或更多個實施例中可以任何適當的方式被結合。上述方法的描述順序不應該被視為會構成限制,並且方法可不依順序或以省略或添加的方式來使用所描述的操作。
應瞭解上述說明是為了說明之用且不會構成限制。許多其他實施例對於此技術領域中具有一般技藝的人士在參閱上述說明後是明顯的。所以,應該參照隨附的申請專利範圍以及此類申請專利範圍所賦予的均等物的全部範圍來決定本創作的範疇。
100:腔室主體 101:腔室蓋 102:腔室壁 103:腔室板 104:腔室製程區域 105:升降機構 106:開口 107:狹縫閥插件 108:陶瓷球 109:周邊框架 110:指件 111:注射器 115:柄 117:桿 119:熱構件 121:陶瓷間隙物 201:腔室蓋 202:腔室壁 203:腔室板 204:腔室控制器 205:溫度控制器 206:氨供應 207:水蒸氣供應 208:惰性氣體供應 209:氨閥 210:水閥 211:惰性氣體閥 212:氨流量控制器 213:水蒸氣流量控制器 214:升降機構 215:節流閥 216:隔離閥 217:排放線 218:排放系統 221:注射器 224:腔室製程區域 225:氨導管 227:水蒸氣導管 228:泵 229:惰性氣體導管 231:排放導管 233:流量控制器 234:CPU 235:記憶體 236:I/O電路 310:群集工具系統 312:負載閉鎖腔室 314:負載閉鎖腔室 320:第一機械人 332:處理腔室 334:處理腔室 336:處理腔室 338:處理腔室 342:傳送腔室 344:傳送腔室 350:第二機械人 353:控制器 354:CPU 355:記憶體 356:I/O電路 362:處理腔室 364:處理腔室 366:處理腔室 368:處理腔室
可藉由參考本創作的實施例來詳細暸解本創作的說明,本創作的說明簡短地在前面概述過,其中該些實施例在附圖中示出。但是應瞭解,附圖僅示出本創作的典型實施例,因此附圖不應被視為會對本創作的範疇構成限制,此是因為本創作可允許其他等效實施例。
第1A圖圖示根據本創作的一或更多個實施例的裝置的製程區域的側剖視圖。
第1B圖圖示根據本創作的一或更多個實施例的裝置的製程區域的頂剖視圖。
第2圖圖示根據本創作的一或更多個實施例的系統的示意圖。
第3圖圖示根據本創作的一或更多個實施例的群集工具系統的示意圖。
100:腔室主體
101:腔室蓋
102:腔室壁
103:腔室板
104:腔室製程區域
106:開口
105:升降機構
107:狹縫閥插件
109:周邊框架
115:柄
117:桿
119:熱構件

Claims (20)

  1. 一種羥化一基材表面的裝置,該裝置包含:一腔室主體,該腔室主體具有一腔室壁、一腔室板與一腔室蓋,該腔室壁、該腔室板與該腔室蓋界定一腔室製程區域,一基材可被放置在該腔室製程區域中以羥化該基材的一表面;一晶圓支撐件,該晶圓支撐件設置在該腔室製程區域內,該晶圓支撐件避免被放置在該腔室製程區域中的一基材直接地接觸該腔室板;一升降機構,該升降機構定位在該腔室主體內,以將該基材降低到該晶圓支撐件上與將該基材升高離開該晶圓支撐件;及一或更多個注射器,該一或更多個注射器連接到一胺類供應與一氫氧化物供應,該注射器經配置以將胺類與氫氧化物散佈至該腔室製程區域內,以使該腔室製程區域中的一基材暴露於氫氧化銨而羥化該基材,其中該腔室主體、該晶圓支撐件、該升降機構與該一或更多個注射器包含對由氫氧化銨造成的降解有抗性的材料。
  2. 如請求項1所述之裝置,其中對由氫氧化 銨造成的降解有抗性的該些材料包含不銹鋼、石英與聚四氟乙烯中的一或更多者。
  3. 如請求項1所述之裝置,其中對由氫氧化銨造成的降解有抗性的該些材料包含不銹鋼。
  4. 如請求項1所述之裝置,其中該升降機構包含至少一周邊框架。
  5. 如請求項4所述之裝置,其中該周邊框架與一馬達嚙合,以升高與降低該周邊框架。
  6. 如請求項5所述之裝置,其中該周邊框架至少部分圍繞地環繞一基材。
  7. 如請求項6所述之裝置,其中該周邊框架包含繞著該周邊框架而隔開的複數個向內指向的指件。
  8. 如請求項7所述之裝置,其中該升降機構更包含複數個陶瓷間隙物,該複數個陶瓷間隙物被嵌設在該周邊框架內,而允許該周邊框架與該基材的點接觸。
  9. 如請求項8所述之裝置,其中該複數個陶瓷間隙物包含氮化矽。
  10. 如請求項1所述之裝置,其中該晶圓支撐件包含複數個陶瓷球,該複數個陶瓷球被嵌設在該腔室板中,而允許該複數個陶瓷球與該基材的複數個點接觸。
  11. 如請求項10所述之裝置,其中該複數個陶 瓷球包含氮化矽。
  12. 如請求項1所述之裝置,其中該裝置更包含一加熱系統,以維持鄰近該腔室蓋與該腔室壁處的溫度,以致氨與水不會在鄰近該腔室蓋與該腔室壁處反應,且氨與水在鄰近該晶圓支撐件上的一基材處反應。
  13. 如請求項12所述之裝置,其中該裝置更包含一加熱構件與一熱構件,該加熱構件鄰近該腔室蓋與該腔室壁處,以升高鄰近該腔室蓋與該腔室壁處的溫度,而該熱構件用以升高與降低鄰近該腔室板處的溫度。
  14. 一種羥化一基材表面的裝置,該裝置包含:一腔室主體,該腔室主體具有一腔室壁、一腔室板與一腔室蓋,該腔室壁、該腔室板與該腔室蓋界定一腔室製程區域,一基材可被放置在該腔室製程區域中以羥化該基材的一表面;一晶圓支撐件,該晶圓支撐件設置在該腔室製程區域內,該晶圓支撐件避免被放置在該腔室製程區域中的一基材直接地接觸該腔室板;一升降機構,該升降機構定位在該腔室主體內,以將該基材降低到該晶圓支撐件上與將該基材升高離 開該晶圓支撐件;一或更多個注射器,其中當該些注射器連接到一胺類供應與一氫氧化物供應時,該一或更多個注射器將胺類與氫氧化物散佈至該腔室製程區域內,以使該腔室主體中的一基材暴露於氫氧化銨而羥化該基材,其中該腔室主體、該晶圓支撐件、該升降機構與該一或更多個注射器包含對由氫氧化銨造成的降解有抗性的材料;及一傳送閥,該傳送閥設置在該腔室壁中,以容許一基材被裝載到該腔室製程區域內,且將一基材從該腔室主體傳出到鄰近該傳送閥處的一傳送腔室。
  15. 如請求項14所述之裝置,其中該傳送閥包含一淨化氣體注射器,該淨化氣體注射器用以當該傳送閥處於一開啟位置時流動淨化氣體。
  16. 如請求項14所述之裝置,其中該升降機構包含一周邊框架與複數個陶瓷間隙物,該周邊框架和一馬達嚙合以升高與降低該周邊框架,該複數個陶瓷間隙物被嵌設在該周邊框架內,而允許該周邊框架與該基材的點接觸。
  17. 一種羥化一基材表面的裝置,該裝置包含:一腔室主體,該腔室主體具有一腔室壁、一腔室 板與一腔室蓋,該腔室壁、該腔室板與該腔室蓋界定一腔室製程區域,當一基材在該腔室主體中被處理時,該基材可被放置在該腔室製程區域中以羥化該基材的一表面;一晶圓支撐件,該晶圓支撐件設置在該腔室製程區域內,該晶圓支撐件避免被放置在該腔室製程區域中的一基材直接地接觸該腔室板;一升降機構,該升降機構定位在該腔室主體內,以將該基材降低到該晶圓支撐件上與將該基材升高離開該晶圓支撐件;一或更多個注射器,該一或更多個注射器將胺類與氫氧化物散佈至該腔室製程區域內,以使該腔室主體中的一基材暴露於氫氧化銨而羥化該基材;及一腔室控制器,當一基材在該腔室主體中被處理時,該腔室控制器用以調節該腔室主體中的胺類與氫氧化物的流動,並控制該腔室主體中的溫度以提供該腔室製程區域中的一期望相對濕度,而羥化該基材的一表面。
  18. 如請求項17所述之裝置,其中該腔室主體、該晶圓支撐件、該升降機構與該一或更多個注射器包含對由氫氧化銨造成的降解有抗性的材料。
  19. 如請求項18所述之裝置,其中對由氫氧化 銨造成的降解有抗性的該些材料包含不銹鋼、石英與聚四氟乙烯中的一或更多者。
  20. 如請求項17所述之裝置,其中該裝置更包含一加熱系統,以維持鄰近該腔室蓋與該腔室壁處的溫度,以致氨與水不會在鄰近該腔室蓋與該腔室壁處反應,且氨與水在鄰近該晶圓支撐件上的一基材處反應。
TW109208699U 2011-10-05 2012-02-01 羥化基材表面的裝置 TWM610611U (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161543642P 2011-10-05 2011-10-05
US61/543,642 2011-10-05

Publications (1)

Publication Number Publication Date
TWM610611U true TWM610611U (zh) 2021-04-21

Family

ID=48041240

Family Applications (3)

Application Number Title Priority Date Filing Date
TW109208699U TWM610611U (zh) 2011-10-05 2012-02-01 羥化基材表面的裝置
TW106139183A TW201820456A (zh) 2011-10-05 2012-02-01 原位羥化裝置
TW101103285A TWI674625B (zh) 2011-10-05 2012-02-01 原位羥化裝置

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW106139183A TW201820456A (zh) 2011-10-05 2012-02-01 原位羥化裝置
TW101103285A TWI674625B (zh) 2011-10-05 2012-02-01 原位羥化裝置

Country Status (4)

Country Link
US (1) US20130087099A1 (zh)
KR (1) KR101970378B1 (zh)
TW (3) TWM610611U (zh)
WO (1) WO2013052145A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10655219B1 (en) * 2009-04-14 2020-05-19 Goodrich Corporation Containment structure for creating composite structures
CN105405801B (zh) * 2014-09-11 2018-02-06 沈阳芯源微电子设备有限公司 一种半导体热盘上的陶瓷球微调装置
DE102016101003A1 (de) 2016-01-21 2017-07-27 Aixtron Se CVD-Vorrichtung mit einem als Baugruppe aus dem Reaktorgehäuse entnehmbaren Prozesskammergehäuse

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW204411B (zh) * 1991-06-05 1993-04-21 Tokyo Electron Co Ltd
US6124158A (en) * 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US7037574B2 (en) * 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US6858532B2 (en) * 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US20040182315A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US7942969B2 (en) * 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
KR100970113B1 (ko) * 2008-05-08 2010-07-15 주식회사 테스 기판 리프트 어셈블리
US20090305515A1 (en) * 2008-06-06 2009-12-10 Dustin Ho Method and apparatus for uv curing with water vapor
JP5518499B2 (ja) * 2009-02-17 2014-06-11 株式会社日立国際電気 半導体デバイスの製造方法および基板処理装置
KR20110057645A (ko) * 2009-11-24 2011-06-01 삼성전자주식회사 절연막 형성 방법 및 이를 포함하는 트랜지스터 형성 방법
US20120201959A1 (en) * 2011-02-04 2012-08-09 Applied Materials, Inc. In-Situ Hydroxylation System

Also Published As

Publication number Publication date
KR20140077192A (ko) 2014-06-23
TW201820456A (zh) 2018-06-01
KR101970378B1 (ko) 2019-04-18
TW201316395A (zh) 2013-04-16
US20130087099A1 (en) 2013-04-11
WO2013052145A1 (en) 2013-04-11
TWI674625B (zh) 2019-10-11

Similar Documents

Publication Publication Date Title
US20200219718A1 (en) Selective deposition of silicon oxide
US10825679B2 (en) Selective growth of SIO2 on dielectric surfaces in the presence of copper
US9911595B1 (en) Selective growth of silicon nitride
JP6946320B2 (ja) スペーサ用の窒化ケイ素膜の選択的堆積
KR102491771B1 (ko) 실리콘 옥사이드의 존재시 실리콘 표면들 상에서 실리콘 옥사이드 또는 실리콘 나이트라이드의 선택적인 성장
KR101002445B1 (ko) 단일 웨이퍼 저압 화학 기상 증착을 이용한 실리콘 산화물 및 옥시나이트라이드 증착 방법
US20120201959A1 (en) In-Situ Hydroxylation System
TWI790320B (zh) 釕的選擇性原子層沉積
US7335266B2 (en) Method of forming a controlled and uniform lightly phosphorous doped silicon film
US10224212B2 (en) Isotropic etching of film with atomic layer control
US20090065816A1 (en) Modulating the stress of poly-crystaline silicon films and surrounding layers through the use of dopants and multi-layer silicon films with controlled crystal structure
KR20200123482A (ko) 챔퍼리스 (chamferless) 비아 통합 스킴 (scheme)
US20220319854A1 (en) Selective deposition using hydrolysis
US20110039389A1 (en) Manufacturing method of semiconductor device
US20220275510A1 (en) Thermal atomic layer deposition of silicon-containing films
CN114245832A (zh) 原子层沉积期间的膜特性的原位控制
TWI674625B (zh) 原位羥化裝置
US7737051B2 (en) Silicon germanium surface layer for high-k dielectric integration
US9093264B2 (en) Methods and apparatus for forming silicon passivation layers on germanium or III-V semiconductor devices
WO2023230296A1 (en) Single wafer reactor, low temperature, thermal silicon nitride deposition
TW201237957A (en) In-situ hydroxylation system
TW202413687A (zh) 單晶圓反應器、低溫熱矽氮化物沉積

Legal Events

Date Code Title Description
MK4K Expiration of patent term of a granted utility model